From e2cff4fa4247e6a75623615d180a22e52fde2000 Mon Sep 17 00:00:00 2001 From: seleznevae Date: Mon, 7 May 2018 21:21:19 +0300 Subject: [PATCH] [A] Added image --- docs/images/basic_table.png | Bin 0 -> 26034 bytes 1 file changed, 0 insertions(+), 0 deletions(-) create mode 100644 docs/images/basic_table.png diff --git a/docs/images/basic_table.png b/docs/images/basic_table.png new file mode 100644 index 0000000000000000000000000000000000000000..239ed940e68d83960c284234dec87c8005add574 GIT binary patch literal 26034 zcmeFZc{J4h|Nk%2CUjLOLRTV7NZEG@S+k5K%P1+!SjxT&Q4uO8A;xYjV{9{al2F9N zFk>fTn89S3!7%(@bY1W3{aJqJeE;}-&-s4O@8_J(Va~+s^?W^_kL7;9J?5pckq+w# z?h_0Q46M4hZ<#VM90oBk9C~)_2=L0eZJ!|qhKmfkw=_Tj9~Q^e^w-?@J5~sT@(R8c z`gX)DHey!fCE>{Zf0xcapS~DrbjkM8rAsGnJ`rYX&zA5tKH4zUF0pj_0*yPt$vH`z zT;MM4@_@#x+O{^9CRWfQL&RY?phWr>rD* zQI%D*eWS55Rf(5e<|>S%LpDf|J3z#Hn%kb-CvEGzumsZ{-QAe;rQP47HzpK>c}+a9 zR+b;=(=f%Z@bBz?EI-nDMaHq_*Bu2$XabMQSG;RBJZ{!1xP@!fq8LOk?Mi)?bEx)R z)5T*HQwpATqovVaVU-v*k{)S>*}Z7IVi?Es-Jd^E(fWlyokk~)oB`tQ6uc@z4-}>3 z-^IfbYN6L)wNYftO59;*leijG&?)L zfbk)Qk9QqIz-L(W({>YCdDGov`lC*>hCk9hWA=dPPbYVT{Zf(@*zU481_~1sUW(6V zx^Yp27*wa#DTmG`Su>a!cvd~Sb(A?DylWfYk+?m`)zS!~!lwqR)Q*8d9yA<61>{QC zdLwTx34sjUcZMZJ_Y;bmR4JnLJbcWxofxGVHGZ} z1Bt(aD?8`UMjAbdFBA0jS<70q(TIMVjfu@()zKLK20h)KgfP1gNyrX0$$uY%WAl9z zh~TZt@hZx4quG+G5Cly$FZ{Ed&k8tQXZHz`@&}Qb;d^)3A8;$pF`F+!BlBk)Y$bmb zk{SZe!PgV7x{wx)QVEf<+9(y!&KI#HRXpy~or2q20>(@65rP?MO7G-fnVugBM#M;T zB%PKRahV9?Jglr795a>Jl8PrY!D$J|!n~jN9uB=xf2&C$t-!36h&ix_ixL0_` z^KEG11{N+!N7UapT>L;%Os`46jz!@bK-jV*ez5 zc>>RQ-hFCD@61443C(g@G<`;WFpaY7jSn)>DoB&cOmmEmzB*!mkgP3^t3as?AS3 z+S@1{N066E^K%-h-OFVz=YVGz6y8DFhT=EO$lEsd%?;XMe1krBDW!p?^DeoZF+Ebk zT3mVDo3h9bEKzkZQ>=?KxnlRV3svYMIy-KxDFOHBL(AtC+6O$QKV$9!_Wl}+N7$b% zdP}m3%jKMATBL$^6F$2RvaF!yXCjGuhaE{xQv)mvS^it7n6AczNjpD==9kL@O2Qg< zH6PATeIDOz4Fez~#63RJEvFhic`;DS{p_n=}(%*>c`KHhgOdW#o2|J@eEM49hZ8!WxOiT z_v4KMUDmu8h=e|)V7wzc=#?dJ&k@2bxSS+7MNcJDIHuIw(|2+2Pb;ilc-x!(^GmZA zs!swB883wu{}q3+6Rhx^U3gp+D=XdcO;&`fXru41JB3BT5516G;mOUHe<>6OKBr0s zB$-?>UsH5{&^r~*$PxVBw1|y&$uIP9&0iO*A|+lxiUXqu|FoB_F$p#IY7B-wc|6LK zr1$e1#I>0LQW2wBsFpYh1Squn?5v~EYivm5UCBdaOvsse_4cG)3tO=ToB)XCCICt( z9Fe~RV=m03Yh+FHjIz$tE#lBx@!I&ZWaRk8*z+}-d^3XxbY&nUGspMFw(z{*2K?1h zOCSfl+M8+XH2hVmHH+lkS9o>9jpG(TjTXcep%>gy4c#80y1+t5`+Hfuf^);SaA>8( z>@jd~hGn=Kd*Z>f6ZMclO{U)qxDP41!1RUvIqQE2ol@$Z0^w&4pjKv4t7XeWBpk;D7GuzMt}#Y2p+{n zZ*6UfdU85c=kQjv0^MqZ7Csl)HQDnAu;a+=?Uka?z{0+a@-DM!Da9 zw4kfg-PYU2(ZC0P&pvyFcMxZ=08^=%)0p$L2p@p6`0p={0uhj*cO?bCFfBIHb zWkZ|1?F#vmzp9F6)c5x-6EV2T6_dYz z=VRajt;EsRHrIYNHbE{KZ)HE-aB5zg343^4JL`IoMR@{O@*l#8!@u`!x~zx#8#oaB zaFP1a12Hoxh2cwO)Qi{B#x72CkJk;xxgWE_bLRVUugbjzb&Q?9>JRUeV8^o>9Xyk# zOwb5ch!_U5A!Zo7I+oejXS4L4zb4I_B0H!$jmilT&CV_$NSF2vFSCcT1FI!RAuJJo z1pc#H1Yot!K2sMgqZlkTXlI>1w_g~MkAUpHcaO0aW?}C>w|flKJ+d|Z#b==k`mRs> zv^52ixYO+VyC$Iho`dSSJJh@sQ*|cj3wi-$e%7tIL@w%g0$`Rme)(D2Bv`=O{lS<> zU0w0ZB3;&ajI$4rXM+j#y&oXGT7-vR(u9mnR<+68s<4*Z+C@@2$CCpT2}F7dUf-J;Dd}+V6dNi45F9F*?(3@QqP5zc) zrzhH|B9ftK>E-m5e)9!WlC^TJ*&G6%W8%)lp$3NP(y_QzM;pSZT4f$3N{rOlMO~{` z80fkhH|1Zs+elMZhLF>M5?&a{96~ZnTXfND5nXvWvp}dA2gl@ES4!GpEc)K;Y+i5` z4{NjpRfkeA%$Jnb23)0IkMnlg`rzGLBBK;I-c!t*#PNJGHh z&orpP(#u`DZ|{}jOUPqCo38^Uu_&1MqYasn{63M;H^25f&LHl8>q4Ec7PR)*G=+@% z)o+@BcAK(xbc)dF&`=iQU>V-{zqt&K^uFndy0R){P{ng$ZQbY%%ZL3niX(UFt+?;I z$AVSP{HJ@oc05?+xdhN=?SK8^`9DWZJ6o?Vcs>1l-&Zw3yih}!#4%MMax-kkQ;&>g zflmqDM-sj>i$(?Cp_PI_M(#dz+fyMxeF)sbK~sIv;1d{gSajayfA+P4Xd4bOj?j7s zVgIReYpG5a+zOm)U+4Kty4ea8IW_v74h4PbJ}8 z&vbvEQ1NO?e-y8Enf=rCDT;vc!bwJ`M;d-5INy&hMk{R;RhU@vrYZf$|Fj!x=<2ay z{546_UHqi~UP$xtn@v{>PTDY0j!x{ltZzRFF4wf-!hZKM?JUxnozNHM<_P5$SjP7?gbQ^!OZ3`zWG)X*P{AEgO>!MjZo67E>v?U0N=;ME&}l6)74 z^B0R2YEwEh@C(nI{8kmyh}21%|KaSWzT~4zsNK!C=-%bzK(S(H5J+}E(i3TJ_TDoq zW&FsB_u6voQ01+{+^Eo=_`_3Gn=F)mCPlMwwG}#e%vPZZu`6NU{aZ?txmKDzY>pt) zv08KH%(=VKy|5nty`B9#I|UCAZqCbftDv;aE`eVT3$eF>o5H{gt)z`YCMa2z&$s$} zPe;xTMyRaSq#7C7H`SZ5s;vcszV%8eiS^s|q-#cgpV+j%0!HHG~wZR8uy$O5b{H81EuvzZZ7yj^5$Nih%yd zqfLcUDBSJ!6Y_g;z~z^(RW`|1Z^Lu87DJl>ksGYJa$ieFNTvdf#D3VyY5U$iY}##- z1Lnu*?hQ0o+A9_S5zdxQw*2f1aWS2?2LSD@2#H2^l)Ecb$Rn zd@n~=KFabcagl2ojp7~2Zo<=;%D$#!zkqHQVgxz*j}tJB`&Sa;0_YAu82Y9@iOrNizyB z5yWb=kg;kg@pBkr-0jB4H>i&|k%jA2s*Bq)I z>(I^SZb0t|D(!h0P%3^_VGgM=Na5Q7t zoKU>sIe{+_MDcU(5O=;gSuJ_T8wmPlh{3Ij;5#eDBs=dnr1#qX9ze0TP~`wLjf6&{ zq|o>?RlCz`)@pSqPPH9ry)HF1;`F_?AFM7>7@T;ab$P)_)N8|?d+=b}-1I^6-QCM3|`Afk?PMZ_K@IH|N{CR-Van$dQ* z2Y*aZ6*k`WN8xyuDjy8m>*BlHfcS&ePhvjOP4+j8tZ6v|=&4tH=s1TzsQpO^DK_IQ zjeEO(HxD*28c)PPz3SsdBGDsV%L%?y0ec*F4JC%|q9X3Qy^DcMdqBEy-^IhOyd%3} z(m8XT84r9c#_&JjJCQmOT|L4vEpdwvp^x+x^fFrdQq*3A`Y zi~T$u+ff4ZoL&UiSwCN$t^9h^O`|DnPeDzysEIWr=y8}h6Ld4imseFkb>kSH^fM|c!(F}4q$8U<(X$q_if$9l)pW}AETs6Kek7Yw|aQq3LgSjsrX+XbsuPZiyDUjJC3Py z`%xob?3|<}sq>1l#%0k-5=MZYj^bkJ&}1k)C&zgZrdxovr!`%DwhCu;=dLEUWtS(e zh~_%GU`WXB(>!{|Vn#Z=>c`_I2kx;zxNk^oJ(%>4uE@B{@^&D*+k8Fl+zuu(8)ZJZh6#l7WOPR=+!|0dXbxMl z=%0f>({YyxD)Go@%j5L7G0;3lq^zy%q#Y5p|CFpFSfJSS!WOV14JKv2e)*dP&@@DjZnu5}K{7M_!s8q^zVidSekAfG}c*)8)1$M7EL<-m>Mz zEH~U-288!4!lS=s7x07;gix79_{nh1fsP%!T0HeGiU)r38@|xd;za#~+m3(8aXUTs z-dwMp+7=)6zs`%BnXa0L%=q-(QBM|W)f@4|PSVWq=XXACF`jjvaq*|&7f(Zx6vCgR z;Rnj|&IIGaRLfcYm@a5+mv)h?RPP4F}Vd z#vBjzZ343Fb8Q7e8QOFzAw)HWHa05a4`nCGUo4#GPvctc^fNWVDgL*4l_<6!*WxFX}ompmg09F&j z1}5!!m=H~2>Ia@J=X>cMECWdBH_uFV`o4C^JiaqyA2QeB`ZpmMc)O&@G z$!exo`8GRPOY3C)e9H<6ou5KY6d95sio0&Lmwi-d!c#F1$Rt+CzoXH>U_~9W(mTWn-NY!5FT0-dx!g{#HMS@cY#x~1o0)yp# z&VObLcn6JlCRL(oE@Ou%v;e92Gyxo-cgxh*`~m+s?Mn}otPCl0E6Q2j&NbSQm6ke< zoESag5SxI3JRwuN*g0wHvpm&Ut6P6i|v!A!W;jd63x8s^o){mGw zGHs3Fo>Y{i7`Y;r719=kgTAAKzN5syKxZQsdqU^lA|+k;3Ni?rbV zpf2^eXZVdMbG!GfEq;FH&gVG;Lc;9WL5rlLD6ZFs#f+b9Y*Dq`Fb@j}I#-q@Rgd`v z3(y*HcTJJm&<(E-2*W44-nf<`{D*hwWJ!Gd9Ry!** zmxggp_J19??{(_=flPs=sv+YjZIZ8#&faG6X*nc1Lq1l|9W5341CPf@fX7E}r0-!8 zF3u)Kg1r*EhIVb*)IXw&LwXmdSO*lvQGXWZIx&X^DtU&ov*ydDM+-=?O7IhDb(=6u zA;hUM3LKF;IB{1|4%sW^HS0|6|K*8SmAO*7Fb_A+FOptU&p8h4anZNHJ&_T0^Yg#y*Z%nc~_qK z2bftjGt-`d5lRaQeEzhRB12L^vJba)4fBKgsx|U;wggs5i~PP5 zfR;TQaUx{OS0&if9B+~JiQ6>n(m(e^kH4=`m?`&N31dBkdW$!?!o4`>agjsQrO-vY zK$bCrMp%JUtPH&61Vb${-9~vaAWV@@VO_-gIoDB!ci~M4dxNNSik*DdLVQOj%O+(_ z#aLvp?d)28d}gCHWK!NpX3db~o}_~?ITf?!yM2cq_(bhJsNecowJ$?|?>x&L43ZQP z>;49pNX=xT1CoDEM1bKxLx2Qb8^nnx7wQl2GmwuSh;-m4v z!lzenF;Guz%C}R3$lRi@#BgNwd(Bb5wkHL<8;UZQBE#q*te{mzkJ|La&}75H!cQnR z5bel2AJ^TC9<)KiEtM921KYGiPPz*jk0yUu1+!EK1%}zNfi6M(5jB78_ELE_F$#Ml zchH7m)!OJ;qqM6>cIo6MmnQe?5wXuhJdj$5YZJ~ZD&$WqR+`Kb^n%@-bbp)*t;#_d z?-mLp9}$x2>$UcK^IoWT6<0OL-8v?CAL+!%u^Fd6%f)yW9un9FHc^FsH^#DUmTNm* zQIc-_juv#t&s%(WGdICgV6 z*O841s7s;+&Ao{T4`zsPRZ1z{O_$5a=1a#r019w>vgF#q4n@#MwF(vL!l!6k^}-0O z|AT#BEgG_HoXZ=$Jq-9MMY5-C!sITY$RAQRm`33_nEog30-Winx!!)j2_$XIqqfUDXwo+0?1s=${1>Ox;?)KiCh;7(5pX2}7u;$Iu? zI!OzY9>1Bc-p=0woPdRTaB3shxr8E9!uyqU`tj~t|3(bi zn*Ga2-vVbuWcWh(n@KRm>hWCGro25Dh&upA-AJ>Vu$W%$LvQ+;6!i!RK!D);b(Cto zmn`lW-i%*ajwx_*l2&ZUtLvd7A)cXQkS48b^OTj5n^}?Edn61R+L+%%UH#bT{$w|{ zi=0?5U!)>dSWKv`UAx0o=Rm)pZ-{FkWgR3iWry^Q#TcxF7(mHz!9f_8<)0OqL2@Qv z>|`d7cR*Im1+i$OyJy-7V&byF$7qk~mw*R&-mte@SU9vYyEP(+P%~`JX|a@+(M>kH zf23KZI^8et0k`dhXRV!=W`5xuwgiX^_2=MLp6^{@qgvf|zK!2KvQ~W$jpIBg_C*lL z@+#`FTX^+-@uz0r~^ObA=sL4baPTJMab5rd=D zwWm4Z%Go6H=*vCA#A>nG^FOYJg@r5qDZj)Dl*)jARTo0XSWwGg4Pm@U-ov8Tw!qa!^`2~tM-4ThwvHj@Hdku&@Vy9YNK7ml*_GD|waRp(%h7!306bIe8;D#C)|~|) zR#THLK-S@NgM8vvF`Xn<+|4>&!I@bqe|7Hs-s<;VRlzU1KCYTCs~YxfTL1{GZZRXl z-_phaw#kG?mH@0?XvHASqKki{S0G;*8R8;fmH(|swJui*^42o&$@vDum1?wTSY@P5&P@wF6TrW)kJ*jkace+rDLAU zGzELm$6?eKCEv22y>DZzB~EWwku|Hl(}t7>0Eu@ik+!iZ=nsGi@zmbu>a!_3E=a8o zx#ZdqV=4k(d0*9kZIG~R&4aOnCE|e$+q{C>oi0)A`e`nG65yAh&b7<&TmL7#e8BJib#>nJ z`zYxCSIhrSn*D##?)dL4kpKGH#|J=heZ}`fSbw+9{?3H$8;e)+J=sv#IoLlcm`XAz zUX(4W8`l)P!-hg#+lhLxlfCVtT=dGMXyT5Tmfh9P`f#JoH}ky@mb^>hABHXRzG4zG ze!qC4%jD3{v7C`H(+bLcg6Y zws+PMob9z}puNrkGi*BCv(l_8v7X#6jQdt{LYqF3qL%LOU#jM(7Qd_-rhxy{Q>=OP zsD=|eNHzW_;`4_vKT{nbO@2_%ultCSYizXF+P4`jC!GCSL`A(T2j=Lm=6J)zL65BK zr3l;Y&aF=Y-@6zn{Z$Tt6CW$?nwK@u>*$~9tD)B7`MZ+31!(yFxY1`hl|`BeNesjX z@CnQSmq5jmHV&uzYt|*5Z=PbfDOkTfKUDq%tFaZlfxYkkkTulewuh zX2p83VSorhxho=)6e?)!qWOJ<2Rx3XX%n&Z*x^uCnUki^W&9r)v5OHM>e|;~0j9&& z3`rACb8i3zA`8la;XFi@hSr4W_5atr*u9T?b^ zwv?f#7`6)D8Bzh3zk18AT9u)ioW{GN{T91=Lz`R2a-#MOnG+l z3Ra=Q<_6jPK>CJC_IT%h?E)P5w>`CjY*ZU@ge`x> z25qV_yk3WM8u#&wk*V-5Be_i|8hNu@`=6-9xrXDn>$KhX!ox$rq$`wY`4WQl0ia9n zOjAL|GhRy`=Y>=yoJNrz2xFJYJCQQ8SDFwDRX1l`)MlP{nTv`#olYz9g^#j=XgaPe zDXLtcQbRG(u%A#}7zA>^)b|(x(zJO6IM)2*NH;UrkaAWBOUmXVe5#lOS?a-%2e*2^#2CSr{*2A`>W-Ee zJqA+skl}ql*`!G_fiDdU1MH#e>1ZV$dfU3;R?)Tj93+%VE` zwn&=&Yzo;GQdxbDGX3&>fg}rx{IgDov65;wMH2jcDV-g)t-D4e$&uD)im3)uJ0A`# zvF~>Qj6HSD!M}bF_af-f6i*8ilws^n?jxr?efevp6a)9{eh?2ftdy-Xdtf5QXwtQ1 zJESmo++AD(>H5UUzHr!~3A^)TW3rFmKH)A5{LAI1Z&O2FtF=xMP>&ohVOn+wXgLo* z=Wl)C1%$RZs0f>0i;^&+qEJcSY0J&~?p2-JSnI3O)QomNTxyXa!ezrcXeBi*LJt^^ zVA3C4g0wtSnDc?@xVJw@Ymh1okYNFJRKJz5`cr3R$w)^@pPQH8%*W9nmt|D10E~z> zWLa=0jNjXVr?4Fh37*l}CPkP0Eqsss904j=3Z^U$6=Ornip%_biu@d{KV z^^n0Qsizfw7q9dARC~j*-%3^mHGxzLWVxNuT+@_oYai?C2KNWJRVI-yH)a&*Wz-UVI$_?Y= zpXffH$6n@UVTX@|2fL48d`nEuQQ0a1Bsp3r*f?);5(pOe>0 z%WLxi%iida9fXb&afd-86P%)MD9+v)&}W~A=@DrNRrhMZsT>$?M!TAE#npw2b0v~z z*Q!_!@C?lultVM?)p3X*L#`R^5)cjew>aU4XTlU~w=a7mUhnl{_{=Wh=Rh1bN9(@3 z@+L&k>ZNAa(QQvidwx|$>7iW%6l6+6#lF5I7G^VMOkkHcQ(r+LQh56RlqiGNaxBJq@pj~97D>#u^;YN=&q)^ z5Jb+~$`sw6c=i&t)8^rBR+=owc~h}@P1o?J)D&O_p@1jUi3QBGnR>Vxd=s#g0e(&OkXGGySFBy{zS+ z*`4MS2W!FPz^wvjZ(;j1{aA>|{M0k#I4IIf4e=Z>X7Xcr2Z^_1h}Ezd-UmrdQDa_b zTN_bF+MIAMUFv_4&YZT(mmOF@V53K2;|eu?uEH|l$+n<1PanVMF?&Zu=Uzm-E%Se? z$HT#4MxoqKJ1QzAo(79HQ(qllb$9<9)i%%j_X70LMVqtZY92K>pYL*Fli`Jdrt5m1 zLM~41ti^g-k#1LWFU)RkST{H^7uwOBh=SktOCm^|JE0dPf7;CcGEh#q3wrWFYofXK z+eBLP<5hm8mLtEbr17cVP(+`ru>a{-OY;T?;r|r;@LZVdC?YrG{uUl$s4Y~xc#(f} z;;~X$Yx&ka@tw30H(*8p+ARYZF<@$r>S;9F_{JZ?E3{9|3x1s2>qfc2KJPJ;fP!|> z!Degf4@;X7We}?S8#*GzXg^ZbY?MSu8Ipmn!^VdE`5Gt$BbG#S8f9x}7DjNHl@nQ% zE!VxKl*i%sGtvbXMemH9P=CIL8Ps<+bQQA+l|B2x?*h*`>{x{R^O{5@alfnUY_u(z z-)0{&2jY*~D&m+mv{}b+ozXa5h=dYS3A^ z0MJwVidY42FmL3BGy+{U#)!n z5YdYUWYVKFNkn+abS|3dWL0X757!<&BGw(W{}cmfL1w z)&_J}z)4qAJO#h?ZRvIRb*;i|b32=e*f<$4Hwfu0Q>E@FSV@X;EM(mFY{RfV!zgC7 zFoo9gIN&=5bN8SyQhnj_h5Oxv<85mO0GSJ!(LE~obi)i%e}~7WuQ#p1Svr+EqjPlF z*1q)%$-zle3?PGz)P$2lL5jZlx#Q-nb>sApi2z~fmOfHtvK=f0Ek)dT6u zH~BHIAjMLK<~|=#qUA!$O&u9CEaG6~2;by`zY=+KgBy>#GhDz|pLWx6lrA#b$_&#q zm&^vWY{-rtnJBAAgi!KZKg5NL?Thdk&p4erZ4U=!D5)V2n${@*nF8i^I>J>^yz`g{ zAdjWn`x{3Fs-YCWbmXF~d%Dp}pc(n4&gX2a&WJx2s_!i)Zk~qU8f3;FgL_+}eHU9kSH{hmk@*0CP*F;A$&<}m6FoOVgd z@WUXdp9jhaD@Vuq4;y#2lT9S=JB@A?ArM4&HL`>B{Q=qObwCUC$1O{kXDFzt{U&v? zYYQ;0HZ$fYn{3ODc=RNb0jRZk%lxPye8X%s?VS6#+yiTaR2yX_gRNhUQ5Wz*9nS-2 zRGCHXb@IMhHS8td=pF5%eO*%*JdFj$0a2Q!s)Me{gMfaTZqR6<+esim}6&hKq`V$jKS|J+(6&s7$P@EY5zP|Vhn1Ph8sY9AU z4$a4^sx6}?+y({#f88rTD5DXeaxND^XMv$I^TfKpq`3F_08uj*YFUcOS$ES)QD|0RRh`CIe}gxPeTgD|SU~T+7Lv3+*0XGFB?`b7N~u;GhG#W97YW zE9#`!zgS^A{ytyNJer~(ad&=70q2q@NLI&{1D^_x&l&>Kj;nKo$f3pDS zp*hrzwDBqX z5Qh061ghU7TO>9ExYrRUfP)Mv%7D1e&pJ*7evXAj=v^+(j zw^pk)6-}|sb_5}dOiX_)=`N&eBEF%Aj8XtGOPLMidTEA7&sJ4<+X6HU4}-;$10R|a z^hyouTd04_R>diaZ&7o)2f`K6sq@t>^Kp@Us@(aFiA7}&V$g!!8z8t#kdp%?hL+9u z;~MOAudIyl{@J94((MeNAkzIa}{4H@p9vYa`~W07cTc zSeBR&x~45&sEWLbJTZt^fCmc`=HT}`*i=yqWx=z zV1FV1_ww}sq+K8x2k^@4siXLN482EN|KC31pz-uL;JTZMGV@~m_Ciiw_^(%uJO%%F z{NDlkUseWDKqWh_EW7~*_V_#i4Q{@%l=Uvj%@AZ|^Vz;olrr_g<*`E1%z6@7RZrAX zlqL-T!fGO+-f(EqCVOHX68kL)Xmwx!fRmpCuJl(NNwusdbymInH@x}i)Y>nT1G&&w z7U<&>eD^-M!pQ%IHa}u*7EMyb<3?UxvQyL90caP zC06!K9r}u(d&aoPngys24tGli1q2TOINMxD_^NUu(EOYE0CN}J!4Dyw^wM*p&4iMT z`DiIhgFNngB|XfzVX{T#x4@mHoU*IlwEip$*WGBO_KgaH0DVLp`Gwl=y3}BA6K&+( zj;6zA4aL>{wtNs;(d^;*TB)bC$YR1O+uONC90;T7oYrpbeOB8l+9A z**SvEuMs=H?$ggVR!n?0R=Rz!j+Oe+4^48}_nV;OgK?uxrw`RRwMM^T%}`CTR!&?- zQF5oyQj8q($8Ce#umlr>+=h)Vo}T4=t%pYM#+shJ=y4VsFV46p3{x2JX&kJWgMO>7 zo#bK8_Y-Q^b35SxWCeA6cX3&I82%VY5ukh~&P`h4s8ofN{bhYCQ~(%cs<|%#inSk| z;Id$1BQUP!Nz7NG6;h$QaJ;^(FPt(9IAM2Oon3X~fVrO*9*YMe{@1Y`Z5PYx(jyTO zzGalz^Q`f&T%7$hU#44f^gQog%htAOPNmWU28~-6BDj{-A6nVm)r4_qrVccnfC32) z2qiszuKQ56Y$?e;B=~##*Jhkt4XQE;H2qVr$hHsn8bb<@mG_kFyBVU1CsU_>R?xPV zFIW3ME=yK%mdZ^2usT;IXdICQ40Sh#@UbqbT4UM(8uC9#oul2dfIp|d6i?%Mk2mQJ zO9YbD#DUo+)sWYop_%chr#wGzz1J#fCf-HGtWvRcA&ND=qlX+~3vB+3IX#^JhEMkP z1}$q)-}87t(`Piaj8X@dsFA5@92r;#ZRn^!KY*Iw)D}ptiT>+F4nM?E2N)XYQphsru61!T{1fk>=MxJE44a1 z=g}RH^K(L68(If4YlYZ|nB0RQzEi&dPDvM#P%~8$0R>edZeKy&;bVm?3wbu(sh zA3~N=)*o%OwfOxdU@_^}U(*p%=68j66EvHA^bIs2FW;Fxq;Yv5K3^`{&5;W+BJalZwUa;x?c^zT5ZNZwv24}nx1S#%8XyBLAKsD zh$|w>i1`6(#y&3YT&E+^PCu7)L4+Rx%c`H^1+S^>2 z0gKr+6OIB+_|;#O>mFfhdi7SzyA;>oH3qM2QSJy6Wo?N+;zSzHka3rDZiHN=y*^1y zTuF=0LXl&~8wp%)RLwktVTAsW^!(WrGP4^D=w`7pv7N5Zs+~(WoeIMEgVgY!k)(kO zVK(1alDCF?A@rX(>klhfVUfL^{ekG`a zx_jquX8h310W*$|nU{l%iWsNsQDwjjG(MzgjKn&d6>1kVJpcF@!2qv zG~|3G)-vhRG{|iV*tDY!=IB|yU2R`I{d59%sv(iqJ+1Vo??B!Y?o<^}!B>JwSi>N$RdY?fU6qDFti`!L z-YBASu4{-TWOUaGQLRHcQ5fnH`0K2N#tVGsS}}O1K5Um|U--o22FcqQc~+Ml+U`D4 z_!x3;ko)V07B?wqp&`X7Xw5{L-t9l=@|52)ZF!aO$7ay+ijVlXEiziZ<>K$DB<};o zgcaYPd-~A)qKV`oxk{uh;!VcHlT2@wAPXL}eZBikwrnZI4Y87`D;;u%Oj)@Q$O->O z+N9fb=EeIuDgNo|_o&$?EC!*T`<`f2&V9F`#D7sQQPH(TbN*gdv%2k%CiH8Bi80N~ zUaVUmn1LCbURKLr)abCODsn{2IRfdMe5YP@6yLROrUwzKEcM*VT!Z{{z4w1%W-~K$uUXh7$fF0f8NSbi zpdn?|J7u@tktnwR89LWFfX;`0R!bz?cdk`}cWT2jPHj><5;Juur0vvUkD09+NB0fu z#=Gu2H*hw4ITDT5i{AHixyX;KLf|iBT1U!dqBj7Xjs(*IB>QiSU`hI;sRznFp{~{q zzw5I~ z-vYM*mn#ZNldcFN2m}F%G^x@;lU{>@p-2-#2?<5Ta_NFd1f+KaBE5#FfOJ9;LPrcu zAap_rLpQY!bCkq_xh( zCl+}~0=w1LwR@e<8}=paEvu??7j7IbQ%qD!HE*u*R4`;uZaw_KZN~C?+|{47+ss(e zqX6Ur#^+)9qctVz6I_xYvq^j|eQzzO@_?7MQP&%!js>EhH3({h>-w>;kH0+f8zyQb zu1}lN?7DU71uMg*6MSQPlOVAUcjq~~*i@hz$FnOn`?A7}6D zUJ4Ecl&hNTLt5BD(pFz2gq5qHS5q^N)@A5x5F6lA3UOo&$94pW$hhuyQ~gZIvYksk z@aadk@2=*CVU4$vn0bI{VQ)E-+Ug%J58V@xTPh5KZQt_HO6AP8Hh0xPe%8t(%G&ESA z-M)7R!D0BGX@MGCRm>6g1UISqv5vDNT%HC$Xul_NtH0>1SfCud%e@Oy&R1O;-l2n7 z48QtVOJw4kJ1O;PX=oWU{t}L9S zAiqq1TCfjj6F-$xIddIG4avK$|9vx-2L%e5fvjKY)PX08y0iI}wt7;IXW>ay`k(_S zu4``R;4@8%dQU$GamWn)DUzaHZ8o3Cl`Sb}W2_?k*|#cCqy0 z3a2p~+~mf)AT8`h(K&M|uzBHli)UZfHCj|_MDOv+3D0;gRg_kCd1kZ6*t-eRjY)WF zuXDl2LZUYty6n2+0@-Mfu&>p{e>hlGsbQ_PV`%QS8K-W&2Co?WHk(JO++E1HF{7w;}iR+)j8FcOKFFA(-!&fxD-p1SH^?6cBgz`GCfd@?+&QPU&8PN3?s50w>mj{$3T3`djVy7 zv%O`s@eevxJ8=e{=t-ktsMfZZ02RCf4Y_Nd!oG2F=bN)as#RUg#(W(e|F<=McJ$m_ zo$#U{%GlUleiXteUg06Mle4>E-@8oax(4wX*+hxOYx^EXd8%kskSs%U}!r~;YUnPMwslS zOAU}(&$l2PEk4;sC}t$ygFc|_1haP#Q$&V0jrx7za{fe=y60PLwEwD-mR>&?=g=0}9B2Jv&Cts_;MyXH;Gs@7 zqoyVYs_2WSW#pET_hBwU=^dq{*>T=T8d}{-U9sxd$^^v(Wg#B8R3*Jk`x19wG)O~} z&EI4R4@;ww+Fb;!N-16+6dW>hNz-<0m?oR`tl-aJV(>TdvIp*=W=`eg>XW(Xal2(I z1N67FO0I)h&Mmo+R`kbnY{?1HX$ayWMk>H^*%wvxi8J?V`_(#a$fn#j>E+`PW=)%0 zSKI4^V#XfQZb_be4KBTEh5p_+wTU3QuVM9(rK5{G)3+b!!FJ5|F8NJQjGka#h`@D; zUoa(-JsDg(^?FvP;g;nNG1FuAi;^Xgsa}ItV##rD{W|5}+NLw+4obXu8@XQYAa3jl zm7;{Vq8+WC$JMts1x$~XWA=70u?n!a5BfWUJ|I{3cd6dZQaDJrqNtl;NQ#l@@1FzD zZq^uaLt^mUg3b3v5_@aLu92qcm|NY-et-0u^nfJR8 zel>AakvdC;DW%Z2gB%E4iyl1(fkyCXtMExA$9S;=&wEWyqvC#N6uf1zX7)p8prIc> zT;%ZZ55OoOABg6h-yTbT$3P?GsFe_^tvQ}hp!BujTiYuUww$DuNJZ~B}zIv-YI zBe^A?2iZALi}dXk2Pek98UC2KZQofmXOtRKLEYk=XY_7dz8osvCk3N5+9}y|=s^T{ za-2D?BZ+i85$V08o`%jo9oXqe!0S8kkq?F46-v#`U->uvH=5kvU2m||tSm*qFr}Jww zc;rUUn}>!npzlq$*boHcIz?Taf`=o;fsgjIkYy??zS!>N_mhj*ZAmGl~mi5iVfa! z+iVglNuJU&xshEIHUe3x>(IU6>OF5@AU-oYeQBc)Ady^d>y7ocdu|DsVv$>Y^w7k# zGZa8#fzbCPDoapOT_EW@pif^Pw^ea5fi1b;xm+3Ddx|q1$Xv&;G+MbMGSw05S+IfA9^2dCoMmPeaXl|x_e zNaua-Q^Rx55BM(_x0D4uc)4s(iV$+%QWu}u#cH=GSJ(zPjK*CwQ(vw(;wNmxGaq(8 zZ#bFvj*uV*6lH6e;MVI^+t#rTYG*qleos96AixFm6}dXS>#YdPfD>l{WmtMat#*nW}6pnwU?-)jf$q^uH z0U4UdxKq%bi@{S{qq)06NOPAlmh zCy+(sZP~=YOP9s5TPj0xX2erDU7^=k`D7Uu;r#_&E#f zKW4hj#2OyU=Wk64cvaqiJN|7#ba9?v{76fS(h@0ztr|lrn$CgV!c?kz#=&dFG8pUwLHFHe_iOAHNCs$z6%I&Fs3LtLGSx#zO)S$ zZUrr?zQRh3>ysnidwqW+2aDpv^pQy3o5rn0^KX^ct`~pF<62}_*m5|~I!pF}tuMq0 z2X$)fu4sAU^2vvOH9qu@`W2h@iF$sOtS*Q+V zW^v%GQ@*H#XZZ4p#d!TPA$e(v0<~Z4JK2I6eNxrK78vzm{+uE|$o35R0a^H_)RPuTD zj-N0G!AwBkkJN~Bd%AtGNdOBDRT)rQ2CZ3vAO~xS1%+MhgL8j0exnWftbX6o7RusN zv{-{tXsK`K77DB+gdm+}cfGZiH^6%V+-I}}(}wpWI9)L9K#VWEQ?zYVt>OBH9=K%2 zV?bZqT9=HtMl*6vT>83babs0@R&NQW%(BL%B4oeHWrlR79F%e&5CjGekpSM+MS=Va?O@0ayiq) z7$2t*&lDo#mHRcop4%;JrsZr3%D4x!3%vdWMps%ZRpcxtrZucH8Nfnf`aSkPoaf`A zBfSw2b>xhGCrq1LhQ$OEQmT35t=91ayWz`#fAzf#E~_tSy`-har6`$?=C375tv9gf zBxx0oPi+CeeXB%Of{8KYIvZ81=O%htD^rv1fGS$Fzq+e-f2kW86a|!Mk9-3h z0z}Z%aw4h?<4hlvvs#%fFPVqVX988;M||;b#~hVbltsPjKRoyhb95rCBJ5@zR;A8;ZY zLl}a5vibdA{6FD>IH!CTk3I;Cf`3 zH6-*y@|$sid#CCKztm*v6;1TRNqNFepUMFpcXZt)`J$8t!gvTE4Q$`UZ!>;ONoMGc zp+crW<4hCqaz++`GME2?lAG9AC%kN}xm54+CDkLSUM^-@5Ibw-^}xE*nre2JSf5U+nLCATZ_#Ag+d`Y&#`AZxx6bMA^Xn@|3XiDH15=&)Mq6CXa4soLV4XE~Q)tAZGw{WEhv zxl};G*v5@{Dn%gSG}X=vIumXOW3ABRcTd(e44MleilD{?uz?%kQ~(L~XS|dEM08yN z3=MU&9r2y7=gavSCykV5hyUg(2U_zgVa;kcZk(UM(5o^}I;l^{e?H-{i;jQ)hYIVT z-278O=YG^4C^G&ceO>ugj-d04=nZh#eq3`*@>hX^Bk!Mpun{nU_O4SCHb(oMAT3;B>|9UWe(41+21s=HB;~ZJ=_6qAio`fpk2XRj6 zA4+}fQR+YR_&)&G%5Kl ze4{;A6+~I&wr!#gFwdGqE$5%O9d-h;Q54v;OR4R@*|LCWYai@zwL*JNO1Vikzt*+u z`s|S>WADm|&$qLN?=48(GHLFf2jWTYi9~m}Tv23Cr$?=Hq-irbC}fZrC_y6zKQ194 z1`k$HUzD5Fqn2T3~cq3i{FH`b)gG}Xpwu0fTVCCuVO5cDQq4a}8~`1_xZu=Y5& zq%)SyQG>87ji>zJM`rd@=E(lCE_qBrhI>tB{DG7`