From b64af9e9def45ba729392e889ddd0f2c89e9712d Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Mario=20H=C3=BCttel?= Date: Mon, 10 Aug 2020 22:41:29 +0200 Subject: [PATCH] Fix missing in assignment in port --- design/smi.vhd | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/design/smi.vhd b/design/smi.vhd index 142325f..1a3d8c0 100644 --- a/design/smi.vhd +++ b/design/smi.vhd @@ -44,8 +44,8 @@ entity smi is busy_o : out std_logic; data_o : out std_logic_vector(15 downto 0); data_o_strb : out std_logic; - phyaddr_i : std_logic_vector(4 downto 0); - regaddr_i : std_logic_vector(4 downto 0); + phyaddr_i : in std_logic_vector(4 downto 0); + regaddr_i : in std_logic_vector(4 downto 0); data_i : in std_logic_vector(15 downto 0); strb_i : in std_logic; rw_i : in std_logic --Read/write. 0=write, 1=read