From 6ac884956f636b9d2308645116fb6f4506291ff6 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Mario=20H=C3=BCttel?= Date: Fri, 21 Aug 2020 22:36:04 +0200 Subject: [PATCH] Add basic file structure and linker script for 512K type --- .gitignore | 5 + Makefile | 177 + include/cmsis/core_cm4.h | 1757 +++++++ include/cmsis/core_cm4_simd.h | 649 +++ include/cmsis/core_cmFunc.h | 616 +++ include/cmsis/core_cmInstr.h | 618 +++ include/setup/system_stm32f4xx.h | 99 + include/stm32/stm32f407xx.h | 8046 ++++++++++++++++++++++++++++++ include/stm32/stm32f4xx.h | 254 + main.c | 6 + mathlib/include/arm_math.h | 7306 +++++++++++++++++++++++++++ mathlib/libarm_cortexM4lf_math.a | Bin 0 -> 2989192 bytes obj/.gitignore | 3 + setup/system_stm32f4xx.c | 552 ++ startup/startup_stm32f0xx.c | 203 + stm32f407ve.ld | 157 + 16 files changed, 20448 insertions(+) create mode 100644 .gitignore create mode 100644 Makefile create mode 100644 include/cmsis/core_cm4.h create mode 100644 include/cmsis/core_cm4_simd.h create mode 100644 include/cmsis/core_cmFunc.h create mode 100644 include/cmsis/core_cmInstr.h create mode 100644 include/setup/system_stm32f4xx.h create mode 100644 include/stm32/stm32f407xx.h create mode 100644 include/stm32/stm32f4xx.h create mode 100644 main.c create mode 100644 mathlib/include/arm_math.h create mode 100644 mathlib/libarm_cortexM4lf_math.a create mode 100644 obj/.gitignore create mode 100644 setup/system_stm32f4xx.c create mode 100644 startup/startup_stm32f0xx.c create mode 100644 stm32f407ve.ld diff --git a/.gitignore b/.gitignore new file mode 100644 index 0000000..d720423 --- /dev/null +++ b/.gitignore @@ -0,0 +1,5 @@ +*.o +*.map +*.elf +*.lss +*.d diff --git a/Makefile b/Makefile new file mode 100644 index 0000000..e1fdb3e --- /dev/null +++ b/Makefile @@ -0,0 +1,177 @@ +################################Shimatta Makefile#################################### +#CPU: STM32F407VET6 +#Compiler: arm-none-eabi +##################################################################################### +#Add Files and Folders below######################################################### +CFILES = main.c +ASFILES = +INCLUDEPATH = -Iinclude -Imathlib/include + +OBJDIR_BASE = obj +TARGET_BASE = stm32f407-template +LIBRARYPATH = -L. -Lstartup -Lmathlib +LIBRARIES = + +DEFINES = -DSTM32F407xx -DSTM32F4XX -DARM_MATH_CM4 -DHSE_VALUE=8000000UL +MAPFILE_BASE = memory-mapping +LINKER_SCRIPT=stm32f407ve.ld + +export GIT_VER = $(shell git describe --always --dirty --tags) +DEFINES += -DGIT_VER=$(GIT_VER) + +ifneq ($(VERBOSE),true) +QUIET=@ +else +QUIET= +endif + + +DEBUG_DEFINES = +RELEASE_DEFINES = + +################################################################################### +ifeq ($(CROSS_COMPILE),) +CROSS_COMPILE=arm-none-eabi- +endif + +CC=$(CROSS_COMPILE)gcc +OBJCOPY=$(CROSS_COMPILE)objcopy +OBJDUMP=$(CROSS_COMPILE)objdump +SIZE=$(CROSS_COMPILE)size + +CFLAGS_RELEASE = -O3 -g +CFLAGS_DEBUG = -O0 -g + +LFLAGS_RELEASE = -Wl,--gc-sections +LFLAGS_DEBUG = + +CFLAGS = +LFLAGS = + +ifneq ($(DEBUGBUILD),true) +DEFINES += $(RELEASE_DEFINES) +CFLAGS += $(CFLAGS_RELEASE) +LFLAGS += $(LFLAGS_RELEASE) +target = $(TARGET_BASE)-release +OBJDIR = $(OBJDIR_BASE)/release +MAPFILE = $(MAPFILE_BASE)-release +else +DEFINES += $(DEBUG_DEFINES) +target = $(TARGET_BASE)-debug +CFLAGS += $(CFLAGS_DEBUG) +LFLAGS += $(LFLAGS_DEBUG) +OBJDIR = $(OBJDIR_BASE)/debug +MAPFILE = $(MAPFILE_BASE)-debug +endif + +LFLAGS += -mlittle-endian -mthumb -mcpu=cortex-m4 -mthumb-interwork +LFLAGS += -mfloat-abi=hard -mfpu=fpv4-sp-d16 --disable-newlib-supplied-syscalls -nostartfiles +LFLAGS += -T$(LINKER_SCRIPT) -Wl,-Map=$(MAPFILE).map -Wl,--print-memory-usage + +CFLAGS += -c -mlittle-endian -mthumb -mcpu=cortex-m4 -mthumb-interwork +CFLAGS += -mfloat-abi=hard -mfpu=fpv4-sp-d16 -nostartfiles +CFLAGS += -Wall -Wextra -Wold-style-declaration -Wuninitialized -Wmaybe-uninitialized -Wunused-parameter -Wimplicit-fallthrough=3 -Wsign-compare + +#################################################################################### + +OBJ = $(CFILES:%.c=$(OBJDIR)/%.c.o) +ASOBJ += $(ASFILES:%.S=$(OBJDIR)/%.S.o) + +default: $(target).elf + +all: debug release + +release: + $(QUIET)$(MAKE) DEBUGBUILD=false + +debug: + $(QUIET)$(MAKE) DEBUGBUILD=true + +%.bin: %.elf + $(QUIET)$(OBJCOPY) -O binary $^ $@ +%.hex: %.elf + $(QUIET)$(OBJCOPY) -O ihex $^ $@ + +#Linking +$(target).elf: $(OBJ) $(ASOBJ) $(LINKER_SCRIPT) + @echo [LD] $@ + $(QUIET)$(CC) $(LFLAGS) $(LIBRARYPATH) -o $@ $(OBJ) $(ASOBJ) $(LIBRARIES) + $(QUIET)$(SIZE) $@ + @echo "Built Version $(GIT_VER)" + +#Compiling +$(OBJ): + @echo [CC] $@ + $(eval OUTPATH=$(dir $@)) + @mkdir -p $(OUTPATH) + $(QUIET)$(CC) $(CFLAGS) -MMD -MT $@ $(INCLUDEPATH) $(DEFINES) -o $@ $(@:$(OBJDIR)/%.c.o=%.c) +$(ASOBJ): + @echo [AS] $@ + $(eval OUTPATH=$(dir $@)) + @mkdir -p $(OUTPATH) + $(QUIET)$(CC) $(CFLAGS) -MMD -MT $@ $(INCLUDEPATH) $(DEFINES) -o $@ $(@:$(OBJDIR)/%.S.o=%.S) + + +.PHONY: qtproject-legacy qtproject qtproject-debug clean mrproper objcopy disassemble program program-debug + +program-debug: + $(QUIET)$(MAKE) DEBUGBUILD=true program + +program: $(target).elf + ./program-device.sh $< + +disassemble: $(target).elf + $(QUIET)$(OBJDUMP) -D -s $< > $(target).lss + +objcopy: $(target).bin $(target).hex + +mrproper: clean + @echo "Purging project files..." + $(QUIET)rm -f $(target).pro $(target).creator $(target).files $(target).cflags $(target).cxxflags $(target).includes $(target).config + +clean: + @echo -n "Cleaning up derived files for " +ifneq ($(DEBUGBUILD),true) + @echo "RELEASE build" +else + @echo "DEBUG build" +endif + $(QUIET)rm -f $(target).elf $(target).bin $(target).hex $(OBJ) $(ASOBJ) $(mapfile).map $(CFILES:%.c=$(OBJDIR)/%.c.d) $(ASFILES:%.S=$(OBJDIR)/%.S.d) + $(QUIET)rm -rf $(OBJDIR)/* +ifneq ($(DEBUGBUILD),true) + $(QUIET)$(MAKE) DEBUGBUILD=true clean +endif + +qtproject-legacy: + echo -e "TEMPLATE = app\nCONFIG -= console app_bundle qt" > $(target).pro + echo -e "SOURCES += $(CFILES) $(ASFILES)" >> $(target).pro + echo -ne "INCLUDEPATH += " >> $(target).pro + echo "$(INCLUDEPATH)" | sed "s!-I!./!g" >> $(target).pro + echo -ne "HEADERS += " >> $(target).pro + find -name "*.h" | tr "\\n" " " >> $(target).pro + echo -ne "\nDEFINES += " >> $(target).pro + echo "$(DEFINES)" | sed "s/-D//g" >> $(target).pro + +qtproject-debug: + @echo "Generating debug build project" + $(QUIET)$(MAKE) DEBUGBUILD=true qtproject + +qtproject: + $(QUIET)rm -f $(target).files $(target).cflags $(target).config $(target).creator $(target).includes $(target).creator.user + @echo "Generating source file list" + $(QUIET)echo "$(CFILES)" | tr ' ' '\n' > $(target).files + @echo -n "Appending found header files from folders " + @echo `echo $(INCLUDEPATH) | sed "s/-I//g"` + $(QUIET)for dir in `echo $(INCLUDEPATH) | sed "s/-I//g"`; do \ + find `echo "$${dir}"` -name "*.h" >> $(target).files; \ + done + @echo "Generating $(target).cflags" + $(QUIET)echo "" > $(target).cflags + @echo "Generating $(target).includes" + $(QUIET)echo $(INCLUDEPATH) | sed "s/-I/,/g" | tr , '\n' | sed "/^$$/d" > $(target).includes; + @echo "Generating $(target).config" + $(QUIET)echo $(DEFINES) | sed "s/-D/,#define /g" | tr , '\n' | sed "/^$$/d" > $(target).config + @echo "Generating $(target).creator" + $(QUIET)echo "[GENERAL]" > $(target).creator + +-include $(CFILES:%.c=$(OBJDIR)/%.c.d) $(ASFILES:%.S=$(OBJDIR)/%.S.d) diff --git a/include/cmsis/core_cm4.h b/include/cmsis/core_cm4.h new file mode 100644 index 0000000..2ec271f --- /dev/null +++ b/include/cmsis/core_cm4.h @@ -0,0 +1,1757 @@ +/**************************************************************************//** + * @file core_cm4.h + * @brief CMSIS Cortex-M4 Core Peripheral Access Layer Header File + * @version V3.01 + * @date 22. March 2012 + * + * @note + * Copyright (C) 2009-2012 ARM Limited. All rights reserved. + * + * @par + * ARM Limited (ARM) is supplying this software for use with Cortex-M + * processor based microcontrollers. This file can be freely distributed + * within development tools that are supporting such ARM based processors. + * + * @par + * THIS SOFTWARE IS PROVIDED "AS IS". NO WARRANTIES, WHETHER EXPRESS, IMPLIED + * OR STATUTORY, INCLUDING, BUT NOT LIMITED TO, IMPLIED WARRANTIES OF + * MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE APPLY TO THIS SOFTWARE. + * ARM SHALL NOT, IN ANY CIRCUMSTANCES, BE LIABLE FOR SPECIAL, INCIDENTAL, OR + * CONSEQUENTIAL DAMAGES, FOR ANY REASON WHATSOEVER. + * + ******************************************************************************/ +#if defined ( __ICCARM__ ) + #pragma system_include /* treat file as system include file for MISRA check */ +#endif + +#ifdef __cplusplus + extern "C" { +#endif + +#ifndef __CORE_CM4_H_GENERIC +#define __CORE_CM4_H_GENERIC + +/** \page CMSIS_MISRA_Exceptions MISRA-C:2004 Compliance Exceptions + CMSIS violates the following MISRA-C:2004 rules: + + \li Required Rule 8.5, object/function definition in header file.
+ Function definitions in header files are used to allow 'inlining'. + + \li Required Rule 18.4, declaration of union type or object of union type: '{...}'.
+ Unions are used for effective representation of core registers. + + \li Advisory Rule 19.7, Function-like macro defined.
+ Function-like macros are used to allow more efficient code. + */ + + +/******************************************************************************* + * CMSIS definitions + ******************************************************************************/ +/** \ingroup Cortex_M4 + @{ + */ + +/* CMSIS CM4 definitions */ +#define __CM4_CMSIS_VERSION_MAIN (0x03) /*!< [31:16] CMSIS HAL main version */ +#define __CM4_CMSIS_VERSION_SUB (0x01) /*!< [15:0] CMSIS HAL sub version */ +#define __CM4_CMSIS_VERSION ((__CM4_CMSIS_VERSION_MAIN << 16) | \ + __CM4_CMSIS_VERSION_SUB ) /*!< CMSIS HAL version number */ + +#define __CORTEX_M (0x04) /*!< Cortex-M Core */ + + +#if defined ( __CC_ARM ) + #define __ASM __asm /*!< asm keyword for ARM Compiler */ + #define __INLINE __inline /*!< inline keyword for ARM Compiler */ + #define __STATIC_INLINE static __inline + +#elif defined ( __ICCARM__ ) + #define __ASM __asm /*!< asm keyword for IAR Compiler */ + #define __INLINE inline /*!< inline keyword for IAR Compiler. Only available in High optimization mode! */ + #define __STATIC_INLINE static inline + +#elif defined ( __TMS470__ ) + #define __ASM __asm /*!< asm keyword for TI CCS Compiler */ + #define __STATIC_INLINE static inline + +#elif defined ( __GNUC__ ) + #define __ASM __asm /*!< asm keyword for GNU Compiler */ + #define __INLINE inline /*!< inline keyword for GNU Compiler */ + #define __STATIC_INLINE static inline + +#elif defined ( __TASKING__ ) + #define __ASM __asm /*!< asm keyword for TASKING Compiler */ + #define __INLINE inline /*!< inline keyword for TASKING Compiler */ + #define __STATIC_INLINE static inline + +#endif + +/** __FPU_USED indicates whether an FPU is used or not. For this, __FPU_PRESENT has to be checked prior to making use of FPU specific registers and functions. +*/ +#if defined ( __CC_ARM ) + #if defined __TARGET_FPU_VFP + #if (__FPU_PRESENT == 1) + #define __FPU_USED 1 + #else + #warning "Compiler generates FPU instructions for a device without an FPU (check __FPU_PRESENT)" + #define __FPU_USED 0 + #endif + #else + #define __FPU_USED 0 + #endif + +#elif defined ( __ICCARM__ ) + #if defined __ARMVFP__ + #if (__FPU_PRESENT == 1) + #define __FPU_USED 1 + #else + #warning "Compiler generates FPU instructions for a device without an FPU (check __FPU_PRESENT)" + #define __FPU_USED 0 + #endif + #else + #define __FPU_USED 0 + #endif + +#elif defined ( __TMS470__ ) + #if defined __TI_VFP_SUPPORT__ + #if (__FPU_PRESENT == 1) + #define __FPU_USED 1 + #else + #warning "Compiler generates FPU instructions for a device without an FPU (check __FPU_PRESENT)" + #define __FPU_USED 0 + #endif + #else + #define __FPU_USED 0 + #endif + +#elif defined ( __GNUC__ ) + #if defined (__VFP_FP__) && !defined(__SOFTFP__) + #if (__FPU_PRESENT == 1) + #define __FPU_USED 1 + #else + #warning "Compiler generates FPU instructions for a device without an FPU (check __FPU_PRESENT)" + #define __FPU_USED 0 + #endif + #else + #define __FPU_USED 0 + #endif + +#elif defined ( __TASKING__ ) + #if defined __FPU_VFP__ + #if (__FPU_PRESENT == 1) + #define __FPU_USED 1 + #else + #error "Compiler generates FPU instructions for a device without an FPU (check __FPU_PRESENT)" + #define __FPU_USED 0 + #endif + #else + #define __FPU_USED 0 + #endif +#endif + +#include /* standard types definitions */ +#include /* Core Instruction Access */ +#include /* Core Function Access */ +#include /* Compiler specific SIMD Intrinsics */ + +#endif /* __CORE_CM4_H_GENERIC */ + +#ifndef __CMSIS_GENERIC + +#ifndef __CORE_CM4_H_DEPENDANT +#define __CORE_CM4_H_DEPENDANT + +/* check device defines and use defaults */ +#if defined __CHECK_DEVICE_DEFINES + #ifndef __CM4_REV + #define __CM4_REV 0x0000 + #warning "__CM4_REV not defined in device header file; using default!" + #endif + + #ifndef __FPU_PRESENT + #define __FPU_PRESENT 0 + #warning "__FPU_PRESENT not defined in device header file; using default!" + #endif + + #ifndef __MPU_PRESENT + #define __MPU_PRESENT 0 + #warning "__MPU_PRESENT not defined in device header file; using default!" + #endif + + #ifndef __NVIC_PRIO_BITS + #define __NVIC_PRIO_BITS 4 + #warning "__NVIC_PRIO_BITS not defined in device header file; using default!" + #endif + + #ifndef __Vendor_SysTickConfig + #define __Vendor_SysTickConfig 0 + #warning "__Vendor_SysTickConfig not defined in device header file; using default!" + #endif +#endif + +/* IO definitions (access restrictions to peripheral registers) */ +/** + \defgroup CMSIS_glob_defs CMSIS Global Defines + + IO Type Qualifiers are used + \li to specify the access to peripheral variables. + \li for automatic generation of peripheral register debug information. +*/ +#ifdef __cplusplus + #define __I volatile /*!< Defines 'read only' permissions */ +#else + #define __I volatile const /*!< Defines 'read only' permissions */ +#endif +#define __O volatile /*!< Defines 'write only' permissions */ +#define __IO volatile /*!< Defines 'read / write' permissions */ + +/*@} end of group Cortex_M4 */ + + + +/******************************************************************************* + * Register Abstraction + Core Register contain: + - Core Register + - Core NVIC Register + - Core SCB Register + - Core SysTick Register + - Core Debug Register + - Core MPU Register + - Core FPU Register + ******************************************************************************/ +/** \defgroup CMSIS_core_register Defines and Type Definitions + \brief Type definitions and defines for Cortex-M processor based devices. +*/ + +/** \ingroup CMSIS_core_register + \defgroup CMSIS_CORE Status and Control Registers + \brief Core Register type definitions. + @{ + */ + +/** \brief Union type to access the Application Program Status Register (APSR). + */ +typedef union +{ + struct + { +#if (__CORTEX_M != 0x04) + uint32_t _reserved0:27; /*!< bit: 0..26 Reserved */ +#else + uint32_t _reserved0:16; /*!< bit: 0..15 Reserved */ + uint32_t GE:4; /*!< bit: 16..19 Greater than or Equal flags */ + uint32_t _reserved1:7; /*!< bit: 20..26 Reserved */ +#endif + uint32_t Q:1; /*!< bit: 27 Saturation condition flag */ + uint32_t V:1; /*!< bit: 28 Overflow condition code flag */ + uint32_t C:1; /*!< bit: 29 Carry condition code flag */ + uint32_t Z:1; /*!< bit: 30 Zero condition code flag */ + uint32_t N:1; /*!< bit: 31 Negative condition code flag */ + } b; /*!< Structure used for bit access */ + uint32_t w; /*!< Type used for word access */ +} APSR_Type; + + +/** \brief Union type to access the Interrupt Program Status Register (IPSR). + */ +typedef union +{ + struct + { + uint32_t ISR:9; /*!< bit: 0.. 8 Exception number */ + uint32_t _reserved0:23; /*!< bit: 9..31 Reserved */ + } b; /*!< Structure used for bit access */ + uint32_t w; /*!< Type used for word access */ +} IPSR_Type; + + +/** \brief Union type to access the Special-Purpose Program Status Registers (xPSR). + */ +typedef union +{ + struct + { + uint32_t ISR:9; /*!< bit: 0.. 8 Exception number */ +#if (__CORTEX_M != 0x04) + uint32_t _reserved0:15; /*!< bit: 9..23 Reserved */ +#else + uint32_t _reserved0:7; /*!< bit: 9..15 Reserved */ + uint32_t GE:4; /*!< bit: 16..19 Greater than or Equal flags */ + uint32_t _reserved1:4; /*!< bit: 20..23 Reserved */ +#endif + uint32_t T:1; /*!< bit: 24 Thumb bit (read 0) */ + uint32_t IT:2; /*!< bit: 25..26 saved IT state (read 0) */ + uint32_t Q:1; /*!< bit: 27 Saturation condition flag */ + uint32_t V:1; /*!< bit: 28 Overflow condition code flag */ + uint32_t C:1; /*!< bit: 29 Carry condition code flag */ + uint32_t Z:1; /*!< bit: 30 Zero condition code flag */ + uint32_t N:1; /*!< bit: 31 Negative condition code flag */ + } b; /*!< Structure used for bit access */ + uint32_t w; /*!< Type used for word access */ +} xPSR_Type; + + +/** \brief Union type to access the Control Registers (CONTROL). + */ +typedef union +{ + struct + { + uint32_t nPRIV:1; /*!< bit: 0 Execution privilege in Thread mode */ + uint32_t SPSEL:1; /*!< bit: 1 Stack to be used */ + uint32_t FPCA:1; /*!< bit: 2 FP extension active flag */ + uint32_t _reserved0:29; /*!< bit: 3..31 Reserved */ + } b; /*!< Structure used for bit access */ + uint32_t w; /*!< Type used for word access */ +} CONTROL_Type; + +/*@} end of group CMSIS_CORE */ + + +/** \ingroup CMSIS_core_register + \defgroup CMSIS_NVIC Nested Vectored Interrupt Controller (NVIC) + \brief Type definitions for the NVIC Registers + @{ + */ + +/** \brief Structure type to access the Nested Vectored Interrupt Controller (NVIC). + */ +typedef struct +{ + __IO uint32_t ISER[8]; /*!< Offset: 0x000 (R/W) Interrupt Set Enable Register */ + uint32_t RESERVED0[24]; + __IO uint32_t ICER[8]; /*!< Offset: 0x080 (R/W) Interrupt Clear Enable Register */ + uint32_t RSERVED1[24]; + __IO uint32_t ISPR[8]; /*!< Offset: 0x100 (R/W) Interrupt Set Pending Register */ + uint32_t RESERVED2[24]; + __IO uint32_t ICPR[8]; /*!< Offset: 0x180 (R/W) Interrupt Clear Pending Register */ + uint32_t RESERVED3[24]; + __IO uint32_t IABR[8]; /*!< Offset: 0x200 (R/W) Interrupt Active bit Register */ + uint32_t RESERVED4[56]; + __IO uint8_t IP[240]; /*!< Offset: 0x300 (R/W) Interrupt Priority Register (8Bit wide) */ + uint32_t RESERVED5[644]; + __O uint32_t STIR; /*!< Offset: 0xE00 ( /W) Software Trigger Interrupt Register */ +} NVIC_Type; + +/* Software Triggered Interrupt Register Definitions */ +#define NVIC_STIR_INTID_Pos 0 /*!< STIR: INTLINESNUM Position */ +#define NVIC_STIR_INTID_Msk (0x1FFUL << NVIC_STIR_INTID_Pos) /*!< STIR: INTLINESNUM Mask */ + +/*@} end of group CMSIS_NVIC */ + + +/** \ingroup CMSIS_core_register + \defgroup CMSIS_SCB System Control Block (SCB) + \brief Type definitions for the System Control Block Registers + @{ + */ + +/** \brief Structure type to access the System Control Block (SCB). + */ +typedef struct +{ + __I uint32_t CPUID; /*!< Offset: 0x000 (R/ ) CPUID Base Register */ + __IO uint32_t ICSR; /*!< Offset: 0x004 (R/W) Interrupt Control and State Register */ + __IO uint32_t VTOR; /*!< Offset: 0x008 (R/W) Vector Table Offset Register */ + __IO uint32_t AIRCR; /*!< Offset: 0x00C (R/W) Application Interrupt and Reset Control Register */ + __IO uint32_t SCR; /*!< Offset: 0x010 (R/W) System Control Register */ + __IO uint32_t CCR; /*!< Offset: 0x014 (R/W) Configuration Control Register */ + __IO uint8_t SHP[12]; /*!< Offset: 0x018 (R/W) System Handlers Priority Registers (4-7, 8-11, 12-15) */ + __IO uint32_t SHCSR; /*!< Offset: 0x024 (R/W) System Handler Control and State Register */ + __IO uint32_t CFSR; /*!< Offset: 0x028 (R/W) Configurable Fault Status Register */ + __IO uint32_t HFSR; /*!< Offset: 0x02C (R/W) HardFault Status Register */ + __IO uint32_t DFSR; /*!< Offset: 0x030 (R/W) Debug Fault Status Register */ + __IO uint32_t MMFAR; /*!< Offset: 0x034 (R/W) MemManage Fault Address Register */ + __IO uint32_t BFAR; /*!< Offset: 0x038 (R/W) BusFault Address Register */ + __IO uint32_t AFSR; /*!< Offset: 0x03C (R/W) Auxiliary Fault Status Register */ + __I uint32_t PFR[2]; /*!< Offset: 0x040 (R/ ) Processor Feature Register */ + __I uint32_t DFR; /*!< Offset: 0x048 (R/ ) Debug Feature Register */ + __I uint32_t ADR; /*!< Offset: 0x04C (R/ ) Auxiliary Feature Register */ + __I uint32_t MMFR[4]; /*!< Offset: 0x050 (R/ ) Memory Model Feature Register */ + __I uint32_t ISAR[5]; /*!< Offset: 0x060 (R/ ) Instruction Set Attributes Register */ + uint32_t RESERVED0[5]; + __IO uint32_t CPACR; /*!< Offset: 0x088 (R/W) Coprocessor Access Control Register */ +} SCB_Type; + +/* SCB CPUID Register Definitions */ +#define SCB_CPUID_IMPLEMENTER_Pos 24 /*!< SCB CPUID: IMPLEMENTER Position */ +#define SCB_CPUID_IMPLEMENTER_Msk (0xFFUL << SCB_CPUID_IMPLEMENTER_Pos) /*!< SCB CPUID: IMPLEMENTER Mask */ + +#define SCB_CPUID_VARIANT_Pos 20 /*!< SCB CPUID: VARIANT Position */ +#define SCB_CPUID_VARIANT_Msk (0xFUL << SCB_CPUID_VARIANT_Pos) /*!< SCB CPUID: VARIANT Mask */ + +#define SCB_CPUID_ARCHITECTURE_Pos 16 /*!< SCB CPUID: ARCHITECTURE Position */ +#define SCB_CPUID_ARCHITECTURE_Msk (0xFUL << SCB_CPUID_ARCHITECTURE_Pos) /*!< SCB CPUID: ARCHITECTURE Mask */ + +#define SCB_CPUID_PARTNO_Pos 4 /*!< SCB CPUID: PARTNO Position */ +#define SCB_CPUID_PARTNO_Msk (0xFFFUL << SCB_CPUID_PARTNO_Pos) /*!< SCB CPUID: PARTNO Mask */ + +#define SCB_CPUID_REVISION_Pos 0 /*!< SCB CPUID: REVISION Position */ +#define SCB_CPUID_REVISION_Msk (0xFUL << SCB_CPUID_REVISION_Pos) /*!< SCB CPUID: REVISION Mask */ + +/* SCB Interrupt Control State Register Definitions */ +#define SCB_ICSR_NMIPENDSET_Pos 31 /*!< SCB ICSR: NMIPENDSET Position */ +#define SCB_ICSR_NMIPENDSET_Msk (1UL << SCB_ICSR_NMIPENDSET_Pos) /*!< SCB ICSR: NMIPENDSET Mask */ + +#define SCB_ICSR_PENDSVSET_Pos 28 /*!< SCB ICSR: PENDSVSET Position */ +#define SCB_ICSR_PENDSVSET_Msk (1UL << SCB_ICSR_PENDSVSET_Pos) /*!< SCB ICSR: PENDSVSET Mask */ + +#define SCB_ICSR_PENDSVCLR_Pos 27 /*!< SCB ICSR: PENDSVCLR Position */ +#define SCB_ICSR_PENDSVCLR_Msk (1UL << SCB_ICSR_PENDSVCLR_Pos) /*!< SCB ICSR: PENDSVCLR Mask */ + +#define SCB_ICSR_PENDSTSET_Pos 26 /*!< SCB ICSR: PENDSTSET Position */ +#define SCB_ICSR_PENDSTSET_Msk (1UL << SCB_ICSR_PENDSTSET_Pos) /*!< SCB ICSR: PENDSTSET Mask */ + +#define SCB_ICSR_PENDSTCLR_Pos 25 /*!< SCB ICSR: PENDSTCLR Position */ +#define SCB_ICSR_PENDSTCLR_Msk (1UL << SCB_ICSR_PENDSTCLR_Pos) /*!< SCB ICSR: PENDSTCLR Mask */ + +#define SCB_ICSR_ISRPREEMPT_Pos 23 /*!< SCB ICSR: ISRPREEMPT Position */ +#define SCB_ICSR_ISRPREEMPT_Msk (1UL << SCB_ICSR_ISRPREEMPT_Pos) /*!< SCB ICSR: ISRPREEMPT Mask */ + +#define SCB_ICSR_ISRPENDING_Pos 22 /*!< SCB ICSR: ISRPENDING Position */ +#define SCB_ICSR_ISRPENDING_Msk (1UL << SCB_ICSR_ISRPENDING_Pos) /*!< SCB ICSR: ISRPENDING Mask */ + +#define SCB_ICSR_VECTPENDING_Pos 12 /*!< SCB ICSR: VECTPENDING Position */ +#define SCB_ICSR_VECTPENDING_Msk (0x1FFUL << SCB_ICSR_VECTPENDING_Pos) /*!< SCB ICSR: VECTPENDING Mask */ + +#define SCB_ICSR_RETTOBASE_Pos 11 /*!< SCB ICSR: RETTOBASE Position */ +#define SCB_ICSR_RETTOBASE_Msk (1UL << SCB_ICSR_RETTOBASE_Pos) /*!< SCB ICSR: RETTOBASE Mask */ + +#define SCB_ICSR_VECTACTIVE_Pos 0 /*!< SCB ICSR: VECTACTIVE Position */ +#define SCB_ICSR_VECTACTIVE_Msk (0x1FFUL << SCB_ICSR_VECTACTIVE_Pos) /*!< SCB ICSR: VECTACTIVE Mask */ + +/* SCB Vector Table Offset Register Definitions */ +#define SCB_VTOR_TBLOFF_Pos 7 /*!< SCB VTOR: TBLOFF Position */ +#define SCB_VTOR_TBLOFF_Msk (0x1FFFFFFUL << SCB_VTOR_TBLOFF_Pos) /*!< SCB VTOR: TBLOFF Mask */ + +/* SCB Application Interrupt and Reset Control Register Definitions */ +#define SCB_AIRCR_VECTKEY_Pos 16 /*!< SCB AIRCR: VECTKEY Position */ +#define SCB_AIRCR_VECTKEY_Msk (0xFFFFUL << SCB_AIRCR_VECTKEY_Pos) /*!< SCB AIRCR: VECTKEY Mask */ + +#define SCB_AIRCR_VECTKEYSTAT_Pos 16 /*!< SCB AIRCR: VECTKEYSTAT Position */ +#define SCB_AIRCR_VECTKEYSTAT_Msk (0xFFFFUL << SCB_AIRCR_VECTKEYSTAT_Pos) /*!< SCB AIRCR: VECTKEYSTAT Mask */ + +#define SCB_AIRCR_ENDIANESS_Pos 15 /*!< SCB AIRCR: ENDIANESS Position */ +#define SCB_AIRCR_ENDIANESS_Msk (1UL << SCB_AIRCR_ENDIANESS_Pos) /*!< SCB AIRCR: ENDIANESS Mask */ + +#define SCB_AIRCR_PRIGROUP_Pos 8 /*!< SCB AIRCR: PRIGROUP Position */ +#define SCB_AIRCR_PRIGROUP_Msk (7UL << SCB_AIRCR_PRIGROUP_Pos) /*!< SCB AIRCR: PRIGROUP Mask */ + +#define SCB_AIRCR_SYSRESETREQ_Pos 2 /*!< SCB AIRCR: SYSRESETREQ Position */ +#define SCB_AIRCR_SYSRESETREQ_Msk (1UL << SCB_AIRCR_SYSRESETREQ_Pos) /*!< SCB AIRCR: SYSRESETREQ Mask */ + +#define SCB_AIRCR_VECTCLRACTIVE_Pos 1 /*!< SCB AIRCR: VECTCLRACTIVE Position */ +#define SCB_AIRCR_VECTCLRACTIVE_Msk (1UL << SCB_AIRCR_VECTCLRACTIVE_Pos) /*!< SCB AIRCR: VECTCLRACTIVE Mask */ + +#define SCB_AIRCR_VECTRESET_Pos 0 /*!< SCB AIRCR: VECTRESET Position */ +#define SCB_AIRCR_VECTRESET_Msk (1UL << SCB_AIRCR_VECTRESET_Pos) /*!< SCB AIRCR: VECTRESET Mask */ + +/* SCB System Control Register Definitions */ +#define SCB_SCR_SEVONPEND_Pos 4 /*!< SCB SCR: SEVONPEND Position */ +#define SCB_SCR_SEVONPEND_Msk (1UL << SCB_SCR_SEVONPEND_Pos) /*!< SCB SCR: SEVONPEND Mask */ + +#define SCB_SCR_SLEEPDEEP_Pos 2 /*!< SCB SCR: SLEEPDEEP Position */ +#define SCB_SCR_SLEEPDEEP_Msk (1UL << SCB_SCR_SLEEPDEEP_Pos) /*!< SCB SCR: SLEEPDEEP Mask */ + +#define SCB_SCR_SLEEPONEXIT_Pos 1 /*!< SCB SCR: SLEEPONEXIT Position */ +#define SCB_SCR_SLEEPONEXIT_Msk (1UL << SCB_SCR_SLEEPONEXIT_Pos) /*!< SCB SCR: SLEEPONEXIT Mask */ + +/* SCB Configuration Control Register Definitions */ +#define SCB_CCR_STKALIGN_Pos 9 /*!< SCB CCR: STKALIGN Position */ +#define SCB_CCR_STKALIGN_Msk (1UL << SCB_CCR_STKALIGN_Pos) /*!< SCB CCR: STKALIGN Mask */ + +#define SCB_CCR_BFHFNMIGN_Pos 8 /*!< SCB CCR: BFHFNMIGN Position */ +#define SCB_CCR_BFHFNMIGN_Msk (1UL << SCB_CCR_BFHFNMIGN_Pos) /*!< SCB CCR: BFHFNMIGN Mask */ + +#define SCB_CCR_DIV_0_TRP_Pos 4 /*!< SCB CCR: DIV_0_TRP Position */ +#define SCB_CCR_DIV_0_TRP_Msk (1UL << SCB_CCR_DIV_0_TRP_Pos) /*!< SCB CCR: DIV_0_TRP Mask */ + +#define SCB_CCR_UNALIGN_TRP_Pos 3 /*!< SCB CCR: UNALIGN_TRP Position */ +#define SCB_CCR_UNALIGN_TRP_Msk (1UL << SCB_CCR_UNALIGN_TRP_Pos) /*!< SCB CCR: UNALIGN_TRP Mask */ + +#define SCB_CCR_USERSETMPEND_Pos 1 /*!< SCB CCR: USERSETMPEND Position */ +#define SCB_CCR_USERSETMPEND_Msk (1UL << SCB_CCR_USERSETMPEND_Pos) /*!< SCB CCR: USERSETMPEND Mask */ + +#define SCB_CCR_NONBASETHRDENA_Pos 0 /*!< SCB CCR: NONBASETHRDENA Position */ +#define SCB_CCR_NONBASETHRDENA_Msk (1UL << SCB_CCR_NONBASETHRDENA_Pos) /*!< SCB CCR: NONBASETHRDENA Mask */ + +/* SCB System Handler Control and State Register Definitions */ +#define SCB_SHCSR_USGFAULTENA_Pos 18 /*!< SCB SHCSR: USGFAULTENA Position */ +#define SCB_SHCSR_USGFAULTENA_Msk (1UL << SCB_SHCSR_USGFAULTENA_Pos) /*!< SCB SHCSR: USGFAULTENA Mask */ + +#define SCB_SHCSR_BUSFAULTENA_Pos 17 /*!< SCB SHCSR: BUSFAULTENA Position */ +#define SCB_SHCSR_BUSFAULTENA_Msk (1UL << SCB_SHCSR_BUSFAULTENA_Pos) /*!< SCB SHCSR: BUSFAULTENA Mask */ + +#define SCB_SHCSR_MEMFAULTENA_Pos 16 /*!< SCB SHCSR: MEMFAULTENA Position */ +#define SCB_SHCSR_MEMFAULTENA_Msk (1UL << SCB_SHCSR_MEMFAULTENA_Pos) /*!< SCB SHCSR: MEMFAULTENA Mask */ + +#define SCB_SHCSR_SVCALLPENDED_Pos 15 /*!< SCB SHCSR: SVCALLPENDED Position */ +#define SCB_SHCSR_SVCALLPENDED_Msk (1UL << SCB_SHCSR_SVCALLPENDED_Pos) /*!< SCB SHCSR: SVCALLPENDED Mask */ + +#define SCB_SHCSR_BUSFAULTPENDED_Pos 14 /*!< SCB SHCSR: BUSFAULTPENDED Position */ +#define SCB_SHCSR_BUSFAULTPENDED_Msk (1UL << SCB_SHCSR_BUSFAULTPENDED_Pos) /*!< SCB SHCSR: BUSFAULTPENDED Mask */ + +#define SCB_SHCSR_MEMFAULTPENDED_Pos 13 /*!< SCB SHCSR: MEMFAULTPENDED Position */ +#define SCB_SHCSR_MEMFAULTPENDED_Msk (1UL << SCB_SHCSR_MEMFAULTPENDED_Pos) /*!< SCB SHCSR: MEMFAULTPENDED Mask */ + +#define SCB_SHCSR_USGFAULTPENDED_Pos 12 /*!< SCB SHCSR: USGFAULTPENDED Position */ +#define SCB_SHCSR_USGFAULTPENDED_Msk (1UL << SCB_SHCSR_USGFAULTPENDED_Pos) /*!< SCB SHCSR: USGFAULTPENDED Mask */ + +#define SCB_SHCSR_SYSTICKACT_Pos 11 /*!< SCB SHCSR: SYSTICKACT Position */ +#define SCB_SHCSR_SYSTICKACT_Msk (1UL << SCB_SHCSR_SYSTICKACT_Pos) /*!< SCB SHCSR: SYSTICKACT Mask */ + +#define SCB_SHCSR_PENDSVACT_Pos 10 /*!< SCB SHCSR: PENDSVACT Position */ +#define SCB_SHCSR_PENDSVACT_Msk (1UL << SCB_SHCSR_PENDSVACT_Pos) /*!< SCB SHCSR: PENDSVACT Mask */ + +#define SCB_SHCSR_MONITORACT_Pos 8 /*!< SCB SHCSR: MONITORACT Position */ +#define SCB_SHCSR_MONITORACT_Msk (1UL << SCB_SHCSR_MONITORACT_Pos) /*!< SCB SHCSR: MONITORACT Mask */ + +#define SCB_SHCSR_SVCALLACT_Pos 7 /*!< SCB SHCSR: SVCALLACT Position */ +#define SCB_SHCSR_SVCALLACT_Msk (1UL << SCB_SHCSR_SVCALLACT_Pos) /*!< SCB SHCSR: SVCALLACT Mask */ + +#define SCB_SHCSR_USGFAULTACT_Pos 3 /*!< SCB SHCSR: USGFAULTACT Position */ +#define SCB_SHCSR_USGFAULTACT_Msk (1UL << SCB_SHCSR_USGFAULTACT_Pos) /*!< SCB SHCSR: USGFAULTACT Mask */ + +#define SCB_SHCSR_BUSFAULTACT_Pos 1 /*!< SCB SHCSR: BUSFAULTACT Position */ +#define SCB_SHCSR_BUSFAULTACT_Msk (1UL << SCB_SHCSR_BUSFAULTACT_Pos) /*!< SCB SHCSR: BUSFAULTACT Mask */ + +#define SCB_SHCSR_MEMFAULTACT_Pos 0 /*!< SCB SHCSR: MEMFAULTACT Position */ +#define SCB_SHCSR_MEMFAULTACT_Msk (1UL << SCB_SHCSR_MEMFAULTACT_Pos) /*!< SCB SHCSR: MEMFAULTACT Mask */ + +/* SCB Configurable Fault Status Registers Definitions */ +#define SCB_CFSR_USGFAULTSR_Pos 16 /*!< SCB CFSR: Usage Fault Status Register Position */ +#define SCB_CFSR_USGFAULTSR_Msk (0xFFFFUL << SCB_CFSR_USGFAULTSR_Pos) /*!< SCB CFSR: Usage Fault Status Register Mask */ + +#define SCB_CFSR_BUSFAULTSR_Pos 8 /*!< SCB CFSR: Bus Fault Status Register Position */ +#define SCB_CFSR_BUSFAULTSR_Msk (0xFFUL << SCB_CFSR_BUSFAULTSR_Pos) /*!< SCB CFSR: Bus Fault Status Register Mask */ + +#define SCB_CFSR_MEMFAULTSR_Pos 0 /*!< SCB CFSR: Memory Manage Fault Status Register Position */ +#define SCB_CFSR_MEMFAULTSR_Msk (0xFFUL << SCB_CFSR_MEMFAULTSR_Pos) /*!< SCB CFSR: Memory Manage Fault Status Register Mask */ + +/* SCB Hard Fault Status Registers Definitions */ +#define SCB_HFSR_DEBUGEVT_Pos 31 /*!< SCB HFSR: DEBUGEVT Position */ +#define SCB_HFSR_DEBUGEVT_Msk (1UL << SCB_HFSR_DEBUGEVT_Pos) /*!< SCB HFSR: DEBUGEVT Mask */ + +#define SCB_HFSR_FORCED_Pos 30 /*!< SCB HFSR: FORCED Position */ +#define SCB_HFSR_FORCED_Msk (1UL << SCB_HFSR_FORCED_Pos) /*!< SCB HFSR: FORCED Mask */ + +#define SCB_HFSR_VECTTBL_Pos 1 /*!< SCB HFSR: VECTTBL Position */ +#define SCB_HFSR_VECTTBL_Msk (1UL << SCB_HFSR_VECTTBL_Pos) /*!< SCB HFSR: VECTTBL Mask */ + +/* SCB Debug Fault Status Register Definitions */ +#define SCB_DFSR_EXTERNAL_Pos 4 /*!< SCB DFSR: EXTERNAL Position */ +#define SCB_DFSR_EXTERNAL_Msk (1UL << SCB_DFSR_EXTERNAL_Pos) /*!< SCB DFSR: EXTERNAL Mask */ + +#define SCB_DFSR_VCATCH_Pos 3 /*!< SCB DFSR: VCATCH Position */ +#define SCB_DFSR_VCATCH_Msk (1UL << SCB_DFSR_VCATCH_Pos) /*!< SCB DFSR: VCATCH Mask */ + +#define SCB_DFSR_DWTTRAP_Pos 2 /*!< SCB DFSR: DWTTRAP Position */ +#define SCB_DFSR_DWTTRAP_Msk (1UL << SCB_DFSR_DWTTRAP_Pos) /*!< SCB DFSR: DWTTRAP Mask */ + +#define SCB_DFSR_BKPT_Pos 1 /*!< SCB DFSR: BKPT Position */ +#define SCB_DFSR_BKPT_Msk (1UL << SCB_DFSR_BKPT_Pos) /*!< SCB DFSR: BKPT Mask */ + +#define SCB_DFSR_HALTED_Pos 0 /*!< SCB DFSR: HALTED Position */ +#define SCB_DFSR_HALTED_Msk (1UL << SCB_DFSR_HALTED_Pos) /*!< SCB DFSR: HALTED Mask */ + +/*@} end of group CMSIS_SCB */ + + +/** \ingroup CMSIS_core_register + \defgroup CMSIS_SCnSCB System Controls not in SCB (SCnSCB) + \brief Type definitions for the System Control and ID Register not in the SCB + @{ + */ + +/** \brief Structure type to access the System Control and ID Register not in the SCB. + */ +typedef struct +{ + uint32_t RESERVED0[1]; + __I uint32_t ICTR; /*!< Offset: 0x004 (R/ ) Interrupt Controller Type Register */ + __IO uint32_t ACTLR; /*!< Offset: 0x008 (R/W) Auxiliary Control Register */ +} SCnSCB_Type; + +/* Interrupt Controller Type Register Definitions */ +#define SCnSCB_ICTR_INTLINESNUM_Pos 0 /*!< ICTR: INTLINESNUM Position */ +#define SCnSCB_ICTR_INTLINESNUM_Msk (0xFUL << SCnSCB_ICTR_INTLINESNUM_Pos) /*!< ICTR: INTLINESNUM Mask */ + +/* Auxiliary Control Register Definitions */ +#define SCnSCB_ACTLR_DISOOFP_Pos 9 /*!< ACTLR: DISOOFP Position */ +#define SCnSCB_ACTLR_DISOOFP_Msk (1UL << SCnSCB_ACTLR_DISOOFP_Pos) /*!< ACTLR: DISOOFP Mask */ + +#define SCnSCB_ACTLR_DISFPCA_Pos 8 /*!< ACTLR: DISFPCA Position */ +#define SCnSCB_ACTLR_DISFPCA_Msk (1UL << SCnSCB_ACTLR_DISFPCA_Pos) /*!< ACTLR: DISFPCA Mask */ + +#define SCnSCB_ACTLR_DISFOLD_Pos 2 /*!< ACTLR: DISFOLD Position */ +#define SCnSCB_ACTLR_DISFOLD_Msk (1UL << SCnSCB_ACTLR_DISFOLD_Pos) /*!< ACTLR: DISFOLD Mask */ + +#define SCnSCB_ACTLR_DISDEFWBUF_Pos 1 /*!< ACTLR: DISDEFWBUF Position */ +#define SCnSCB_ACTLR_DISDEFWBUF_Msk (1UL << SCnSCB_ACTLR_DISDEFWBUF_Pos) /*!< ACTLR: DISDEFWBUF Mask */ + +#define SCnSCB_ACTLR_DISMCYCINT_Pos 0 /*!< ACTLR: DISMCYCINT Position */ +#define SCnSCB_ACTLR_DISMCYCINT_Msk (1UL << SCnSCB_ACTLR_DISMCYCINT_Pos) /*!< ACTLR: DISMCYCINT Mask */ + +/*@} end of group CMSIS_SCnotSCB */ + + +/** \ingroup CMSIS_core_register + \defgroup CMSIS_SysTick System Tick Timer (SysTick) + \brief Type definitions for the System Timer Registers. + @{ + */ + +/** \brief Structure type to access the System Timer (SysTick). + */ +typedef struct +{ + __IO uint32_t CTRL; /*!< Offset: 0x000 (R/W) SysTick Control and Status Register */ + __IO uint32_t LOAD; /*!< Offset: 0x004 (R/W) SysTick Reload Value Register */ + __IO uint32_t VAL; /*!< Offset: 0x008 (R/W) SysTick Current Value Register */ + __I uint32_t CALIB; /*!< Offset: 0x00C (R/ ) SysTick Calibration Register */ +} SysTick_Type; + +/* SysTick Control / Status Register Definitions */ +#define SysTick_CTRL_COUNTFLAG_Pos 16 /*!< SysTick CTRL: COUNTFLAG Position */ +#define SysTick_CTRL_COUNTFLAG_Msk (1UL << SysTick_CTRL_COUNTFLAG_Pos) /*!< SysTick CTRL: COUNTFLAG Mask */ + +#define SysTick_CTRL_CLKSOURCE_Pos 2 /*!< SysTick CTRL: CLKSOURCE Position */ +#define SysTick_CTRL_CLKSOURCE_Msk (1UL << SysTick_CTRL_CLKSOURCE_Pos) /*!< SysTick CTRL: CLKSOURCE Mask */ + +#define SysTick_CTRL_TICKINT_Pos 1 /*!< SysTick CTRL: TICKINT Position */ +#define SysTick_CTRL_TICKINT_Msk (1UL << SysTick_CTRL_TICKINT_Pos) /*!< SysTick CTRL: TICKINT Mask */ + +#define SysTick_CTRL_ENABLE_Pos 0 /*!< SysTick CTRL: ENABLE Position */ +#define SysTick_CTRL_ENABLE_Msk (1UL << SysTick_CTRL_ENABLE_Pos) /*!< SysTick CTRL: ENABLE Mask */ + +/* SysTick Reload Register Definitions */ +#define SysTick_LOAD_RELOAD_Pos 0 /*!< SysTick LOAD: RELOAD Position */ +#define SysTick_LOAD_RELOAD_Msk (0xFFFFFFUL << SysTick_LOAD_RELOAD_Pos) /*!< SysTick LOAD: RELOAD Mask */ + +/* SysTick Current Register Definitions */ +#define SysTick_VAL_CURRENT_Pos 0 /*!< SysTick VAL: CURRENT Position */ +#define SysTick_VAL_CURRENT_Msk (0xFFFFFFUL << SysTick_VAL_CURRENT_Pos) /*!< SysTick VAL: CURRENT Mask */ + +/* SysTick Calibration Register Definitions */ +#define SysTick_CALIB_NOREF_Pos 31 /*!< SysTick CALIB: NOREF Position */ +#define SysTick_CALIB_NOREF_Msk (1UL << SysTick_CALIB_NOREF_Pos) /*!< SysTick CALIB: NOREF Mask */ + +#define SysTick_CALIB_SKEW_Pos 30 /*!< SysTick CALIB: SKEW Position */ +#define SysTick_CALIB_SKEW_Msk (1UL << SysTick_CALIB_SKEW_Pos) /*!< SysTick CALIB: SKEW Mask */ + +#define SysTick_CALIB_TENMS_Pos 0 /*!< SysTick CALIB: TENMS Position */ +#define SysTick_CALIB_TENMS_Msk (0xFFFFFFUL << SysTick_VAL_CURRENT_Pos) /*!< SysTick CALIB: TENMS Mask */ + +/*@} end of group CMSIS_SysTick */ + + +/** \ingroup CMSIS_core_register + \defgroup CMSIS_ITM Instrumentation Trace Macrocell (ITM) + \brief Type definitions for the Instrumentation Trace Macrocell (ITM) + @{ + */ + +/** \brief Structure type to access the Instrumentation Trace Macrocell Register (ITM). + */ +typedef struct +{ + __O union + { + __O uint8_t u8; /*!< Offset: 0x000 ( /W) ITM Stimulus Port 8-bit */ + __O uint16_t u16; /*!< Offset: 0x000 ( /W) ITM Stimulus Port 16-bit */ + __O uint32_t u32; /*!< Offset: 0x000 ( /W) ITM Stimulus Port 32-bit */ + } PORT [32]; /*!< Offset: 0x000 ( /W) ITM Stimulus Port Registers */ + uint32_t RESERVED0[864]; + __IO uint32_t TER; /*!< Offset: 0xE00 (R/W) ITM Trace Enable Register */ + uint32_t RESERVED1[15]; + __IO uint32_t TPR; /*!< Offset: 0xE40 (R/W) ITM Trace Privilege Register */ + uint32_t RESERVED2[15]; + __IO uint32_t TCR; /*!< Offset: 0xE80 (R/W) ITM Trace Control Register */ + uint32_t RESERVED3[29]; + __O uint32_t IWR; /*!< Offset: 0xEF8 ( /W) ITM Integration Write Register */ + __I uint32_t IRR; /*!< Offset: 0xEFC (R/ ) ITM Integration Read Register */ + __IO uint32_t IMCR; /*!< Offset: 0xF00 (R/W) ITM Integration Mode Control Register */ + uint32_t RESERVED4[43]; + __O uint32_t LAR; /*!< Offset: 0xFB0 ( /W) ITM Lock Access Register */ + __I uint32_t LSR; /*!< Offset: 0xFB4 (R/ ) ITM Lock Status Register */ + uint32_t RESERVED5[6]; + __I uint32_t PID4; /*!< Offset: 0xFD0 (R/ ) ITM Peripheral Identification Register #4 */ + __I uint32_t PID5; /*!< Offset: 0xFD4 (R/ ) ITM Peripheral Identification Register #5 */ + __I uint32_t PID6; /*!< Offset: 0xFD8 (R/ ) ITM Peripheral Identification Register #6 */ + __I uint32_t PID7; /*!< Offset: 0xFDC (R/ ) ITM Peripheral Identification Register #7 */ + __I uint32_t PID0; /*!< Offset: 0xFE0 (R/ ) ITM Peripheral Identification Register #0 */ + __I uint32_t PID1; /*!< Offset: 0xFE4 (R/ ) ITM Peripheral Identification Register #1 */ + __I uint32_t PID2; /*!< Offset: 0xFE8 (R/ ) ITM Peripheral Identification Register #2 */ + __I uint32_t PID3; /*!< Offset: 0xFEC (R/ ) ITM Peripheral Identification Register #3 */ + __I uint32_t CID0; /*!< Offset: 0xFF0 (R/ ) ITM Component Identification Register #0 */ + __I uint32_t CID1; /*!< Offset: 0xFF4 (R/ ) ITM Component Identification Register #1 */ + __I uint32_t CID2; /*!< Offset: 0xFF8 (R/ ) ITM Component Identification Register #2 */ + __I uint32_t CID3; /*!< Offset: 0xFFC (R/ ) ITM Component Identification Register #3 */ +} ITM_Type; + +/* ITM Trace Privilege Register Definitions */ +#define ITM_TPR_PRIVMASK_Pos 0 /*!< ITM TPR: PRIVMASK Position */ +#define ITM_TPR_PRIVMASK_Msk (0xFUL << ITM_TPR_PRIVMASK_Pos) /*!< ITM TPR: PRIVMASK Mask */ + +/* ITM Trace Control Register Definitions */ +#define ITM_TCR_BUSY_Pos 23 /*!< ITM TCR: BUSY Position */ +#define ITM_TCR_BUSY_Msk (1UL << ITM_TCR_BUSY_Pos) /*!< ITM TCR: BUSY Mask */ + +#define ITM_TCR_TraceBusID_Pos 16 /*!< ITM TCR: ATBID Position */ +#define ITM_TCR_TraceBusID_Msk (0x7FUL << ITM_TCR_TraceBusID_Pos) /*!< ITM TCR: ATBID Mask */ + +#define ITM_TCR_GTSFREQ_Pos 10 /*!< ITM TCR: Global timestamp frequency Position */ +#define ITM_TCR_GTSFREQ_Msk (3UL << ITM_TCR_GTSFREQ_Pos) /*!< ITM TCR: Global timestamp frequency Mask */ + +#define ITM_TCR_TSPrescale_Pos 8 /*!< ITM TCR: TSPrescale Position */ +#define ITM_TCR_TSPrescale_Msk (3UL << ITM_TCR_TSPrescale_Pos) /*!< ITM TCR: TSPrescale Mask */ + +#define ITM_TCR_SWOENA_Pos 4 /*!< ITM TCR: SWOENA Position */ +#define ITM_TCR_SWOENA_Msk (1UL << ITM_TCR_SWOENA_Pos) /*!< ITM TCR: SWOENA Mask */ + +#define ITM_TCR_DWTENA_Pos 3 /*!< ITM TCR: DWTENA Position */ +#define ITM_TCR_DWTENA_Msk (1UL << ITM_TCR_DWTENA_Pos) /*!< ITM TCR: DWTENA Mask */ + +#define ITM_TCR_SYNCENA_Pos 2 /*!< ITM TCR: SYNCENA Position */ +#define ITM_TCR_SYNCENA_Msk (1UL << ITM_TCR_SYNCENA_Pos) /*!< ITM TCR: SYNCENA Mask */ + +#define ITM_TCR_TSENA_Pos 1 /*!< ITM TCR: TSENA Position */ +#define ITM_TCR_TSENA_Msk (1UL << ITM_TCR_TSENA_Pos) /*!< ITM TCR: TSENA Mask */ + +#define ITM_TCR_ITMENA_Pos 0 /*!< ITM TCR: ITM Enable bit Position */ +#define ITM_TCR_ITMENA_Msk (1UL << ITM_TCR_ITMENA_Pos) /*!< ITM TCR: ITM Enable bit Mask */ + +/* ITM Integration Write Register Definitions */ +#define ITM_IWR_ATVALIDM_Pos 0 /*!< ITM IWR: ATVALIDM Position */ +#define ITM_IWR_ATVALIDM_Msk (1UL << ITM_IWR_ATVALIDM_Pos) /*!< ITM IWR: ATVALIDM Mask */ + +/* ITM Integration Read Register Definitions */ +#define ITM_IRR_ATREADYM_Pos 0 /*!< ITM IRR: ATREADYM Position */ +#define ITM_IRR_ATREADYM_Msk (1UL << ITM_IRR_ATREADYM_Pos) /*!< ITM IRR: ATREADYM Mask */ + +/* ITM Integration Mode Control Register Definitions */ +#define ITM_IMCR_INTEGRATION_Pos 0 /*!< ITM IMCR: INTEGRATION Position */ +#define ITM_IMCR_INTEGRATION_Msk (1UL << ITM_IMCR_INTEGRATION_Pos) /*!< ITM IMCR: INTEGRATION Mask */ + +/* ITM Lock Status Register Definitions */ +#define ITM_LSR_ByteAcc_Pos 2 /*!< ITM LSR: ByteAcc Position */ +#define ITM_LSR_ByteAcc_Msk (1UL << ITM_LSR_ByteAcc_Pos) /*!< ITM LSR: ByteAcc Mask */ + +#define ITM_LSR_Access_Pos 1 /*!< ITM LSR: Access Position */ +#define ITM_LSR_Access_Msk (1UL << ITM_LSR_Access_Pos) /*!< ITM LSR: Access Mask */ + +#define ITM_LSR_Present_Pos 0 /*!< ITM LSR: Present Position */ +#define ITM_LSR_Present_Msk (1UL << ITM_LSR_Present_Pos) /*!< ITM LSR: Present Mask */ + +/*@}*/ /* end of group CMSIS_ITM */ + + +/** \ingroup CMSIS_core_register + \defgroup CMSIS_DWT Data Watchpoint and Trace (DWT) + \brief Type definitions for the Data Watchpoint and Trace (DWT) + @{ + */ + +/** \brief Structure type to access the Data Watchpoint and Trace Register (DWT). + */ +typedef struct +{ + __IO uint32_t CTRL; /*!< Offset: 0x000 (R/W) Control Register */ + __IO uint32_t CYCCNT; /*!< Offset: 0x004 (R/W) Cycle Count Register */ + __IO uint32_t CPICNT; /*!< Offset: 0x008 (R/W) CPI Count Register */ + __IO uint32_t EXCCNT; /*!< Offset: 0x00C (R/W) Exception Overhead Count Register */ + __IO uint32_t SLEEPCNT; /*!< Offset: 0x010 (R/W) Sleep Count Register */ + __IO uint32_t LSUCNT; /*!< Offset: 0x014 (R/W) LSU Count Register */ + __IO uint32_t FOLDCNT; /*!< Offset: 0x018 (R/W) Folded-instruction Count Register */ + __I uint32_t PCSR; /*!< Offset: 0x01C (R/ ) Program Counter Sample Register */ + __IO uint32_t COMP0; /*!< Offset: 0x020 (R/W) Comparator Register 0 */ + __IO uint32_t MASK0; /*!< Offset: 0x024 (R/W) Mask Register 0 */ + __IO uint32_t FUNCTION0; /*!< Offset: 0x028 (R/W) Function Register 0 */ + uint32_t RESERVED0[1]; + __IO uint32_t COMP1; /*!< Offset: 0x030 (R/W) Comparator Register 1 */ + __IO uint32_t MASK1; /*!< Offset: 0x034 (R/W) Mask Register 1 */ + __IO uint32_t FUNCTION1; /*!< Offset: 0x038 (R/W) Function Register 1 */ + uint32_t RESERVED1[1]; + __IO uint32_t COMP2; /*!< Offset: 0x040 (R/W) Comparator Register 2 */ + __IO uint32_t MASK2; /*!< Offset: 0x044 (R/W) Mask Register 2 */ + __IO uint32_t FUNCTION2; /*!< Offset: 0x048 (R/W) Function Register 2 */ + uint32_t RESERVED2[1]; + __IO uint32_t COMP3; /*!< Offset: 0x050 (R/W) Comparator Register 3 */ + __IO uint32_t MASK3; /*!< Offset: 0x054 (R/W) Mask Register 3 */ + __IO uint32_t FUNCTION3; /*!< Offset: 0x058 (R/W) Function Register 3 */ +} DWT_Type; + +/* DWT Control Register Definitions */ +#define DWT_CTRL_NUMCOMP_Pos 28 /*!< DWT CTRL: NUMCOMP Position */ +#define DWT_CTRL_NUMCOMP_Msk (0xFUL << DWT_CTRL_NUMCOMP_Pos) /*!< DWT CTRL: NUMCOMP Mask */ + +#define DWT_CTRL_NOTRCPKT_Pos 27 /*!< DWT CTRL: NOTRCPKT Position */ +#define DWT_CTRL_NOTRCPKT_Msk (0x1UL << DWT_CTRL_NOTRCPKT_Pos) /*!< DWT CTRL: NOTRCPKT Mask */ + +#define DWT_CTRL_NOEXTTRIG_Pos 26 /*!< DWT CTRL: NOEXTTRIG Position */ +#define DWT_CTRL_NOEXTTRIG_Msk (0x1UL << DWT_CTRL_NOEXTTRIG_Pos) /*!< DWT CTRL: NOEXTTRIG Mask */ + +#define DWT_CTRL_NOCYCCNT_Pos 25 /*!< DWT CTRL: NOCYCCNT Position */ +#define DWT_CTRL_NOCYCCNT_Msk (0x1UL << DWT_CTRL_NOCYCCNT_Pos) /*!< DWT CTRL: NOCYCCNT Mask */ + +#define DWT_CTRL_NOPRFCNT_Pos 24 /*!< DWT CTRL: NOPRFCNT Position */ +#define DWT_CTRL_NOPRFCNT_Msk (0x1UL << DWT_CTRL_NOPRFCNT_Pos) /*!< DWT CTRL: NOPRFCNT Mask */ + +#define DWT_CTRL_CYCEVTENA_Pos 22 /*!< DWT CTRL: CYCEVTENA Position */ +#define DWT_CTRL_CYCEVTENA_Msk (0x1UL << DWT_CTRL_CYCEVTENA_Pos) /*!< DWT CTRL: CYCEVTENA Mask */ + +#define DWT_CTRL_FOLDEVTENA_Pos 21 /*!< DWT CTRL: FOLDEVTENA Position */ +#define DWT_CTRL_FOLDEVTENA_Msk (0x1UL << DWT_CTRL_FOLDEVTENA_Pos) /*!< DWT CTRL: FOLDEVTENA Mask */ + +#define DWT_CTRL_LSUEVTENA_Pos 20 /*!< DWT CTRL: LSUEVTENA Position */ +#define DWT_CTRL_LSUEVTENA_Msk (0x1UL << DWT_CTRL_LSUEVTENA_Pos) /*!< DWT CTRL: LSUEVTENA Mask */ + +#define DWT_CTRL_SLEEPEVTENA_Pos 19 /*!< DWT CTRL: SLEEPEVTENA Position */ +#define DWT_CTRL_SLEEPEVTENA_Msk (0x1UL << DWT_CTRL_SLEEPEVTENA_Pos) /*!< DWT CTRL: SLEEPEVTENA Mask */ + +#define DWT_CTRL_EXCEVTENA_Pos 18 /*!< DWT CTRL: EXCEVTENA Position */ +#define DWT_CTRL_EXCEVTENA_Msk (0x1UL << DWT_CTRL_EXCEVTENA_Pos) /*!< DWT CTRL: EXCEVTENA Mask */ + +#define DWT_CTRL_CPIEVTENA_Pos 17 /*!< DWT CTRL: CPIEVTENA Position */ +#define DWT_CTRL_CPIEVTENA_Msk (0x1UL << DWT_CTRL_CPIEVTENA_Pos) /*!< DWT CTRL: CPIEVTENA Mask */ + +#define DWT_CTRL_EXCTRCENA_Pos 16 /*!< DWT CTRL: EXCTRCENA Position */ +#define DWT_CTRL_EXCTRCENA_Msk (0x1UL << DWT_CTRL_EXCTRCENA_Pos) /*!< DWT CTRL: EXCTRCENA Mask */ + +#define DWT_CTRL_PCSAMPLENA_Pos 12 /*!< DWT CTRL: PCSAMPLENA Position */ +#define DWT_CTRL_PCSAMPLENA_Msk (0x1UL << DWT_CTRL_PCSAMPLENA_Pos) /*!< DWT CTRL: PCSAMPLENA Mask */ + +#define DWT_CTRL_SYNCTAP_Pos 10 /*!< DWT CTRL: SYNCTAP Position */ +#define DWT_CTRL_SYNCTAP_Msk (0x3UL << DWT_CTRL_SYNCTAP_Pos) /*!< DWT CTRL: SYNCTAP Mask */ + +#define DWT_CTRL_CYCTAP_Pos 9 /*!< DWT CTRL: CYCTAP Position */ +#define DWT_CTRL_CYCTAP_Msk (0x1UL << DWT_CTRL_CYCTAP_Pos) /*!< DWT CTRL: CYCTAP Mask */ + +#define DWT_CTRL_POSTINIT_Pos 5 /*!< DWT CTRL: POSTINIT Position */ +#define DWT_CTRL_POSTINIT_Msk (0xFUL << DWT_CTRL_POSTINIT_Pos) /*!< DWT CTRL: POSTINIT Mask */ + +#define DWT_CTRL_POSTPRESET_Pos 1 /*!< DWT CTRL: POSTPRESET Position */ +#define DWT_CTRL_POSTPRESET_Msk (0xFUL << DWT_CTRL_POSTPRESET_Pos) /*!< DWT CTRL: POSTPRESET Mask */ + +#define DWT_CTRL_CYCCNTENA_Pos 0 /*!< DWT CTRL: CYCCNTENA Position */ +#define DWT_CTRL_CYCCNTENA_Msk (0x1UL << DWT_CTRL_CYCCNTENA_Pos) /*!< DWT CTRL: CYCCNTENA Mask */ + +/* DWT CPI Count Register Definitions */ +#define DWT_CPICNT_CPICNT_Pos 0 /*!< DWT CPICNT: CPICNT Position */ +#define DWT_CPICNT_CPICNT_Msk (0xFFUL << DWT_CPICNT_CPICNT_Pos) /*!< DWT CPICNT: CPICNT Mask */ + +/* DWT Exception Overhead Count Register Definitions */ +#define DWT_EXCCNT_EXCCNT_Pos 0 /*!< DWT EXCCNT: EXCCNT Position */ +#define DWT_EXCCNT_EXCCNT_Msk (0xFFUL << DWT_EXCCNT_EXCCNT_Pos) /*!< DWT EXCCNT: EXCCNT Mask */ + +/* DWT Sleep Count Register Definitions */ +#define DWT_SLEEPCNT_SLEEPCNT_Pos 0 /*!< DWT SLEEPCNT: SLEEPCNT Position */ +#define DWT_SLEEPCNT_SLEEPCNT_Msk (0xFFUL << DWT_SLEEPCNT_SLEEPCNT_Pos) /*!< DWT SLEEPCNT: SLEEPCNT Mask */ + +/* DWT LSU Count Register Definitions */ +#define DWT_LSUCNT_LSUCNT_Pos 0 /*!< DWT LSUCNT: LSUCNT Position */ +#define DWT_LSUCNT_LSUCNT_Msk (0xFFUL << DWT_LSUCNT_LSUCNT_Pos) /*!< DWT LSUCNT: LSUCNT Mask */ + +/* DWT Folded-instruction Count Register Definitions */ +#define DWT_FOLDCNT_FOLDCNT_Pos 0 /*!< DWT FOLDCNT: FOLDCNT Position */ +#define DWT_FOLDCNT_FOLDCNT_Msk (0xFFUL << DWT_FOLDCNT_FOLDCNT_Pos) /*!< DWT FOLDCNT: FOLDCNT Mask */ + +/* DWT Comparator Mask Register Definitions */ +#define DWT_MASK_MASK_Pos 0 /*!< DWT MASK: MASK Position */ +#define DWT_MASK_MASK_Msk (0x1FUL << DWT_MASK_MASK_Pos) /*!< DWT MASK: MASK Mask */ + +/* DWT Comparator Function Register Definitions */ +#define DWT_FUNCTION_MATCHED_Pos 24 /*!< DWT FUNCTION: MATCHED Position */ +#define DWT_FUNCTION_MATCHED_Msk (0x1UL << DWT_FUNCTION_MATCHED_Pos) /*!< DWT FUNCTION: MATCHED Mask */ + +#define DWT_FUNCTION_DATAVADDR1_Pos 16 /*!< DWT FUNCTION: DATAVADDR1 Position */ +#define DWT_FUNCTION_DATAVADDR1_Msk (0xFUL << DWT_FUNCTION_DATAVADDR1_Pos) /*!< DWT FUNCTION: DATAVADDR1 Mask */ + +#define DWT_FUNCTION_DATAVADDR0_Pos 12 /*!< DWT FUNCTION: DATAVADDR0 Position */ +#define DWT_FUNCTION_DATAVADDR0_Msk (0xFUL << DWT_FUNCTION_DATAVADDR0_Pos) /*!< DWT FUNCTION: DATAVADDR0 Mask */ + +#define DWT_FUNCTION_DATAVSIZE_Pos 10 /*!< DWT FUNCTION: DATAVSIZE Position */ +#define DWT_FUNCTION_DATAVSIZE_Msk (0x3UL << DWT_FUNCTION_DATAVSIZE_Pos) /*!< DWT FUNCTION: DATAVSIZE Mask */ + +#define DWT_FUNCTION_LNK1ENA_Pos 9 /*!< DWT FUNCTION: LNK1ENA Position */ +#define DWT_FUNCTION_LNK1ENA_Msk (0x1UL << DWT_FUNCTION_LNK1ENA_Pos) /*!< DWT FUNCTION: LNK1ENA Mask */ + +#define DWT_FUNCTION_DATAVMATCH_Pos 8 /*!< DWT FUNCTION: DATAVMATCH Position */ +#define DWT_FUNCTION_DATAVMATCH_Msk (0x1UL << DWT_FUNCTION_DATAVMATCH_Pos) /*!< DWT FUNCTION: DATAVMATCH Mask */ + +#define DWT_FUNCTION_CYCMATCH_Pos 7 /*!< DWT FUNCTION: CYCMATCH Position */ +#define DWT_FUNCTION_CYCMATCH_Msk (0x1UL << DWT_FUNCTION_CYCMATCH_Pos) /*!< DWT FUNCTION: CYCMATCH Mask */ + +#define DWT_FUNCTION_EMITRANGE_Pos 5 /*!< DWT FUNCTION: EMITRANGE Position */ +#define DWT_FUNCTION_EMITRANGE_Msk (0x1UL << DWT_FUNCTION_EMITRANGE_Pos) /*!< DWT FUNCTION: EMITRANGE Mask */ + +#define DWT_FUNCTION_FUNCTION_Pos 0 /*!< DWT FUNCTION: FUNCTION Position */ +#define DWT_FUNCTION_FUNCTION_Msk (0xFUL << DWT_FUNCTION_FUNCTION_Pos) /*!< DWT FUNCTION: FUNCTION Mask */ + +/*@}*/ /* end of group CMSIS_DWT */ + + +/** \ingroup CMSIS_core_register + \defgroup CMSIS_TPI Trace Port Interface (TPI) + \brief Type definitions for the Trace Port Interface (TPI) + @{ + */ + +/** \brief Structure type to access the Trace Port Interface Register (TPI). + */ +typedef struct +{ + __IO uint32_t SSPSR; /*!< Offset: 0x000 (R/ ) Supported Parallel Port Size Register */ + __IO uint32_t CSPSR; /*!< Offset: 0x004 (R/W) Current Parallel Port Size Register */ + uint32_t RESERVED0[2]; + __IO uint32_t ACPR; /*!< Offset: 0x010 (R/W) Asynchronous Clock Prescaler Register */ + uint32_t RESERVED1[55]; + __IO uint32_t SPPR; /*!< Offset: 0x0F0 (R/W) Selected Pin Protocol Register */ + uint32_t RESERVED2[131]; + __I uint32_t FFSR; /*!< Offset: 0x300 (R/ ) Formatter and Flush Status Register */ + __IO uint32_t FFCR; /*!< Offset: 0x304 (R/W) Formatter and Flush Control Register */ + __I uint32_t FSCR; /*!< Offset: 0x308 (R/ ) Formatter Synchronization Counter Register */ + uint32_t RESERVED3[759]; + __I uint32_t TRIGGER; /*!< Offset: 0xEE8 (R/ ) TRIGGER */ + __I uint32_t FIFO0; /*!< Offset: 0xEEC (R/ ) Integration ETM Data */ + __I uint32_t ITATBCTR2; /*!< Offset: 0xEF0 (R/ ) ITATBCTR2 */ + uint32_t RESERVED4[1]; + __I uint32_t ITATBCTR0; /*!< Offset: 0xEF8 (R/ ) ITATBCTR0 */ + __I uint32_t FIFO1; /*!< Offset: 0xEFC (R/ ) Integration ITM Data */ + __IO uint32_t ITCTRL; /*!< Offset: 0xF00 (R/W) Integration Mode Control */ + uint32_t RESERVED5[39]; + __IO uint32_t CLAIMSET; /*!< Offset: 0xFA0 (R/W) Claim tag set */ + __IO uint32_t CLAIMCLR; /*!< Offset: 0xFA4 (R/W) Claim tag clear */ + uint32_t RESERVED7[8]; + __I uint32_t DEVID; /*!< Offset: 0xFC8 (R/ ) TPIU_DEVID */ + __I uint32_t DEVTYPE; /*!< Offset: 0xFCC (R/ ) TPIU_DEVTYPE */ +} TPI_Type; + +/* TPI Asynchronous Clock Prescaler Register Definitions */ +#define TPI_ACPR_PRESCALER_Pos 0 /*!< TPI ACPR: PRESCALER Position */ +#define TPI_ACPR_PRESCALER_Msk (0x1FFFUL << TPI_ACPR_PRESCALER_Pos) /*!< TPI ACPR: PRESCALER Mask */ + +/* TPI Selected Pin Protocol Register Definitions */ +#define TPI_SPPR_TXMODE_Pos 0 /*!< TPI SPPR: TXMODE Position */ +#define TPI_SPPR_TXMODE_Msk (0x3UL << TPI_SPPR_TXMODE_Pos) /*!< TPI SPPR: TXMODE Mask */ + +/* TPI Formatter and Flush Status Register Definitions */ +#define TPI_FFSR_FtNonStop_Pos 3 /*!< TPI FFSR: FtNonStop Position */ +#define TPI_FFSR_FtNonStop_Msk (0x1UL << TPI_FFSR_FtNonStop_Pos) /*!< TPI FFSR: FtNonStop Mask */ + +#define TPI_FFSR_TCPresent_Pos 2 /*!< TPI FFSR: TCPresent Position */ +#define TPI_FFSR_TCPresent_Msk (0x1UL << TPI_FFSR_TCPresent_Pos) /*!< TPI FFSR: TCPresent Mask */ + +#define TPI_FFSR_FtStopped_Pos 1 /*!< TPI FFSR: FtStopped Position */ +#define TPI_FFSR_FtStopped_Msk (0x1UL << TPI_FFSR_FtStopped_Pos) /*!< TPI FFSR: FtStopped Mask */ + +#define TPI_FFSR_FlInProg_Pos 0 /*!< TPI FFSR: FlInProg Position */ +#define TPI_FFSR_FlInProg_Msk (0x1UL << TPI_FFSR_FlInProg_Pos) /*!< TPI FFSR: FlInProg Mask */ + +/* TPI Formatter and Flush Control Register Definitions */ +#define TPI_FFCR_TrigIn_Pos 8 /*!< TPI FFCR: TrigIn Position */ +#define TPI_FFCR_TrigIn_Msk (0x1UL << TPI_FFCR_TrigIn_Pos) /*!< TPI FFCR: TrigIn Mask */ + +#define TPI_FFCR_EnFCont_Pos 1 /*!< TPI FFCR: EnFCont Position */ +#define TPI_FFCR_EnFCont_Msk (0x1UL << TPI_FFCR_EnFCont_Pos) /*!< TPI FFCR: EnFCont Mask */ + +/* TPI TRIGGER Register Definitions */ +#define TPI_TRIGGER_TRIGGER_Pos 0 /*!< TPI TRIGGER: TRIGGER Position */ +#define TPI_TRIGGER_TRIGGER_Msk (0x1UL << TPI_TRIGGER_TRIGGER_Pos) /*!< TPI TRIGGER: TRIGGER Mask */ + +/* TPI Integration ETM Data Register Definitions (FIFO0) */ +#define TPI_FIFO0_ITM_ATVALID_Pos 29 /*!< TPI FIFO0: ITM_ATVALID Position */ +#define TPI_FIFO0_ITM_ATVALID_Msk (0x3UL << TPI_FIFO0_ITM_ATVALID_Pos) /*!< TPI FIFO0: ITM_ATVALID Mask */ + +#define TPI_FIFO0_ITM_bytecount_Pos 27 /*!< TPI FIFO0: ITM_bytecount Position */ +#define TPI_FIFO0_ITM_bytecount_Msk (0x3UL << TPI_FIFO0_ITM_bytecount_Pos) /*!< TPI FIFO0: ITM_bytecount Mask */ + +#define TPI_FIFO0_ETM_ATVALID_Pos 26 /*!< TPI FIFO0: ETM_ATVALID Position */ +#define TPI_FIFO0_ETM_ATVALID_Msk (0x3UL << TPI_FIFO0_ETM_ATVALID_Pos) /*!< TPI FIFO0: ETM_ATVALID Mask */ + +#define TPI_FIFO0_ETM_bytecount_Pos 24 /*!< TPI FIFO0: ETM_bytecount Position */ +#define TPI_FIFO0_ETM_bytecount_Msk (0x3UL << TPI_FIFO0_ETM_bytecount_Pos) /*!< TPI FIFO0: ETM_bytecount Mask */ + +#define TPI_FIFO0_ETM2_Pos 16 /*!< TPI FIFO0: ETM2 Position */ +#define TPI_FIFO0_ETM2_Msk (0xFFUL << TPI_FIFO0_ETM2_Pos) /*!< TPI FIFO0: ETM2 Mask */ + +#define TPI_FIFO0_ETM1_Pos 8 /*!< TPI FIFO0: ETM1 Position */ +#define TPI_FIFO0_ETM1_Msk (0xFFUL << TPI_FIFO0_ETM1_Pos) /*!< TPI FIFO0: ETM1 Mask */ + +#define TPI_FIFO0_ETM0_Pos 0 /*!< TPI FIFO0: ETM0 Position */ +#define TPI_FIFO0_ETM0_Msk (0xFFUL << TPI_FIFO0_ETM0_Pos) /*!< TPI FIFO0: ETM0 Mask */ + +/* TPI ITATBCTR2 Register Definitions */ +#define TPI_ITATBCTR2_ATREADY_Pos 0 /*!< TPI ITATBCTR2: ATREADY Position */ +#define TPI_ITATBCTR2_ATREADY_Msk (0x1UL << TPI_ITATBCTR2_ATREADY_Pos) /*!< TPI ITATBCTR2: ATREADY Mask */ + +/* TPI Integration ITM Data Register Definitions (FIFO1) */ +#define TPI_FIFO1_ITM_ATVALID_Pos 29 /*!< TPI FIFO1: ITM_ATVALID Position */ +#define TPI_FIFO1_ITM_ATVALID_Msk (0x3UL << TPI_FIFO1_ITM_ATVALID_Pos) /*!< TPI FIFO1: ITM_ATVALID Mask */ + +#define TPI_FIFO1_ITM_bytecount_Pos 27 /*!< TPI FIFO1: ITM_bytecount Position */ +#define TPI_FIFO1_ITM_bytecount_Msk (0x3UL << TPI_FIFO1_ITM_bytecount_Pos) /*!< TPI FIFO1: ITM_bytecount Mask */ + +#define TPI_FIFO1_ETM_ATVALID_Pos 26 /*!< TPI FIFO1: ETM_ATVALID Position */ +#define TPI_FIFO1_ETM_ATVALID_Msk (0x3UL << TPI_FIFO1_ETM_ATVALID_Pos) /*!< TPI FIFO1: ETM_ATVALID Mask */ + +#define TPI_FIFO1_ETM_bytecount_Pos 24 /*!< TPI FIFO1: ETM_bytecount Position */ +#define TPI_FIFO1_ETM_bytecount_Msk (0x3UL << TPI_FIFO1_ETM_bytecount_Pos) /*!< TPI FIFO1: ETM_bytecount Mask */ + +#define TPI_FIFO1_ITM2_Pos 16 /*!< TPI FIFO1: ITM2 Position */ +#define TPI_FIFO1_ITM2_Msk (0xFFUL << TPI_FIFO1_ITM2_Pos) /*!< TPI FIFO1: ITM2 Mask */ + +#define TPI_FIFO1_ITM1_Pos 8 /*!< TPI FIFO1: ITM1 Position */ +#define TPI_FIFO1_ITM1_Msk (0xFFUL << TPI_FIFO1_ITM1_Pos) /*!< TPI FIFO1: ITM1 Mask */ + +#define TPI_FIFO1_ITM0_Pos 0 /*!< TPI FIFO1: ITM0 Position */ +#define TPI_FIFO1_ITM0_Msk (0xFFUL << TPI_FIFO1_ITM0_Pos) /*!< TPI FIFO1: ITM0 Mask */ + +/* TPI ITATBCTR0 Register Definitions */ +#define TPI_ITATBCTR0_ATREADY_Pos 0 /*!< TPI ITATBCTR0: ATREADY Position */ +#define TPI_ITATBCTR0_ATREADY_Msk (0x1UL << TPI_ITATBCTR0_ATREADY_Pos) /*!< TPI ITATBCTR0: ATREADY Mask */ + +/* TPI Integration Mode Control Register Definitions */ +#define TPI_ITCTRL_Mode_Pos 0 /*!< TPI ITCTRL: Mode Position */ +#define TPI_ITCTRL_Mode_Msk (0x1UL << TPI_ITCTRL_Mode_Pos) /*!< TPI ITCTRL: Mode Mask */ + +/* TPI DEVID Register Definitions */ +#define TPI_DEVID_NRZVALID_Pos 11 /*!< TPI DEVID: NRZVALID Position */ +#define TPI_DEVID_NRZVALID_Msk (0x1UL << TPI_DEVID_NRZVALID_Pos) /*!< TPI DEVID: NRZVALID Mask */ + +#define TPI_DEVID_MANCVALID_Pos 10 /*!< TPI DEVID: MANCVALID Position */ +#define TPI_DEVID_MANCVALID_Msk (0x1UL << TPI_DEVID_MANCVALID_Pos) /*!< TPI DEVID: MANCVALID Mask */ + +#define TPI_DEVID_PTINVALID_Pos 9 /*!< TPI DEVID: PTINVALID Position */ +#define TPI_DEVID_PTINVALID_Msk (0x1UL << TPI_DEVID_PTINVALID_Pos) /*!< TPI DEVID: PTINVALID Mask */ + +#define TPI_DEVID_MinBufSz_Pos 6 /*!< TPI DEVID: MinBufSz Position */ +#define TPI_DEVID_MinBufSz_Msk (0x7UL << TPI_DEVID_MinBufSz_Pos) /*!< TPI DEVID: MinBufSz Mask */ + +#define TPI_DEVID_AsynClkIn_Pos 5 /*!< TPI DEVID: AsynClkIn Position */ +#define TPI_DEVID_AsynClkIn_Msk (0x1UL << TPI_DEVID_AsynClkIn_Pos) /*!< TPI DEVID: AsynClkIn Mask */ + +#define TPI_DEVID_NrTraceInput_Pos 0 /*!< TPI DEVID: NrTraceInput Position */ +#define TPI_DEVID_NrTraceInput_Msk (0x1FUL << TPI_DEVID_NrTraceInput_Pos) /*!< TPI DEVID: NrTraceInput Mask */ + +/* TPI DEVTYPE Register Definitions */ +#define TPI_DEVTYPE_SubType_Pos 0 /*!< TPI DEVTYPE: SubType Position */ +#define TPI_DEVTYPE_SubType_Msk (0xFUL << TPI_DEVTYPE_SubType_Pos) /*!< TPI DEVTYPE: SubType Mask */ + +#define TPI_DEVTYPE_MajorType_Pos 4 /*!< TPI DEVTYPE: MajorType Position */ +#define TPI_DEVTYPE_MajorType_Msk (0xFUL << TPI_DEVTYPE_MajorType_Pos) /*!< TPI DEVTYPE: MajorType Mask */ + +/*@}*/ /* end of group CMSIS_TPI */ + + +#if (__MPU_PRESENT == 1) +/** \ingroup CMSIS_core_register + \defgroup CMSIS_MPU Memory Protection Unit (MPU) + \brief Type definitions for the Memory Protection Unit (MPU) + @{ + */ + +/** \brief Structure type to access the Memory Protection Unit (MPU). + */ +typedef struct +{ + __I uint32_t TYPE; /*!< Offset: 0x000 (R/ ) MPU Type Register */ + __IO uint32_t CTRL; /*!< Offset: 0x004 (R/W) MPU Control Register */ + __IO uint32_t RNR; /*!< Offset: 0x008 (R/W) MPU Region RNRber Register */ + __IO uint32_t RBAR; /*!< Offset: 0x00C (R/W) MPU Region Base Address Register */ + __IO uint32_t RASR; /*!< Offset: 0x010 (R/W) MPU Region Attribute and Size Register */ + __IO uint32_t RBAR_A1; /*!< Offset: 0x014 (R/W) MPU Alias 1 Region Base Address Register */ + __IO uint32_t RASR_A1; /*!< Offset: 0x018 (R/W) MPU Alias 1 Region Attribute and Size Register */ + __IO uint32_t RBAR_A2; /*!< Offset: 0x01C (R/W) MPU Alias 2 Region Base Address Register */ + __IO uint32_t RASR_A2; /*!< Offset: 0x020 (R/W) MPU Alias 2 Region Attribute and Size Register */ + __IO uint32_t RBAR_A3; /*!< Offset: 0x024 (R/W) MPU Alias 3 Region Base Address Register */ + __IO uint32_t RASR_A3; /*!< Offset: 0x028 (R/W) MPU Alias 3 Region Attribute and Size Register */ +} MPU_Type; + +/* MPU Type Register */ +#define MPU_TYPE_IREGION_Pos 16 /*!< MPU TYPE: IREGION Position */ +#define MPU_TYPE_IREGION_Msk (0xFFUL << MPU_TYPE_IREGION_Pos) /*!< MPU TYPE: IREGION Mask */ + +#define MPU_TYPE_DREGION_Pos 8 /*!< MPU TYPE: DREGION Position */ +#define MPU_TYPE_DREGION_Msk (0xFFUL << MPU_TYPE_DREGION_Pos) /*!< MPU TYPE: DREGION Mask */ + +#define MPU_TYPE_SEPARATE_Pos 0 /*!< MPU TYPE: SEPARATE Position */ +#define MPU_TYPE_SEPARATE_Msk (1UL << MPU_TYPE_SEPARATE_Pos) /*!< MPU TYPE: SEPARATE Mask */ + +/* MPU Control Register */ +#define MPU_CTRL_PRIVDEFENA_Pos 2 /*!< MPU CTRL: PRIVDEFENA Position */ +#define MPU_CTRL_PRIVDEFENA_Msk (1UL << MPU_CTRL_PRIVDEFENA_Pos) /*!< MPU CTRL: PRIVDEFENA Mask */ + +#define MPU_CTRL_HFNMIENA_Pos 1 /*!< MPU CTRL: HFNMIENA Position */ +#define MPU_CTRL_HFNMIENA_Msk (1UL << MPU_CTRL_HFNMIENA_Pos) /*!< MPU CTRL: HFNMIENA Mask */ + +#define MPU_CTRL_ENABLE_Pos 0 /*!< MPU CTRL: ENABLE Position */ +#define MPU_CTRL_ENABLE_Msk (1UL << MPU_CTRL_ENABLE_Pos) /*!< MPU CTRL: ENABLE Mask */ + +/* MPU Region Number Register */ +#define MPU_RNR_REGION_Pos 0 /*!< MPU RNR: REGION Position */ +#define MPU_RNR_REGION_Msk (0xFFUL << MPU_RNR_REGION_Pos) /*!< MPU RNR: REGION Mask */ + +/* MPU Region Base Address Register */ +#define MPU_RBAR_ADDR_Pos 5 /*!< MPU RBAR: ADDR Position */ +#define MPU_RBAR_ADDR_Msk (0x7FFFFFFUL << MPU_RBAR_ADDR_Pos) /*!< MPU RBAR: ADDR Mask */ + +#define MPU_RBAR_VALID_Pos 4 /*!< MPU RBAR: VALID Position */ +#define MPU_RBAR_VALID_Msk (1UL << MPU_RBAR_VALID_Pos) /*!< MPU RBAR: VALID Mask */ + +#define MPU_RBAR_REGION_Pos 0 /*!< MPU RBAR: REGION Position */ +#define MPU_RBAR_REGION_Msk (0xFUL << MPU_RBAR_REGION_Pos) /*!< MPU RBAR: REGION Mask */ + +/* MPU Region Attribute and Size Register */ +#define MPU_RASR_ATTRS_Pos 16 /*!< MPU RASR: MPU Region Attribute field Position */ +#define MPU_RASR_ATTRS_Msk (0xFFFFUL << MPU_RASR_ATTRS_Pos) /*!< MPU RASR: MPU Region Attribute field Mask */ + +#define MPU_RASR_XN_Pos 28 /*!< MPU RASR: ATTRS.XN Position */ +#define MPU_RASR_XN_Msk (1UL << MPU_RASR_XN_Pos) /*!< MPU RASR: ATTRS.XN Mask */ + +#define MPU_RASR_AP_Pos 24 /*!< MPU RASR: ATTRS.AP Position */ +#define MPU_RASR_AP_Msk (0x7UL << MPU_RASR_AP_Pos) /*!< MPU RASR: ATTRS.AP Mask */ + +#define MPU_RASR_TEX_Pos 19 /*!< MPU RASR: ATTRS.TEX Position */ +#define MPU_RASR_TEX_Msk (0x7UL << MPU_RASR_TEX_Pos) /*!< MPU RASR: ATTRS.TEX Mask */ + +#define MPU_RASR_S_Pos 18 /*!< MPU RASR: ATTRS.S Position */ +#define MPU_RASR_S_Msk (1UL << MPU_RASR_S_Pos) /*!< MPU RASR: ATTRS.S Mask */ + +#define MPU_RASR_C_Pos 17 /*!< MPU RASR: ATTRS.C Position */ +#define MPU_RASR_C_Msk (1UL << MPU_RASR_C_Pos) /*!< MPU RASR: ATTRS.C Mask */ + +#define MPU_RASR_B_Pos 16 /*!< MPU RASR: ATTRS.B Position */ +#define MPU_RASR_B_Msk (1UL << MPU_RASR_B_Pos) /*!< MPU RASR: ATTRS.B Mask */ + +#define MPU_RASR_SRD_Pos 8 /*!< MPU RASR: Sub-Region Disable Position */ +#define MPU_RASR_SRD_Msk (0xFFUL << MPU_RASR_SRD_Pos) /*!< MPU RASR: Sub-Region Disable Mask */ + +#define MPU_RASR_SIZE_Pos 1 /*!< MPU RASR: Region Size Field Position */ +#define MPU_RASR_SIZE_Msk (0x1FUL << MPU_RASR_SIZE_Pos) /*!< MPU RASR: Region Size Field Mask */ + +#define MPU_RASR_ENABLE_Pos 0 /*!< MPU RASR: Region enable bit Position */ +#define MPU_RASR_ENABLE_Msk (1UL << MPU_RASR_ENABLE_Pos) /*!< MPU RASR: Region enable bit Disable Mask */ + +/*@} end of group CMSIS_MPU */ +#endif + + +#if (__FPU_PRESENT == 1) +/** \ingroup CMSIS_core_register + \defgroup CMSIS_FPU Floating Point Unit (FPU) + \brief Type definitions for the Floating Point Unit (FPU) + @{ + */ + +/** \brief Structure type to access the Floating Point Unit (FPU). + */ +typedef struct +{ + uint32_t RESERVED0[1]; + __IO uint32_t FPCCR; /*!< Offset: 0x004 (R/W) Floating-Point Context Control Register */ + __IO uint32_t FPCAR; /*!< Offset: 0x008 (R/W) Floating-Point Context Address Register */ + __IO uint32_t FPDSCR; /*!< Offset: 0x00C (R/W) Floating-Point Default Status Control Register */ + __I uint32_t MVFR0; /*!< Offset: 0x010 (R/ ) Media and FP Feature Register 0 */ + __I uint32_t MVFR1; /*!< Offset: 0x014 (R/ ) Media and FP Feature Register 1 */ +} FPU_Type; + +/* Floating-Point Context Control Register */ +#define FPU_FPCCR_ASPEN_Pos 31 /*!< FPCCR: ASPEN bit Position */ +#define FPU_FPCCR_ASPEN_Msk (1UL << FPU_FPCCR_ASPEN_Pos) /*!< FPCCR: ASPEN bit Mask */ + +#define FPU_FPCCR_LSPEN_Pos 30 /*!< FPCCR: LSPEN Position */ +#define FPU_FPCCR_LSPEN_Msk (1UL << FPU_FPCCR_LSPEN_Pos) /*!< FPCCR: LSPEN bit Mask */ + +#define FPU_FPCCR_MONRDY_Pos 8 /*!< FPCCR: MONRDY Position */ +#define FPU_FPCCR_MONRDY_Msk (1UL << FPU_FPCCR_MONRDY_Pos) /*!< FPCCR: MONRDY bit Mask */ + +#define FPU_FPCCR_BFRDY_Pos 6 /*!< FPCCR: BFRDY Position */ +#define FPU_FPCCR_BFRDY_Msk (1UL << FPU_FPCCR_BFRDY_Pos) /*!< FPCCR: BFRDY bit Mask */ + +#define FPU_FPCCR_MMRDY_Pos 5 /*!< FPCCR: MMRDY Position */ +#define FPU_FPCCR_MMRDY_Msk (1UL << FPU_FPCCR_MMRDY_Pos) /*!< FPCCR: MMRDY bit Mask */ + +#define FPU_FPCCR_HFRDY_Pos 4 /*!< FPCCR: HFRDY Position */ +#define FPU_FPCCR_HFRDY_Msk (1UL << FPU_FPCCR_HFRDY_Pos) /*!< FPCCR: HFRDY bit Mask */ + +#define FPU_FPCCR_THREAD_Pos 3 /*!< FPCCR: processor mode bit Position */ +#define FPU_FPCCR_THREAD_Msk (1UL << FPU_FPCCR_THREAD_Pos) /*!< FPCCR: processor mode active bit Mask */ + +#define FPU_FPCCR_USER_Pos 1 /*!< FPCCR: privilege level bit Position */ +#define FPU_FPCCR_USER_Msk (1UL << FPU_FPCCR_USER_Pos) /*!< FPCCR: privilege level bit Mask */ + +#define FPU_FPCCR_LSPACT_Pos 0 /*!< FPCCR: Lazy state preservation active bit Position */ +#define FPU_FPCCR_LSPACT_Msk (1UL << FPU_FPCCR_LSPACT_Pos) /*!< FPCCR: Lazy state preservation active bit Mask */ + +/* Floating-Point Context Address Register */ +#define FPU_FPCAR_ADDRESS_Pos 3 /*!< FPCAR: ADDRESS bit Position */ +#define FPU_FPCAR_ADDRESS_Msk (0x1FFFFFFFUL << FPU_FPCAR_ADDRESS_Pos) /*!< FPCAR: ADDRESS bit Mask */ + +/* Floating-Point Default Status Control Register */ +#define FPU_FPDSCR_AHP_Pos 26 /*!< FPDSCR: AHP bit Position */ +#define FPU_FPDSCR_AHP_Msk (1UL << FPU_FPDSCR_AHP_Pos) /*!< FPDSCR: AHP bit Mask */ + +#define FPU_FPDSCR_DN_Pos 25 /*!< FPDSCR: DN bit Position */ +#define FPU_FPDSCR_DN_Msk (1UL << FPU_FPDSCR_DN_Pos) /*!< FPDSCR: DN bit Mask */ + +#define FPU_FPDSCR_FZ_Pos 24 /*!< FPDSCR: FZ bit Position */ +#define FPU_FPDSCR_FZ_Msk (1UL << FPU_FPDSCR_FZ_Pos) /*!< FPDSCR: FZ bit Mask */ + +#define FPU_FPDSCR_RMode_Pos 22 /*!< FPDSCR: RMode bit Position */ +#define FPU_FPDSCR_RMode_Msk (3UL << FPU_FPDSCR_RMode_Pos) /*!< FPDSCR: RMode bit Mask */ + +/* Media and FP Feature Register 0 */ +#define FPU_MVFR0_FP_rounding_modes_Pos 28 /*!< MVFR0: FP rounding modes bits Position */ +#define FPU_MVFR0_FP_rounding_modes_Msk (0xFUL << FPU_MVFR0_FP_rounding_modes_Pos) /*!< MVFR0: FP rounding modes bits Mask */ + +#define FPU_MVFR0_Short_vectors_Pos 24 /*!< MVFR0: Short vectors bits Position */ +#define FPU_MVFR0_Short_vectors_Msk (0xFUL << FPU_MVFR0_Short_vectors_Pos) /*!< MVFR0: Short vectors bits Mask */ + +#define FPU_MVFR0_Square_root_Pos 20 /*!< MVFR0: Square root bits Position */ +#define FPU_MVFR0_Square_root_Msk (0xFUL << FPU_MVFR0_Square_root_Pos) /*!< MVFR0: Square root bits Mask */ + +#define FPU_MVFR0_Divide_Pos 16 /*!< MVFR0: Divide bits Position */ +#define FPU_MVFR0_Divide_Msk (0xFUL << FPU_MVFR0_Divide_Pos) /*!< MVFR0: Divide bits Mask */ + +#define FPU_MVFR0_FP_excep_trapping_Pos 12 /*!< MVFR0: FP exception trapping bits Position */ +#define FPU_MVFR0_FP_excep_trapping_Msk (0xFUL << FPU_MVFR0_FP_excep_trapping_Pos) /*!< MVFR0: FP exception trapping bits Mask */ + +#define FPU_MVFR0_Double_precision_Pos 8 /*!< MVFR0: Double-precision bits Position */ +#define FPU_MVFR0_Double_precision_Msk (0xFUL << FPU_MVFR0_Double_precision_Pos) /*!< MVFR0: Double-precision bits Mask */ + +#define FPU_MVFR0_Single_precision_Pos 4 /*!< MVFR0: Single-precision bits Position */ +#define FPU_MVFR0_Single_precision_Msk (0xFUL << FPU_MVFR0_Single_precision_Pos) /*!< MVFR0: Single-precision bits Mask */ + +#define FPU_MVFR0_A_SIMD_registers_Pos 0 /*!< MVFR0: A_SIMD registers bits Position */ +#define FPU_MVFR0_A_SIMD_registers_Msk (0xFUL << FPU_MVFR0_A_SIMD_registers_Pos) /*!< MVFR0: A_SIMD registers bits Mask */ + +/* Media and FP Feature Register 1 */ +#define FPU_MVFR1_FP_fused_MAC_Pos 28 /*!< MVFR1: FP fused MAC bits Position */ +#define FPU_MVFR1_FP_fused_MAC_Msk (0xFUL << FPU_MVFR1_FP_fused_MAC_Pos) /*!< MVFR1: FP fused MAC bits Mask */ + +#define FPU_MVFR1_FP_HPFP_Pos 24 /*!< MVFR1: FP HPFP bits Position */ +#define FPU_MVFR1_FP_HPFP_Msk (0xFUL << FPU_MVFR1_FP_HPFP_Pos) /*!< MVFR1: FP HPFP bits Mask */ + +#define FPU_MVFR1_D_NaN_mode_Pos 4 /*!< MVFR1: D_NaN mode bits Position */ +#define FPU_MVFR1_D_NaN_mode_Msk (0xFUL << FPU_MVFR1_D_NaN_mode_Pos) /*!< MVFR1: D_NaN mode bits Mask */ + +#define FPU_MVFR1_FtZ_mode_Pos 0 /*!< MVFR1: FtZ mode bits Position */ +#define FPU_MVFR1_FtZ_mode_Msk (0xFUL << FPU_MVFR1_FtZ_mode_Pos) /*!< MVFR1: FtZ mode bits Mask */ + +/*@} end of group CMSIS_FPU */ +#endif + + +/** \ingroup CMSIS_core_register + \defgroup CMSIS_CoreDebug Core Debug Registers (CoreDebug) + \brief Type definitions for the Core Debug Registers + @{ + */ + +/** \brief Structure type to access the Core Debug Register (CoreDebug). + */ +typedef struct +{ + __IO uint32_t DHCSR; /*!< Offset: 0x000 (R/W) Debug Halting Control and Status Register */ + __O uint32_t DCRSR; /*!< Offset: 0x004 ( /W) Debug Core Register Selector Register */ + __IO uint32_t DCRDR; /*!< Offset: 0x008 (R/W) Debug Core Register Data Register */ + __IO uint32_t DEMCR; /*!< Offset: 0x00C (R/W) Debug Exception and Monitor Control Register */ +} CoreDebug_Type; + +/* Debug Halting Control and Status Register */ +#define CoreDebug_DHCSR_DBGKEY_Pos 16 /*!< CoreDebug DHCSR: DBGKEY Position */ +#define CoreDebug_DHCSR_DBGKEY_Msk (0xFFFFUL << CoreDebug_DHCSR_DBGKEY_Pos) /*!< CoreDebug DHCSR: DBGKEY Mask */ + +#define CoreDebug_DHCSR_S_RESET_ST_Pos 25 /*!< CoreDebug DHCSR: S_RESET_ST Position */ +#define CoreDebug_DHCSR_S_RESET_ST_Msk (1UL << CoreDebug_DHCSR_S_RESET_ST_Pos) /*!< CoreDebug DHCSR: S_RESET_ST Mask */ + +#define CoreDebug_DHCSR_S_RETIRE_ST_Pos 24 /*!< CoreDebug DHCSR: S_RETIRE_ST Position */ +#define CoreDebug_DHCSR_S_RETIRE_ST_Msk (1UL << CoreDebug_DHCSR_S_RETIRE_ST_Pos) /*!< CoreDebug DHCSR: S_RETIRE_ST Mask */ + +#define CoreDebug_DHCSR_S_LOCKUP_Pos 19 /*!< CoreDebug DHCSR: S_LOCKUP Position */ +#define CoreDebug_DHCSR_S_LOCKUP_Msk (1UL << CoreDebug_DHCSR_S_LOCKUP_Pos) /*!< CoreDebug DHCSR: S_LOCKUP Mask */ + +#define CoreDebug_DHCSR_S_SLEEP_Pos 18 /*!< CoreDebug DHCSR: S_SLEEP Position */ +#define CoreDebug_DHCSR_S_SLEEP_Msk (1UL << CoreDebug_DHCSR_S_SLEEP_Pos) /*!< CoreDebug DHCSR: S_SLEEP Mask */ + +#define CoreDebug_DHCSR_S_HALT_Pos 17 /*!< CoreDebug DHCSR: S_HALT Position */ +#define CoreDebug_DHCSR_S_HALT_Msk (1UL << CoreDebug_DHCSR_S_HALT_Pos) /*!< CoreDebug DHCSR: S_HALT Mask */ + +#define CoreDebug_DHCSR_S_REGRDY_Pos 16 /*!< CoreDebug DHCSR: S_REGRDY Position */ +#define CoreDebug_DHCSR_S_REGRDY_Msk (1UL << CoreDebug_DHCSR_S_REGRDY_Pos) /*!< CoreDebug DHCSR: S_REGRDY Mask */ + +#define CoreDebug_DHCSR_C_SNAPSTALL_Pos 5 /*!< CoreDebug DHCSR: C_SNAPSTALL Position */ +#define CoreDebug_DHCSR_C_SNAPSTALL_Msk (1UL << CoreDebug_DHCSR_C_SNAPSTALL_Pos) /*!< CoreDebug DHCSR: C_SNAPSTALL Mask */ + +#define CoreDebug_DHCSR_C_MASKINTS_Pos 3 /*!< CoreDebug DHCSR: C_MASKINTS Position */ +#define CoreDebug_DHCSR_C_MASKINTS_Msk (1UL << CoreDebug_DHCSR_C_MASKINTS_Pos) /*!< CoreDebug DHCSR: C_MASKINTS Mask */ + +#define CoreDebug_DHCSR_C_STEP_Pos 2 /*!< CoreDebug DHCSR: C_STEP Position */ +#define CoreDebug_DHCSR_C_STEP_Msk (1UL << CoreDebug_DHCSR_C_STEP_Pos) /*!< CoreDebug DHCSR: C_STEP Mask */ + +#define CoreDebug_DHCSR_C_HALT_Pos 1 /*!< CoreDebug DHCSR: C_HALT Position */ +#define CoreDebug_DHCSR_C_HALT_Msk (1UL << CoreDebug_DHCSR_C_HALT_Pos) /*!< CoreDebug DHCSR: C_HALT Mask */ + +#define CoreDebug_DHCSR_C_DEBUGEN_Pos 0 /*!< CoreDebug DHCSR: C_DEBUGEN Position */ +#define CoreDebug_DHCSR_C_DEBUGEN_Msk (1UL << CoreDebug_DHCSR_C_DEBUGEN_Pos) /*!< CoreDebug DHCSR: C_DEBUGEN Mask */ + +/* Debug Core Register Selector Register */ +#define CoreDebug_DCRSR_REGWnR_Pos 16 /*!< CoreDebug DCRSR: REGWnR Position */ +#define CoreDebug_DCRSR_REGWnR_Msk (1UL << CoreDebug_DCRSR_REGWnR_Pos) /*!< CoreDebug DCRSR: REGWnR Mask */ + +#define CoreDebug_DCRSR_REGSEL_Pos 0 /*!< CoreDebug DCRSR: REGSEL Position */ +#define CoreDebug_DCRSR_REGSEL_Msk (0x1FUL << CoreDebug_DCRSR_REGSEL_Pos) /*!< CoreDebug DCRSR: REGSEL Mask */ + +/* Debug Exception and Monitor Control Register */ +#define CoreDebug_DEMCR_TRCENA_Pos 24 /*!< CoreDebug DEMCR: TRCENA Position */ +#define CoreDebug_DEMCR_TRCENA_Msk (1UL << CoreDebug_DEMCR_TRCENA_Pos) /*!< CoreDebug DEMCR: TRCENA Mask */ + +#define CoreDebug_DEMCR_MON_REQ_Pos 19 /*!< CoreDebug DEMCR: MON_REQ Position */ +#define CoreDebug_DEMCR_MON_REQ_Msk (1UL << CoreDebug_DEMCR_MON_REQ_Pos) /*!< CoreDebug DEMCR: MON_REQ Mask */ + +#define CoreDebug_DEMCR_MON_STEP_Pos 18 /*!< CoreDebug DEMCR: MON_STEP Position */ +#define CoreDebug_DEMCR_MON_STEP_Msk (1UL << CoreDebug_DEMCR_MON_STEP_Pos) /*!< CoreDebug DEMCR: MON_STEP Mask */ + +#define CoreDebug_DEMCR_MON_PEND_Pos 17 /*!< CoreDebug DEMCR: MON_PEND Position */ +#define CoreDebug_DEMCR_MON_PEND_Msk (1UL << CoreDebug_DEMCR_MON_PEND_Pos) /*!< CoreDebug DEMCR: MON_PEND Mask */ + +#define CoreDebug_DEMCR_MON_EN_Pos 16 /*!< CoreDebug DEMCR: MON_EN Position */ +#define CoreDebug_DEMCR_MON_EN_Msk (1UL << CoreDebug_DEMCR_MON_EN_Pos) /*!< CoreDebug DEMCR: MON_EN Mask */ + +#define CoreDebug_DEMCR_VC_HARDERR_Pos 10 /*!< CoreDebug DEMCR: VC_HARDERR Position */ +#define CoreDebug_DEMCR_VC_HARDERR_Msk (1UL << CoreDebug_DEMCR_VC_HARDERR_Pos) /*!< CoreDebug DEMCR: VC_HARDERR Mask */ + +#define CoreDebug_DEMCR_VC_INTERR_Pos 9 /*!< CoreDebug DEMCR: VC_INTERR Position */ +#define CoreDebug_DEMCR_VC_INTERR_Msk (1UL << CoreDebug_DEMCR_VC_INTERR_Pos) /*!< CoreDebug DEMCR: VC_INTERR Mask */ + +#define CoreDebug_DEMCR_VC_BUSERR_Pos 8 /*!< CoreDebug DEMCR: VC_BUSERR Position */ +#define CoreDebug_DEMCR_VC_BUSERR_Msk (1UL << CoreDebug_DEMCR_VC_BUSERR_Pos) /*!< CoreDebug DEMCR: VC_BUSERR Mask */ + +#define CoreDebug_DEMCR_VC_STATERR_Pos 7 /*!< CoreDebug DEMCR: VC_STATERR Position */ +#define CoreDebug_DEMCR_VC_STATERR_Msk (1UL << CoreDebug_DEMCR_VC_STATERR_Pos) /*!< CoreDebug DEMCR: VC_STATERR Mask */ + +#define CoreDebug_DEMCR_VC_CHKERR_Pos 6 /*!< CoreDebug DEMCR: VC_CHKERR Position */ +#define CoreDebug_DEMCR_VC_CHKERR_Msk (1UL << CoreDebug_DEMCR_VC_CHKERR_Pos) /*!< CoreDebug DEMCR: VC_CHKERR Mask */ + +#define CoreDebug_DEMCR_VC_NOCPERR_Pos 5 /*!< CoreDebug DEMCR: VC_NOCPERR Position */ +#define CoreDebug_DEMCR_VC_NOCPERR_Msk (1UL << CoreDebug_DEMCR_VC_NOCPERR_Pos) /*!< CoreDebug DEMCR: VC_NOCPERR Mask */ + +#define CoreDebug_DEMCR_VC_MMERR_Pos 4 /*!< CoreDebug DEMCR: VC_MMERR Position */ +#define CoreDebug_DEMCR_VC_MMERR_Msk (1UL << CoreDebug_DEMCR_VC_MMERR_Pos) /*!< CoreDebug DEMCR: VC_MMERR Mask */ + +#define CoreDebug_DEMCR_VC_CORERESET_Pos 0 /*!< CoreDebug DEMCR: VC_CORERESET Position */ +#define CoreDebug_DEMCR_VC_CORERESET_Msk (1UL << CoreDebug_DEMCR_VC_CORERESET_Pos) /*!< CoreDebug DEMCR: VC_CORERESET Mask */ + +/*@} end of group CMSIS_CoreDebug */ + + +/** \ingroup CMSIS_core_register + \defgroup CMSIS_core_base Core Definitions + \brief Definitions for base addresses, unions, and structures. + @{ + */ + +/* Memory mapping of Cortex-M4 Hardware */ +#define SCS_BASE (0xE000E000UL) /*!< System Control Space Base Address */ +#define ITM_BASE (0xE0000000UL) /*!< ITM Base Address */ +#define DWT_BASE (0xE0001000UL) /*!< DWT Base Address */ +#define TPI_BASE (0xE0040000UL) /*!< TPI Base Address */ +#define CoreDebug_BASE (0xE000EDF0UL) /*!< Core Debug Base Address */ +#define SysTick_BASE (SCS_BASE + 0x0010UL) /*!< SysTick Base Address */ +#define NVIC_BASE (SCS_BASE + 0x0100UL) /*!< NVIC Base Address */ +#define SCB_BASE (SCS_BASE + 0x0D00UL) /*!< System Control Block Base Address */ + +#define SCnSCB ((SCnSCB_Type *) SCS_BASE ) /*!< System control Register not in SCB */ +#define SCB ((SCB_Type *) SCB_BASE ) /*!< SCB configuration struct */ +#define SysTick ((SysTick_Type *) SysTick_BASE ) /*!< SysTick configuration struct */ +#define NVIC ((NVIC_Type *) NVIC_BASE ) /*!< NVIC configuration struct */ +#define ITM ((ITM_Type *) ITM_BASE ) /*!< ITM configuration struct */ +#define DWT ((DWT_Type *) DWT_BASE ) /*!< DWT configuration struct */ +#define TPI ((TPI_Type *) TPI_BASE ) /*!< TPI configuration struct */ +#define CoreDebug ((CoreDebug_Type *) CoreDebug_BASE) /*!< Core Debug configuration struct */ + +#if (__MPU_PRESENT == 1) + #define MPU_BASE (SCS_BASE + 0x0D90UL) /*!< Memory Protection Unit */ + #define MPU ((MPU_Type *) MPU_BASE ) /*!< Memory Protection Unit */ +#endif + +#if (__FPU_PRESENT == 1) + #define FPU_BASE (SCS_BASE + 0x0F30UL) /*!< Floating Point Unit */ + #define FPU ((FPU_Type *) FPU_BASE ) /*!< Floating Point Unit */ +#endif + +/*@} */ + + + +/******************************************************************************* + * Hardware Abstraction Layer + Core Function Interface contains: + - Core NVIC Functions + - Core SysTick Functions + - Core Debug Functions + - Core Register Access Functions + ******************************************************************************/ +/** \defgroup CMSIS_Core_FunctionInterface Functions and Instructions Reference +*/ + + + +/* ########################## NVIC functions #################################### */ +/** \ingroup CMSIS_Core_FunctionInterface + \defgroup CMSIS_Core_NVICFunctions NVIC Functions + \brief Functions that manage interrupts and exceptions via the NVIC. + @{ + */ + +/** \brief Set Priority Grouping + + The function sets the priority grouping field using the required unlock sequence. + The parameter PriorityGroup is assigned to the field SCB->AIRCR [10:8] PRIGROUP field. + Only values from 0..7 are used. + In case of a conflict between priority grouping and available + priority bits (__NVIC_PRIO_BITS), the smallest possible priority group is set. + + \param [in] PriorityGroup Priority grouping field. + */ +__STATIC_INLINE void NVIC_SetPriorityGrouping(uint32_t PriorityGroup) +{ + uint32_t reg_value; + uint32_t PriorityGroupTmp = (PriorityGroup & (uint32_t)0x07); /* only values 0..7 are used */ + + reg_value = SCB->AIRCR; /* read old register configuration */ + reg_value &= ~(SCB_AIRCR_VECTKEY_Msk | SCB_AIRCR_PRIGROUP_Msk); /* clear bits to change */ + reg_value = (reg_value | + ((uint32_t)0x5FA << SCB_AIRCR_VECTKEY_Pos) | + (PriorityGroupTmp << 8)); /* Insert write key and priorty group */ + SCB->AIRCR = reg_value; +} + + +/** \brief Get Priority Grouping + + The function reads the priority grouping field from the NVIC Interrupt Controller. + + \return Priority grouping field (SCB->AIRCR [10:8] PRIGROUP field). + */ +__STATIC_INLINE uint32_t NVIC_GetPriorityGrouping(void) +{ + return ((SCB->AIRCR & SCB_AIRCR_PRIGROUP_Msk) >> SCB_AIRCR_PRIGROUP_Pos); /* read priority grouping field */ +} + + +/** \brief Enable External Interrupt + + The function enables a device-specific interrupt in the NVIC interrupt controller. + + \param [in] IRQn External interrupt number. Value cannot be negative. + */ +__STATIC_INLINE void NVIC_EnableIRQ(IRQn_Type IRQn) +{ +/* NVIC->ISER[((uint32_t)(IRQn) >> 5)] = (1 << ((uint32_t)(IRQn) & 0x1F)); enable interrupt */ + NVIC->ISER[(uint32_t)((int32_t)IRQn) >> 5] = (uint32_t)(1 << ((uint32_t)((int32_t)IRQn) & (uint32_t)0x1F)); /* enable interrupt */ +} + + +/** \brief Disable External Interrupt + + The function disables a device-specific interrupt in the NVIC interrupt controller. + + \param [in] IRQn External interrupt number. Value cannot be negative. + */ +__STATIC_INLINE void NVIC_DisableIRQ(IRQn_Type IRQn) +{ + NVIC->ICER[((uint32_t)(IRQn) >> 5)] = (1 << ((uint32_t)(IRQn) & 0x1F)); /* disable interrupt */ +} + + +/** \brief Get Pending Interrupt + + The function reads the pending register in the NVIC and returns the pending bit + for the specified interrupt. + + \param [in] IRQn Interrupt number. + + \return 0 Interrupt status is not pending. + \return 1 Interrupt status is pending. + */ +__STATIC_INLINE uint32_t NVIC_GetPendingIRQ(IRQn_Type IRQn) +{ + return((uint32_t) ((NVIC->ISPR[(uint32_t)(IRQn) >> 5] & (1 << ((uint32_t)(IRQn) & 0x1F)))?1:0)); /* Return 1 if pending else 0 */ +} + + +/** \brief Set Pending Interrupt + + The function sets the pending bit of an external interrupt. + + \param [in] IRQn Interrupt number. Value cannot be negative. + */ +__STATIC_INLINE void NVIC_SetPendingIRQ(IRQn_Type IRQn) +{ + NVIC->ISPR[((uint32_t)(IRQn) >> 5)] = (1 << ((uint32_t)(IRQn) & 0x1F)); /* set interrupt pending */ +} + + +/** \brief Clear Pending Interrupt + + The function clears the pending bit of an external interrupt. + + \param [in] IRQn External interrupt number. Value cannot be negative. + */ +__STATIC_INLINE void NVIC_ClearPendingIRQ(IRQn_Type IRQn) +{ + NVIC->ICPR[((uint32_t)(IRQn) >> 5)] = (1 << ((uint32_t)(IRQn) & 0x1F)); /* Clear pending interrupt */ +} + + +/** \brief Get Active Interrupt + + The function reads the active register in NVIC and returns the active bit. + + \param [in] IRQn Interrupt number. + + \return 0 Interrupt status is not active. + \return 1 Interrupt status is active. + */ +__STATIC_INLINE uint32_t NVIC_GetActive(IRQn_Type IRQn) +{ + return((uint32_t)((NVIC->IABR[(uint32_t)(IRQn) >> 5] & (1 << ((uint32_t)(IRQn) & 0x1F)))?1:0)); /* Return 1 if active else 0 */ +} + + +/** \brief Set Interrupt Priority + + The function sets the priority of an interrupt. + + \note The priority cannot be set for every core interrupt. + + \param [in] IRQn Interrupt number. + \param [in] priority Priority to set. + */ +__STATIC_INLINE void NVIC_SetPriority(IRQn_Type IRQn, uint32_t priority) +{ + if(IRQn < 0) { + SCB->SHP[((uint32_t)(IRQn) & 0xF)-4] = ((priority << (8 - __NVIC_PRIO_BITS)) & 0xff); } /* set Priority for Cortex-M System Interrupts */ + else { + NVIC->IP[(uint32_t)(IRQn)] = ((priority << (8 - __NVIC_PRIO_BITS)) & 0xff); } /* set Priority for device specific Interrupts */ +} + + +/** \brief Get Interrupt Priority + + The function reads the priority of an interrupt. The interrupt + number can be positive to specify an external (device specific) + interrupt, or negative to specify an internal (core) interrupt. + + + \param [in] IRQn Interrupt number. + \return Interrupt Priority. Value is aligned automatically to the implemented + priority bits of the microcontroller. + */ +__STATIC_INLINE uint32_t NVIC_GetPriority(IRQn_Type IRQn) +{ + + if(IRQn < 0) { + return((uint32_t)(SCB->SHP[((uint32_t)(IRQn) & 0xF)-4] >> (8 - __NVIC_PRIO_BITS))); } /* get priority for Cortex-M system interrupts */ + else { + return((uint32_t)(NVIC->IP[(uint32_t)(IRQn)] >> (8 - __NVIC_PRIO_BITS))); } /* get priority for device specific interrupts */ +} + + +/** \brief Encode Priority + + The function encodes the priority for an interrupt with the given priority group, + preemptive priority value, and subpriority value. + In case of a conflict between priority grouping and available + priority bits (__NVIC_PRIO_BITS), the samllest possible priority group is set. + + \param [in] PriorityGroup Used priority group. + \param [in] PreemptPriority Preemptive priority value (starting from 0). + \param [in] SubPriority Subpriority value (starting from 0). + \return Encoded priority. Value can be used in the function \ref NVIC_SetPriority(). + */ +__STATIC_INLINE uint32_t NVIC_EncodePriority (uint32_t PriorityGroup, uint32_t PreemptPriority, uint32_t SubPriority) +{ + uint32_t PriorityGroupTmp = (PriorityGroup & 0x07); /* only values 0..7 are used */ + uint32_t PreemptPriorityBits; + uint32_t SubPriorityBits; + + PreemptPriorityBits = ((7 - PriorityGroupTmp) > __NVIC_PRIO_BITS) ? __NVIC_PRIO_BITS : 7 - PriorityGroupTmp; + SubPriorityBits = ((PriorityGroupTmp + __NVIC_PRIO_BITS) < 7) ? 0 : PriorityGroupTmp - 7 + __NVIC_PRIO_BITS; + + return ( + ((PreemptPriority & ((1 << (PreemptPriorityBits)) - 1)) << SubPriorityBits) | + ((SubPriority & ((1 << (SubPriorityBits )) - 1))) + ); +} + + +/** \brief Decode Priority + + The function decodes an interrupt priority value with a given priority group to + preemptive priority value and subpriority value. + In case of a conflict between priority grouping and available + priority bits (__NVIC_PRIO_BITS) the samllest possible priority group is set. + + \param [in] Priority Priority value, which can be retrieved with the function \ref NVIC_GetPriority(). + \param [in] PriorityGroup Used priority group. + \param [out] pPreemptPriority Preemptive priority value (starting from 0). + \param [out] pSubPriority Subpriority value (starting from 0). + */ +__STATIC_INLINE void NVIC_DecodePriority (uint32_t Priority, uint32_t PriorityGroup, uint32_t* pPreemptPriority, uint32_t* pSubPriority) +{ + uint32_t PriorityGroupTmp = (PriorityGroup & 0x07); /* only values 0..7 are used */ + uint32_t PreemptPriorityBits; + uint32_t SubPriorityBits; + + PreemptPriorityBits = ((7 - PriorityGroupTmp) > __NVIC_PRIO_BITS) ? __NVIC_PRIO_BITS : 7 - PriorityGroupTmp; + SubPriorityBits = ((PriorityGroupTmp + __NVIC_PRIO_BITS) < 7) ? 0 : PriorityGroupTmp - 7 + __NVIC_PRIO_BITS; + + *pPreemptPriority = (Priority >> SubPriorityBits) & ((1 << (PreemptPriorityBits)) - 1); + *pSubPriority = (Priority ) & ((1 << (SubPriorityBits )) - 1); +} + + +/** \brief System Reset + + The function initiates a system reset request to reset the MCU. + */ +__STATIC_INLINE void NVIC_SystemReset(void) +{ + __DSB(); /* Ensure all outstanding memory accesses included + buffered write are completed before reset */ + SCB->AIRCR = ((0x5FA << SCB_AIRCR_VECTKEY_Pos) | + (SCB->AIRCR & SCB_AIRCR_PRIGROUP_Msk) | + SCB_AIRCR_SYSRESETREQ_Msk); /* Keep priority group unchanged */ + __DSB(); /* Ensure completion of memory access */ + while(1); /* wait until reset */ +} + +/*@} end of CMSIS_Core_NVICFunctions */ + + + +/* ################################## SysTick function ############################################ */ +/** \ingroup CMSIS_Core_FunctionInterface + \defgroup CMSIS_Core_SysTickFunctions SysTick Functions + \brief Functions that configure the System. + @{ + */ + +#if (__Vendor_SysTickConfig == 0) + +/** \brief System Tick Configuration + + The function initializes the System Timer and its interrupt, and starts the System Tick Timer. + Counter is in free running mode to generate periodic interrupts. + + \param [in] ticks Number of ticks between two interrupts. + + \return 0 Function succeeded. + \return 1 Function failed. + + \note When the variable __Vendor_SysTickConfig is set to 1, then the + function SysTick_Config is not included. In this case, the file device.h + must contain a vendor-specific implementation of this function. + + */ +__STATIC_INLINE uint32_t SysTick_Config(uint32_t ticks) +{ + if (ticks > SysTick_LOAD_RELOAD_Msk) return (1); /* Reload value impossible */ + + SysTick->LOAD = (ticks & SysTick_LOAD_RELOAD_Msk) - 1; /* set reload register */ + NVIC_SetPriority (SysTick_IRQn, (1<<__NVIC_PRIO_BITS) - 1); /* set Priority for Systick Interrupt */ + SysTick->VAL = 0; /* Load the SysTick Counter Value */ + SysTick->CTRL = SysTick_CTRL_CLKSOURCE_Msk | + SysTick_CTRL_TICKINT_Msk | + SysTick_CTRL_ENABLE_Msk; /* Enable SysTick IRQ and SysTick Timer */ + return (0); /* Function successful */ +} + +#endif + +/*@} end of CMSIS_Core_SysTickFunctions */ + + + +/* ##################################### Debug In/Output function ########################################### */ +/** \ingroup CMSIS_Core_FunctionInterface + \defgroup CMSIS_core_DebugFunctions ITM Functions + \brief Functions that access the ITM debug interface. + @{ + */ + +extern volatile int32_t ITM_RxBuffer; /*!< External variable to receive characters. */ +#define ITM_RXBUFFER_EMPTY 0x5AA55AA5 /*!< Value identifying \ref ITM_RxBuffer is ready for next character. */ + + +/** \brief ITM Send Character + + The function transmits a character via the ITM channel 0, and + \li Just returns when no debugger is connected that has booked the output. + \li Is blocking when a debugger is connected, but the previous character sent has not been transmitted. + + \param [in] ch Character to transmit. + + \returns Character to transmit. + */ +__STATIC_INLINE uint32_t ITM_SendChar (uint32_t ch) +{ + if ((ITM->TCR & ITM_TCR_ITMENA_Msk) && /* ITM enabled */ + (ITM->TER & (1UL << 0) ) ) /* ITM Port #0 enabled */ + { + while (ITM->PORT[0].u32 == 0); + ITM->PORT[0].u8 = (uint8_t) ch; + } + return (ch); +} + + +/** \brief ITM Receive Character + + The function inputs a character via the external variable \ref ITM_RxBuffer. + + \return Received character. + \return -1 No character pending. + */ +__STATIC_INLINE int32_t ITM_ReceiveChar (void) { + int32_t ch = -1; /* no character available */ + + if (ITM_RxBuffer != ITM_RXBUFFER_EMPTY) { + ch = ITM_RxBuffer; + ITM_RxBuffer = ITM_RXBUFFER_EMPTY; /* ready for next character */ + } + + return (ch); +} + + +/** \brief ITM Check Character + + The function checks whether a character is pending for reading in the variable \ref ITM_RxBuffer. + + \return 0 No character available. + \return 1 Character available. + */ +__STATIC_INLINE int32_t ITM_CheckChar (void) { + + if (ITM_RxBuffer == ITM_RXBUFFER_EMPTY) { + return (0); /* no character available */ + } else { + return (1); /* character available */ + } +} + +/*@} end of CMSIS_core_DebugFunctions */ + +#endif /* __CORE_CM4_H_DEPENDANT */ + +#endif /* __CMSIS_GENERIC */ + +#ifdef __cplusplus +} +#endif diff --git a/include/cmsis/core_cm4_simd.h b/include/cmsis/core_cm4_simd.h new file mode 100644 index 0000000..b514007 --- /dev/null +++ b/include/cmsis/core_cm4_simd.h @@ -0,0 +1,649 @@ +/**************************************************************************//** + * @file core_cm4_simd.h + * @brief CMSIS Cortex-M4 SIMD Header File + * @version V3.01 + * @date 06. March 2012 + * + * @note + * Copyright (C) 2010-2012 ARM Limited. All rights reserved. + * + * @par + * ARM Limited (ARM) is supplying this software for use with Cortex-M + * processor based microcontrollers. This file can be freely distributed + * within development tools that are supporting such ARM based processors. + * + * @par + * THIS SOFTWARE IS PROVIDED "AS IS". NO WARRANTIES, WHETHER EXPRESS, IMPLIED + * OR STATUTORY, INCLUDING, BUT NOT LIMITED TO, IMPLIED WARRANTIES OF + * MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE APPLY TO THIS SOFTWARE. + * ARM SHALL NOT, IN ANY CIRCUMSTANCES, BE LIABLE FOR SPECIAL, INCIDENTAL, OR + * CONSEQUENTIAL DAMAGES, FOR ANY REASON WHATSOEVER. + * + ******************************************************************************/ + +#ifdef __cplusplus + extern "C" { +#endif + +#ifndef __CORE_CM4_SIMD_H +#define __CORE_CM4_SIMD_H + + +/******************************************************************************* + * Hardware Abstraction Layer + ******************************************************************************/ + + +/* ################### Compiler specific Intrinsics ########################### */ +/** \defgroup CMSIS_SIMD_intrinsics CMSIS SIMD Intrinsics + Access to dedicated SIMD instructions + @{ +*/ + +#if defined ( __CC_ARM ) /*------------------RealView Compiler -----------------*/ +/* ARM armcc specific functions */ + +/*------ CM4 SIMD Intrinsics -----------------------------------------------------*/ +#define __SADD8 __sadd8 +#define __QADD8 __qadd8 +#define __SHADD8 __shadd8 +#define __UADD8 __uadd8 +#define __UQADD8 __uqadd8 +#define __UHADD8 __uhadd8 +#define __SSUB8 __ssub8 +#define __QSUB8 __qsub8 +#define __SHSUB8 __shsub8 +#define __USUB8 __usub8 +#define __UQSUB8 __uqsub8 +#define __UHSUB8 __uhsub8 +#define __SADD16 __sadd16 +#define __QADD16 __qadd16 +#define __SHADD16 __shadd16 +#define __UADD16 __uadd16 +#define __UQADD16 __uqadd16 +#define __UHADD16 __uhadd16 +#define __SSUB16 __ssub16 +#define __QSUB16 __qsub16 +#define __SHSUB16 __shsub16 +#define __USUB16 __usub16 +#define __UQSUB16 __uqsub16 +#define __UHSUB16 __uhsub16 +#define __SASX __sasx +#define __QASX __qasx +#define __SHASX __shasx +#define __UASX __uasx +#define __UQASX __uqasx +#define __UHASX __uhasx +#define __SSAX __ssax +#define __QSAX __qsax +#define __SHSAX __shsax +#define __USAX __usax +#define __UQSAX __uqsax +#define __UHSAX __uhsax +#define __USAD8 __usad8 +#define __USADA8 __usada8 +#define __SSAT16 __ssat16 +#define __USAT16 __usat16 +#define __UXTB16 __uxtb16 +#define __UXTAB16 __uxtab16 +#define __SXTB16 __sxtb16 +#define __SXTAB16 __sxtab16 +#define __SMUAD __smuad +#define __SMUADX __smuadx +#define __SMLAD __smlad +#define __SMLADX __smladx +#define __SMLALD __smlald +#define __SMLALDX __smlaldx +#define __SMUSD __smusd +#define __SMUSDX __smusdx +#define __SMLSD __smlsd +#define __SMLSDX __smlsdx +#define __SMLSLD __smlsld +#define __SMLSLDX __smlsldx +#define __SEL __sel +#define __QADD __qadd +#define __QSUB __qsub + +#define __PKHBT(ARG1,ARG2,ARG3) ( ((((uint32_t)(ARG1)) ) & 0x0000FFFFUL) | \ + ((((uint32_t)(ARG2)) << (ARG3)) & 0xFFFF0000UL) ) + +#define __PKHTB(ARG1,ARG2,ARG3) ( ((((uint32_t)(ARG1)) ) & 0xFFFF0000UL) | \ + ((((uint32_t)(ARG2)) >> (ARG3)) & 0x0000FFFFUL) ) + + +/*-- End CM4 SIMD Intrinsics -----------------------------------------------------*/ + + + +#elif defined ( __ICCARM__ ) /*------------------ ICC Compiler -------------------*/ +/* IAR iccarm specific functions */ + +/*------ CM4 SIMD Intrinsics -----------------------------------------------------*/ +#include + +/*-- End CM4 SIMD Intrinsics -----------------------------------------------------*/ + + + +#elif defined ( __TMS470__ ) /*---------------- TI CCS Compiler ------------------*/ +/* TI CCS specific functions */ + +/*------ CM4 SIMD Intrinsics -----------------------------------------------------*/ +#include + +/*-- End CM4 SIMD Intrinsics -----------------------------------------------------*/ + + + +#elif defined ( __GNUC__ ) /*------------------ GNU Compiler ---------------------*/ +/* GNU gcc specific functions */ + +/*------ CM4 SIMD Intrinsics -----------------------------------------------------*/ +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __SADD8(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("sadd8 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __QADD8(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("qadd8 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __SHADD8(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("shadd8 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __UADD8(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("uadd8 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __UQADD8(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("uqadd8 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __UHADD8(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("uhadd8 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __SSUB8(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("ssub8 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __QSUB8(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("qsub8 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __SHSUB8(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("shsub8 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __USUB8(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("usub8 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __UQSUB8(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("uqsub8 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __UHSUB8(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("uhsub8 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __SADD16(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("sadd16 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __QADD16(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("qadd16 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __SHADD16(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("shadd16 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __UADD16(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("uadd16 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __UQADD16(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("uqadd16 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __UHADD16(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("uhadd16 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __SSUB16(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("ssub16 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __QSUB16(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("qsub16 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __SHSUB16(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("shsub16 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __USUB16(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("usub16 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __UQSUB16(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("uqsub16 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __UHSUB16(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("uhsub16 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __SASX(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("sasx %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __QASX(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("qasx %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __SHASX(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("shasx %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __UASX(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("uasx %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __UQASX(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("uqasx %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __UHASX(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("uhasx %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __SSAX(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("ssax %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __QSAX(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("qsax %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __SHSAX(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("shsax %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __USAX(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("usax %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __UQSAX(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("uqsax %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __UHSAX(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("uhsax %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __USAD8(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("usad8 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __USADA8(uint32_t op1, uint32_t op2, uint32_t op3) +{ + uint32_t result; + + __ASM volatile ("usada8 %0, %1, %2, %3" : "=r" (result) : "r" (op1), "r" (op2), "r" (op3) ); + return(result); +} + +#define __SSAT16(ARG1,ARG2) \ +({ \ + uint32_t __RES, __ARG1 = (ARG1); \ + __ASM ("ssat16 %0, %1, %2" : "=r" (__RES) : "I" (ARG2), "r" (__ARG1) ); \ + __RES; \ + }) + +#define __USAT16(ARG1,ARG2) \ +({ \ + uint32_t __RES, __ARG1 = (ARG1); \ + __ASM ("usat16 %0, %1, %2" : "=r" (__RES) : "I" (ARG2), "r" (__ARG1) ); \ + __RES; \ + }) + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __UXTB16(uint32_t op1) +{ + uint32_t result; + + __ASM volatile ("uxtb16 %0, %1" : "=r" (result) : "r" (op1)); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __UXTAB16(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("uxtab16 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __SXTB16(uint32_t op1) +{ + uint32_t result; + + __ASM volatile ("sxtb16 %0, %1" : "=r" (result) : "r" (op1)); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __SXTAB16(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("sxtab16 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __SMUAD (uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("smuad %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __SMUADX (uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("smuadx %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __SMLAD (uint32_t op1, uint32_t op2, uint32_t op3) +{ + uint32_t result; + + __ASM volatile ("smlad %0, %1, %2, %3" : "=r" (result) : "r" (op1), "r" (op2), "r" (op3) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __SMLADX (uint32_t op1, uint32_t op2, uint32_t op3) +{ + uint32_t result; + + __ASM volatile ("smladx %0, %1, %2, %3" : "=r" (result) : "r" (op1), "r" (op2), "r" (op3) ); + return(result); +} + +#define __SMLALD(ARG1,ARG2,ARG3) \ +({ \ + uint32_t __ARG1 = (ARG1), __ARG2 = (ARG2), __ARG3_H = (uint32_t)((uint64_t)(ARG3) >> 32), __ARG3_L = (uint32_t)((uint64_t)(ARG3) & 0xFFFFFFFFUL); \ + __ASM volatile ("smlald %0, %1, %2, %3" : "=r" (__ARG3_L), "=r" (__ARG3_H) : "r" (__ARG1), "r" (__ARG2), "0" (__ARG3_L), "1" (__ARG3_H) ); \ + (uint64_t)(((uint64_t)__ARG3_H << 32) | __ARG3_L); \ + }) + +#define __SMLALDX(ARG1,ARG2,ARG3) \ +({ \ + uint32_t __ARG1 = (ARG1), __ARG2 = (ARG2), __ARG3_H = (uint32_t)((uint64_t)(ARG3) >> 32), __ARG3_L = (uint32_t)((uint64_t)(ARG3) & 0xFFFFFFFFUL); \ + __ASM volatile ("smlaldx %0, %1, %2, %3" : "=r" (__ARG3_L), "=r" (__ARG3_H) : "r" (__ARG1), "r" (__ARG2), "0" (__ARG3_L), "1" (__ARG3_H) ); \ + (uint64_t)(((uint64_t)__ARG3_H << 32) | __ARG3_L); \ + }) + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __SMUSD (uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("smusd %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __SMUSDX (uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("smusdx %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __SMLSD (uint32_t op1, uint32_t op2, uint32_t op3) +{ + uint32_t result; + + __ASM volatile ("smlsd %0, %1, %2, %3" : "=r" (result) : "r" (op1), "r" (op2), "r" (op3) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __SMLSDX (uint32_t op1, uint32_t op2, uint32_t op3) +{ + uint32_t result; + + __ASM volatile ("smlsdx %0, %1, %2, %3" : "=r" (result) : "r" (op1), "r" (op2), "r" (op3) ); + return(result); +} + +#define __SMLSLD(ARG1,ARG2,ARG3) \ +({ \ + uint32_t __ARG1 = (ARG1), __ARG2 = (ARG2), __ARG3_H = (uint32_t)((ARG3) >> 32), __ARG3_L = (uint32_t)((ARG3) & 0xFFFFFFFFUL); \ + __ASM volatile ("smlsld %0, %1, %2, %3" : "=r" (__ARG3_L), "=r" (__ARG3_H) : "r" (__ARG1), "r" (__ARG2), "0" (__ARG3_L), "1" (__ARG3_H) ); \ + (uint64_t)(((uint64_t)__ARG3_H << 32) | __ARG3_L); \ + }) + +#define __SMLSLDX(ARG1,ARG2,ARG3) \ +({ \ + uint32_t __ARG1 = (ARG1), __ARG2 = (ARG2), __ARG3_H = (uint32_t)((ARG3) >> 32), __ARG3_L = (uint32_t)((ARG3) & 0xFFFFFFFFUL); \ + __ASM volatile ("smlsldx %0, %1, %2, %3" : "=r" (__ARG3_L), "=r" (__ARG3_H) : "r" (__ARG1), "r" (__ARG2), "0" (__ARG3_L), "1" (__ARG3_H) ); \ + (uint64_t)(((uint64_t)__ARG3_H << 32) | __ARG3_L); \ + }) + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __SEL (uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("sel %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __QADD(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("qadd %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __QSUB(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("qsub %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +#define __PKHBT(ARG1,ARG2,ARG3) \ +({ \ + uint32_t __RES, __ARG1 = (ARG1), __ARG2 = (ARG2); \ + __ASM ("pkhbt %0, %1, %2, lsl %3" : "=r" (__RES) : "r" (__ARG1), "r" (__ARG2), "I" (ARG3) ); \ + __RES; \ + }) + +#define __PKHTB(ARG1,ARG2,ARG3) \ +({ \ + uint32_t __RES, __ARG1 = (ARG1), __ARG2 = (ARG2); \ + if (ARG3 == 0) \ + __ASM ("pkhtb %0, %1, %2" : "=r" (__RES) : "r" (__ARG1), "r" (__ARG2) ); \ + else \ + __ASM ("pkhtb %0, %1, %2, asr %3" : "=r" (__RES) : "r" (__ARG1), "r" (__ARG2), "I" (ARG3) ); \ + __RES; \ + }) + +/*-- End CM4 SIMD Intrinsics -----------------------------------------------------*/ + + + +#elif defined ( __TASKING__ ) /*------------------ TASKING Compiler --------------*/ +/* TASKING carm specific functions */ + + +/*------ CM4 SIMD Intrinsics -----------------------------------------------------*/ +/* not yet supported */ +/*-- End CM4 SIMD Intrinsics -----------------------------------------------------*/ + + +#endif + +/*@} end of group CMSIS_SIMD_intrinsics */ + + +#endif /* __CORE_CM4_SIMD_H */ + +#ifdef __cplusplus +} +#endif diff --git a/include/cmsis/core_cmFunc.h b/include/cmsis/core_cmFunc.h new file mode 100644 index 0000000..adb07b5 --- /dev/null +++ b/include/cmsis/core_cmFunc.h @@ -0,0 +1,616 @@ +/**************************************************************************//** + * @file core_cmFunc.h + * @brief CMSIS Cortex-M Core Function Access Header File + * @version V3.01 + * @date 06. March 2012 + * + * @note + * Copyright (C) 2009-2012 ARM Limited. All rights reserved. + * + * @par + * ARM Limited (ARM) is supplying this software for use with Cortex-M + * processor based microcontrollers. This file can be freely distributed + * within development tools that are supporting such ARM based processors. + * + * @par + * THIS SOFTWARE IS PROVIDED "AS IS". NO WARRANTIES, WHETHER EXPRESS, IMPLIED + * OR STATUTORY, INCLUDING, BUT NOT LIMITED TO, IMPLIED WARRANTIES OF + * MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE APPLY TO THIS SOFTWARE. + * ARM SHALL NOT, IN ANY CIRCUMSTANCES, BE LIABLE FOR SPECIAL, INCIDENTAL, OR + * CONSEQUENTIAL DAMAGES, FOR ANY REASON WHATSOEVER. + * + ******************************************************************************/ + +#ifndef __CORE_CMFUNC_H +#define __CORE_CMFUNC_H + + +/* ########################### Core Function Access ########################### */ +/** \ingroup CMSIS_Core_FunctionInterface + \defgroup CMSIS_Core_RegAccFunctions CMSIS Core Register Access Functions + @{ + */ + +#if defined ( __CC_ARM ) /*------------------RealView Compiler -----------------*/ +/* ARM armcc specific functions */ + +#if (__ARMCC_VERSION < 400677) + #error "Please use ARM Compiler Toolchain V4.0.677 or later!" +#endif + +/* intrinsic void __enable_irq(); */ +/* intrinsic void __disable_irq(); */ + +/** \brief Get Control Register + + This function returns the content of the Control Register. + + \return Control Register value + */ +__STATIC_INLINE uint32_t __get_CONTROL(void) +{ + register uint32_t __regControl __ASM("control"); + return(__regControl); +} + + +/** \brief Set Control Register + + This function writes the given value to the Control Register. + + \param [in] control Control Register value to set + */ +__STATIC_INLINE void __set_CONTROL(uint32_t control) +{ + register uint32_t __regControl __ASM("control"); + __regControl = control; +} + + +/** \brief Get IPSR Register + + This function returns the content of the IPSR Register. + + \return IPSR Register value + */ +__STATIC_INLINE uint32_t __get_IPSR(void) +{ + register uint32_t __regIPSR __ASM("ipsr"); + return(__regIPSR); +} + + +/** \brief Get APSR Register + + This function returns the content of the APSR Register. + + \return APSR Register value + */ +__STATIC_INLINE uint32_t __get_APSR(void) +{ + register uint32_t __regAPSR __ASM("apsr"); + return(__regAPSR); +} + + +/** \brief Get xPSR Register + + This function returns the content of the xPSR Register. + + \return xPSR Register value + */ +__STATIC_INLINE uint32_t __get_xPSR(void) +{ + register uint32_t __regXPSR __ASM("xpsr"); + return(__regXPSR); +} + + +/** \brief Get Process Stack Pointer + + This function returns the current value of the Process Stack Pointer (PSP). + + \return PSP Register value + */ +__STATIC_INLINE uint32_t __get_PSP(void) +{ + register uint32_t __regProcessStackPointer __ASM("psp"); + return(__regProcessStackPointer); +} + + +/** \brief Set Process Stack Pointer + + This function assigns the given value to the Process Stack Pointer (PSP). + + \param [in] topOfProcStack Process Stack Pointer value to set + */ +__STATIC_INLINE void __set_PSP(uint32_t topOfProcStack) +{ + register uint32_t __regProcessStackPointer __ASM("psp"); + __regProcessStackPointer = topOfProcStack; +} + + +/** \brief Get Main Stack Pointer + + This function returns the current value of the Main Stack Pointer (MSP). + + \return MSP Register value + */ +__STATIC_INLINE uint32_t __get_MSP(void) +{ + register uint32_t __regMainStackPointer __ASM("msp"); + return(__regMainStackPointer); +} + + +/** \brief Set Main Stack Pointer + + This function assigns the given value to the Main Stack Pointer (MSP). + + \param [in] topOfMainStack Main Stack Pointer value to set + */ +__STATIC_INLINE void __set_MSP(uint32_t topOfMainStack) +{ + register uint32_t __regMainStackPointer __ASM("msp"); + __regMainStackPointer = topOfMainStack; +} + + +/** \brief Get Priority Mask + + This function returns the current state of the priority mask bit from the Priority Mask Register. + + \return Priority Mask value + */ +__STATIC_INLINE uint32_t __get_PRIMASK(void) +{ + register uint32_t __regPriMask __ASM("primask"); + return(__regPriMask); +} + + +/** \brief Set Priority Mask + + This function assigns the given value to the Priority Mask Register. + + \param [in] priMask Priority Mask + */ +__STATIC_INLINE void __set_PRIMASK(uint32_t priMask) +{ + register uint32_t __regPriMask __ASM("primask"); + __regPriMask = (priMask); +} + + +#if (__CORTEX_M >= 0x03) + +/** \brief Enable FIQ + + This function enables FIQ interrupts by clearing the F-bit in the CPSR. + Can only be executed in Privileged modes. + */ +#define __enable_fault_irq __enable_fiq + + +/** \brief Disable FIQ + + This function disables FIQ interrupts by setting the F-bit in the CPSR. + Can only be executed in Privileged modes. + */ +#define __disable_fault_irq __disable_fiq + + +/** \brief Get Base Priority + + This function returns the current value of the Base Priority register. + + \return Base Priority register value + */ +__STATIC_INLINE uint32_t __get_BASEPRI(void) +{ + register uint32_t __regBasePri __ASM("basepri"); + return(__regBasePri); +} + + +/** \brief Set Base Priority + + This function assigns the given value to the Base Priority register. + + \param [in] basePri Base Priority value to set + */ +__STATIC_INLINE void __set_BASEPRI(uint32_t basePri) +{ + register uint32_t __regBasePri __ASM("basepri"); + __regBasePri = (basePri & 0xff); +} + + +/** \brief Get Fault Mask + + This function returns the current value of the Fault Mask register. + + \return Fault Mask register value + */ +__STATIC_INLINE uint32_t __get_FAULTMASK(void) +{ + register uint32_t __regFaultMask __ASM("faultmask"); + return(__regFaultMask); +} + + +/** \brief Set Fault Mask + + This function assigns the given value to the Fault Mask register. + + \param [in] faultMask Fault Mask value to set + */ +__STATIC_INLINE void __set_FAULTMASK(uint32_t faultMask) +{ + register uint32_t __regFaultMask __ASM("faultmask"); + __regFaultMask = (faultMask & (uint32_t)1); +} + +#endif /* (__CORTEX_M >= 0x03) */ + + +#if (__CORTEX_M == 0x04) + +/** \brief Get FPSCR + + This function returns the current value of the Floating Point Status/Control register. + + \return Floating Point Status/Control register value + */ +__STATIC_INLINE uint32_t __get_FPSCR(void) +{ +#if (__FPU_PRESENT == 1) && (__FPU_USED == 1) + register uint32_t __regfpscr __ASM("fpscr"); + return(__regfpscr); +#else + return(0); +#endif +} + + +/** \brief Set FPSCR + + This function assigns the given value to the Floating Point Status/Control register. + + \param [in] fpscr Floating Point Status/Control value to set + */ +__STATIC_INLINE void __set_FPSCR(uint32_t fpscr) +{ +#if (__FPU_PRESENT == 1) && (__FPU_USED == 1) + register uint32_t __regfpscr __ASM("fpscr"); + __regfpscr = (fpscr); +#endif +} + +#endif /* (__CORTEX_M == 0x04) */ + + +#elif defined ( __ICCARM__ ) /*------------------ ICC Compiler -------------------*/ +/* IAR iccarm specific functions */ + +#include + + +#elif defined ( __TMS470__ ) /*---------------- TI CCS Compiler ------------------*/ +/* TI CCS specific functions */ + +#include + + +#elif defined ( __GNUC__ ) /*------------------ GNU Compiler ---------------------*/ +/* GNU gcc specific functions */ + +/** \brief Enable IRQ Interrupts + + This function enables IRQ interrupts by clearing the I-bit in the CPSR. + Can only be executed in Privileged modes. + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE void __enable_irq(void) +{ + __ASM volatile ("cpsie i"); +} + + +/** \brief Disable IRQ Interrupts + + This function disables IRQ interrupts by setting the I-bit in the CPSR. + Can only be executed in Privileged modes. + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE void __disable_irq(void) +{ + __ASM volatile ("cpsid i"); +} + + +/** \brief Get Control Register + + This function returns the content of the Control Register. + + \return Control Register value + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __get_CONTROL(void) +{ + uint32_t result; + + __ASM volatile ("MRS %0, control" : "=r" (result) ); + return(result); +} + + +/** \brief Set Control Register + + This function writes the given value to the Control Register. + + \param [in] control Control Register value to set + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE void __set_CONTROL(uint32_t control) +{ + __ASM volatile ("MSR control, %0" : : "r" (control) ); +} + + +/** \brief Get IPSR Register + + This function returns the content of the IPSR Register. + + \return IPSR Register value + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __get_IPSR(void) +{ + uint32_t result; + + __ASM volatile ("MRS %0, ipsr" : "=r" (result) ); + return(result); +} + + +/** \brief Get APSR Register + + This function returns the content of the APSR Register. + + \return APSR Register value + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __get_APSR(void) +{ + uint32_t result; + + __ASM volatile ("MRS %0, apsr" : "=r" (result) ); + return(result); +} + + +/** \brief Get xPSR Register + + This function returns the content of the xPSR Register. + + \return xPSR Register value + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __get_xPSR(void) +{ + uint32_t result; + + __ASM volatile ("MRS %0, xpsr" : "=r" (result) ); + return(result); +} + + +/** \brief Get Process Stack Pointer + + This function returns the current value of the Process Stack Pointer (PSP). + + \return PSP Register value + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __get_PSP(void) +{ + register uint32_t result; + + __ASM volatile ("MRS %0, psp\n" : "=r" (result) ); + return(result); +} + + +/** \brief Set Process Stack Pointer + + This function assigns the given value to the Process Stack Pointer (PSP). + + \param [in] topOfProcStack Process Stack Pointer value to set + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE void __set_PSP(uint32_t topOfProcStack) +{ + __ASM volatile ("MSR psp, %0\n" : : "r" (topOfProcStack) ); +} + + +/** \brief Get Main Stack Pointer + + This function returns the current value of the Main Stack Pointer (MSP). + + \return MSP Register value + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __get_MSP(void) +{ + register uint32_t result; + + __ASM volatile ("MRS %0, msp\n" : "=r" (result) ); + return(result); +} + + +/** \brief Set Main Stack Pointer + + This function assigns the given value to the Main Stack Pointer (MSP). + + \param [in] topOfMainStack Main Stack Pointer value to set + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE void __set_MSP(uint32_t topOfMainStack) +{ + __ASM volatile ("MSR msp, %0\n" : : "r" (topOfMainStack) ); +} + + +/** \brief Get Priority Mask + + This function returns the current state of the priority mask bit from the Priority Mask Register. + + \return Priority Mask value + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __get_PRIMASK(void) +{ + uint32_t result; + + __ASM volatile ("MRS %0, primask" : "=r" (result) ); + return(result); +} + + +/** \brief Set Priority Mask + + This function assigns the given value to the Priority Mask Register. + + \param [in] priMask Priority Mask + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE void __set_PRIMASK(uint32_t priMask) +{ + __ASM volatile ("MSR primask, %0" : : "r" (priMask) ); +} + + +#if (__CORTEX_M >= 0x03) + +/** \brief Enable FIQ + + This function enables FIQ interrupts by clearing the F-bit in the CPSR. + Can only be executed in Privileged modes. + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE void __enable_fault_irq(void) +{ + __ASM volatile ("cpsie f"); +} + + +/** \brief Disable FIQ + + This function disables FIQ interrupts by setting the F-bit in the CPSR. + Can only be executed in Privileged modes. + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE void __disable_fault_irq(void) +{ + __ASM volatile ("cpsid f"); +} + + +/** \brief Get Base Priority + + This function returns the current value of the Base Priority register. + + \return Base Priority register value + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __get_BASEPRI(void) +{ + uint32_t result; + + __ASM volatile ("MRS %0, basepri_max" : "=r" (result) ); + return(result); +} + + +/** \brief Set Base Priority + + This function assigns the given value to the Base Priority register. + + \param [in] basePri Base Priority value to set + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE void __set_BASEPRI(uint32_t value) +{ + __ASM volatile ("MSR basepri, %0" : : "r" (value) ); +} + + +/** \brief Get Fault Mask + + This function returns the current value of the Fault Mask register. + + \return Fault Mask register value + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __get_FAULTMASK(void) +{ + uint32_t result; + + __ASM volatile ("MRS %0, faultmask" : "=r" (result) ); + return(result); +} + + +/** \brief Set Fault Mask + + This function assigns the given value to the Fault Mask register. + + \param [in] faultMask Fault Mask value to set + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE void __set_FAULTMASK(uint32_t faultMask) +{ + __ASM volatile ("MSR faultmask, %0" : : "r" (faultMask) ); +} + +#endif /* (__CORTEX_M >= 0x03) */ + + +#if (__CORTEX_M == 0x04) + +/** \brief Get FPSCR + + This function returns the current value of the Floating Point Status/Control register. + + \return Floating Point Status/Control register value + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __get_FPSCR(void) +{ +#if (__FPU_PRESENT == 1) && (__FPU_USED == 1) + uint32_t result; + + __ASM volatile ("VMRS %0, fpscr" : "=r" (result) ); + return(result); +#else + return(0); +#endif +} + + +/** \brief Set FPSCR + + This function assigns the given value to the Floating Point Status/Control register. + + \param [in] fpscr Floating Point Status/Control value to set + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE void __set_FPSCR(uint32_t fpscr) +{ +#if (__FPU_PRESENT == 1) && (__FPU_USED == 1) + __ASM volatile ("VMSR fpscr, %0" : : "r" (fpscr) ); +#endif +} + +#endif /* (__CORTEX_M == 0x04) */ + + +#elif defined ( __TASKING__ ) /*------------------ TASKING Compiler --------------*/ +/* TASKING carm specific functions */ + +/* + * The CMSIS functions have been implemented as intrinsics in the compiler. + * Please use "carm -?i" to get an up to date list of all instrinsics, + * Including the CMSIS ones. + */ + +#endif + +/*@} end of CMSIS_Core_RegAccFunctions */ + + +#endif /* __CORE_CMFUNC_H */ diff --git a/include/cmsis/core_cmInstr.h b/include/cmsis/core_cmInstr.h new file mode 100644 index 0000000..624c175 --- /dev/null +++ b/include/cmsis/core_cmInstr.h @@ -0,0 +1,618 @@ +/**************************************************************************//** + * @file core_cmInstr.h + * @brief CMSIS Cortex-M Core Instruction Access Header File + * @version V3.01 + * @date 06. March 2012 + * + * @note + * Copyright (C) 2009-2012 ARM Limited. All rights reserved. + * + * @par + * ARM Limited (ARM) is supplying this software for use with Cortex-M + * processor based microcontrollers. This file can be freely distributed + * within development tools that are supporting such ARM based processors. + * + * @par + * THIS SOFTWARE IS PROVIDED "AS IS". NO WARRANTIES, WHETHER EXPRESS, IMPLIED + * OR STATUTORY, INCLUDING, BUT NOT LIMITED TO, IMPLIED WARRANTIES OF + * MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE APPLY TO THIS SOFTWARE. + * ARM SHALL NOT, IN ANY CIRCUMSTANCES, BE LIABLE FOR SPECIAL, INCIDENTAL, OR + * CONSEQUENTIAL DAMAGES, FOR ANY REASON WHATSOEVER. + * + ******************************************************************************/ + +#ifndef __CORE_CMINSTR_H +#define __CORE_CMINSTR_H + + +/* ########################## Core Instruction Access ######################### */ +/** \defgroup CMSIS_Core_InstructionInterface CMSIS Core Instruction Interface + Access to dedicated instructions + @{ +*/ + +#if defined ( __CC_ARM ) /*------------------RealView Compiler -----------------*/ +/* ARM armcc specific functions */ + +#if (__ARMCC_VERSION < 400677) + #error "Please use ARM Compiler Toolchain V4.0.677 or later!" +#endif + + +/** \brief No Operation + + No Operation does nothing. This instruction can be used for code alignment purposes. + */ +#define __NOP __nop + + +/** \brief Wait For Interrupt + + Wait For Interrupt is a hint instruction that suspends execution + until one of a number of events occurs. + */ +#define __WFI __wfi + + +/** \brief Wait For Event + + Wait For Event is a hint instruction that permits the processor to enter + a low-power state until one of a number of events occurs. + */ +#define __WFE __wfe + + +/** \brief Send Event + + Send Event is a hint instruction. It causes an event to be signaled to the CPU. + */ +#define __SEV __sev + + +/** \brief Instruction Synchronization Barrier + + Instruction Synchronization Barrier flushes the pipeline in the processor, + so that all instructions following the ISB are fetched from cache or + memory, after the instruction has been completed. + */ +#define __ISB() __isb(0xF) + + +/** \brief Data Synchronization Barrier + + This function acts as a special kind of Data Memory Barrier. + It completes when all explicit memory accesses before this instruction complete. + */ +#define __DSB() __dsb(0xF) + + +/** \brief Data Memory Barrier + + This function ensures the apparent order of the explicit memory operations before + and after the instruction, without ensuring their completion. + */ +#define __DMB() __dmb(0xF) + + +/** \brief Reverse byte order (32 bit) + + This function reverses the byte order in integer value. + + \param [in] value Value to reverse + \return Reversed value + */ +#define __REV __rev + + +/** \brief Reverse byte order (16 bit) + + This function reverses the byte order in two unsigned short values. + + \param [in] value Value to reverse + \return Reversed value + */ +__attribute__((section(".rev16_text"))) __STATIC_INLINE __ASM uint32_t __REV16(uint32_t value) +{ + rev16 r0, r0 + bx lr +} + + +/** \brief Reverse byte order in signed short value + + This function reverses the byte order in a signed short value with sign extension to integer. + + \param [in] value Value to reverse + \return Reversed value + */ +__attribute__((section(".revsh_text"))) __STATIC_INLINE __ASM int32_t __REVSH(int32_t value) +{ + revsh r0, r0 + bx lr +} + + +/** \brief Rotate Right in unsigned value (32 bit) + + This function Rotate Right (immediate) provides the value of the contents of a register rotated by a variable number of bits. + + \param [in] value Value to rotate + \param [in] value Number of Bits to rotate + \return Rotated value + */ +#define __ROR __ror + + +#if (__CORTEX_M >= 0x03) + +/** \brief Reverse bit order of value + + This function reverses the bit order of the given value. + + \param [in] value Value to reverse + \return Reversed value + */ +#define __RBIT __rbit + + +/** \brief LDR Exclusive (8 bit) + + This function performs a exclusive LDR command for 8 bit value. + + \param [in] ptr Pointer to data + \return value of type uint8_t at (*ptr) + */ +#define __LDREXB(ptr) ((uint8_t ) __ldrex(ptr)) + + +/** \brief LDR Exclusive (16 bit) + + This function performs a exclusive LDR command for 16 bit values. + + \param [in] ptr Pointer to data + \return value of type uint16_t at (*ptr) + */ +#define __LDREXH(ptr) ((uint16_t) __ldrex(ptr)) + + +/** \brief LDR Exclusive (32 bit) + + This function performs a exclusive LDR command for 32 bit values. + + \param [in] ptr Pointer to data + \return value of type uint32_t at (*ptr) + */ +#define __LDREXW(ptr) ((uint32_t ) __ldrex(ptr)) + + +/** \brief STR Exclusive (8 bit) + + This function performs a exclusive STR command for 8 bit values. + + \param [in] value Value to store + \param [in] ptr Pointer to location + \return 0 Function succeeded + \return 1 Function failed + */ +#define __STREXB(value, ptr) __strex(value, ptr) + + +/** \brief STR Exclusive (16 bit) + + This function performs a exclusive STR command for 16 bit values. + + \param [in] value Value to store + \param [in] ptr Pointer to location + \return 0 Function succeeded + \return 1 Function failed + */ +#define __STREXH(value, ptr) __strex(value, ptr) + + +/** \brief STR Exclusive (32 bit) + + This function performs a exclusive STR command for 32 bit values. + + \param [in] value Value to store + \param [in] ptr Pointer to location + \return 0 Function succeeded + \return 1 Function failed + */ +#define __STREXW(value, ptr) __strex(value, ptr) + + +/** \brief Remove the exclusive lock + + This function removes the exclusive lock which is created by LDREX. + + */ +#define __CLREX __clrex + + +/** \brief Signed Saturate + + This function saturates a signed value. + + \param [in] value Value to be saturated + \param [in] sat Bit position to saturate to (1..32) + \return Saturated value + */ +#define __SSAT __ssat + + +/** \brief Unsigned Saturate + + This function saturates an unsigned value. + + \param [in] value Value to be saturated + \param [in] sat Bit position to saturate to (0..31) + \return Saturated value + */ +#define __USAT __usat + + +/** \brief Count leading zeros + + This function counts the number of leading zeros of a data value. + + \param [in] value Value to count the leading zeros + \return number of leading zeros in value + */ +#define __CLZ __clz + +#endif /* (__CORTEX_M >= 0x03) */ + + + +#elif defined ( __ICCARM__ ) /*------------------ ICC Compiler -------------------*/ +/* IAR iccarm specific functions */ + +#include + + +#elif defined ( __TMS470__ ) /*---------------- TI CCS Compiler ------------------*/ +/* TI CCS specific functions */ + +#include + + +#elif defined ( __GNUC__ ) /*------------------ GNU Compiler ---------------------*/ +/* GNU gcc specific functions */ + +/** \brief No Operation + + No Operation does nothing. This instruction can be used for code alignment purposes. + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE void __NOP(void) +{ + __ASM volatile ("nop"); +} + + +/** \brief Wait For Interrupt + + Wait For Interrupt is a hint instruction that suspends execution + until one of a number of events occurs. + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE void __WFI(void) +{ + __ASM volatile ("wfi"); +} + + +/** \brief Wait For Event + + Wait For Event is a hint instruction that permits the processor to enter + a low-power state until one of a number of events occurs. + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE void __WFE(void) +{ + __ASM volatile ("wfe"); +} + + +/** \brief Send Event + + Send Event is a hint instruction. It causes an event to be signaled to the CPU. + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE void __SEV(void) +{ + __ASM volatile ("sev"); +} + + +/** \brief Instruction Synchronization Barrier + + Instruction Synchronization Barrier flushes the pipeline in the processor, + so that all instructions following the ISB are fetched from cache or + memory, after the instruction has been completed. + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE void __ISB(void) +{ + __ASM volatile ("isb"); +} + + +/** \brief Data Synchronization Barrier + + This function acts as a special kind of Data Memory Barrier. + It completes when all explicit memory accesses before this instruction complete. + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE void __DSB(void) +{ + __ASM volatile ("dsb"); +} + + +/** \brief Data Memory Barrier + + This function ensures the apparent order of the explicit memory operations before + and after the instruction, without ensuring their completion. + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE void __DMB(void) +{ + __ASM volatile ("dmb"); +} + + +/** \brief Reverse byte order (32 bit) + + This function reverses the byte order in integer value. + + \param [in] value Value to reverse + \return Reversed value + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __REV(uint32_t value) +{ + uint32_t result; + + __ASM volatile ("rev %0, %1" : "=r" (result) : "r" (value) ); + return(result); +} + + +/** \brief Reverse byte order (16 bit) + + This function reverses the byte order in two unsigned short values. + + \param [in] value Value to reverse + \return Reversed value + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __REV16(uint32_t value) +{ + uint32_t result; + + __ASM volatile ("rev16 %0, %1" : "=r" (result) : "r" (value) ); + return(result); +} + + +/** \brief Reverse byte order in signed short value + + This function reverses the byte order in a signed short value with sign extension to integer. + + \param [in] value Value to reverse + \return Reversed value + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE int32_t __REVSH(int32_t value) +{ + uint32_t result; + + __ASM volatile ("revsh %0, %1" : "=r" (result) : "r" (value) ); + return(result); +} + + +/** \brief Rotate Right in unsigned value (32 bit) + + This function Rotate Right (immediate) provides the value of the contents of a register rotated by a variable number of bits. + + \param [in] value Value to rotate + \param [in] value Number of Bits to rotate + \return Rotated value + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __ROR(uint32_t op1, uint32_t op2) +{ + + __ASM volatile ("ror %0, %0, %1" : "+r" (op1) : "r" (op2) ); + return(op1); +} + + +#if (__CORTEX_M >= 0x03) + +/** \brief Reverse bit order of value + + This function reverses the bit order of the given value. + + \param [in] value Value to reverse + \return Reversed value + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __RBIT(uint32_t value) +{ + uint32_t result; + + __ASM volatile ("rbit %0, %1" : "=r" (result) : "r" (value) ); + return(result); +} + + +/** \brief LDR Exclusive (8 bit) + + This function performs a exclusive LDR command for 8 bit value. + + \param [in] ptr Pointer to data + \return value of type uint8_t at (*ptr) + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE uint8_t __LDREXB(volatile uint8_t *addr) +{ + uint8_t result; + + __ASM volatile ("ldrexb %0, [%1]" : "=r" (result) : "r" (addr) ); + return(result); +} + + +/** \brief LDR Exclusive (16 bit) + + This function performs a exclusive LDR command for 16 bit values. + + \param [in] ptr Pointer to data + \return value of type uint16_t at (*ptr) + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE uint16_t __LDREXH(volatile uint16_t *addr) +{ + uint16_t result; + + __ASM volatile ("ldrexh %0, [%1]" : "=r" (result) : "r" (addr) ); + return(result); +} + + +/** \brief LDR Exclusive (32 bit) + + This function performs a exclusive LDR command for 32 bit values. + + \param [in] ptr Pointer to data + \return value of type uint32_t at (*ptr) + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __LDREXW(volatile uint32_t *addr) +{ + uint32_t result; + + __ASM volatile ("ldrex %0, [%1]" : "=r" (result) : "r" (addr) ); + return(result); +} + + +/** \brief STR Exclusive (8 bit) + + This function performs a exclusive STR command for 8 bit values. + + \param [in] value Value to store + \param [in] ptr Pointer to location + \return 0 Function succeeded + \return 1 Function failed + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __STREXB(uint8_t value, volatile uint8_t *addr) +{ + uint32_t result; + + __ASM volatile ("strexb %0, %2, [%1]" : "=&r" (result) : "r" (addr), "r" (value) ); + return(result); +} + + +/** \brief STR Exclusive (16 bit) + + This function performs a exclusive STR command for 16 bit values. + + \param [in] value Value to store + \param [in] ptr Pointer to location + \return 0 Function succeeded + \return 1 Function failed + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __STREXH(uint16_t value, volatile uint16_t *addr) +{ + uint32_t result; + + __ASM volatile ("strexh %0, %2, [%1]" : "=&r" (result) : "r" (addr), "r" (value) ); + return(result); +} + + +/** \brief STR Exclusive (32 bit) + + This function performs a exclusive STR command for 32 bit values. + + \param [in] value Value to store + \param [in] ptr Pointer to location + \return 0 Function succeeded + \return 1 Function failed + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __STREXW(uint32_t value, volatile uint32_t *addr) +{ + uint32_t result; + + __ASM volatile ("strex %0, %2, [%1]" : "=&r" (result) : "r" (addr), "r" (value) ); + return(result); +} + + +/** \brief Remove the exclusive lock + + This function removes the exclusive lock which is created by LDREX. + + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE void __CLREX(void) +{ + __ASM volatile ("clrex"); +} + + +/** \brief Signed Saturate + + This function saturates a signed value. + + \param [in] value Value to be saturated + \param [in] sat Bit position to saturate to (1..32) + \return Saturated value + */ +#define __SSAT(ARG1,ARG2) \ +({ \ + uint32_t __RES, __ARG1 = (ARG1); \ + __ASM ("ssat %0, %1, %2" : "=r" (__RES) : "I" (ARG2), "r" (__ARG1) ); \ + __RES; \ + }) + + +/** \brief Unsigned Saturate + + This function saturates an unsigned value. + + \param [in] value Value to be saturated + \param [in] sat Bit position to saturate to (0..31) + \return Saturated value + */ +#define __USAT(ARG1,ARG2) \ +({ \ + uint32_t __RES, __ARG1 = (ARG1); \ + __ASM ("usat %0, %1, %2" : "=r" (__RES) : "I" (ARG2), "r" (__ARG1) ); \ + __RES; \ + }) + + +/** \brief Count leading zeros + + This function counts the number of leading zeros of a data value. + + \param [in] value Value to count the leading zeros + \return number of leading zeros in value + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE uint8_t __CLZ(uint32_t value) +{ + uint8_t result; + + __ASM volatile ("clz %0, %1" : "=r" (result) : "r" (value) ); + return(result); +} + +#endif /* (__CORTEX_M >= 0x03) */ + + + + +#elif defined ( __TASKING__ ) /*------------------ TASKING Compiler --------------*/ +/* TASKING carm specific functions */ + +/* + * The CMSIS functions have been implemented as intrinsics in the compiler. + * Please use "carm -?i" to get an up to date list of all intrinsics, + * Including the CMSIS ones. + */ + +#endif + +/*@}*/ /* end of group CMSIS_Core_InstructionInterface */ + +#endif /* __CORE_CMINSTR_H */ diff --git a/include/setup/system_stm32f4xx.h b/include/setup/system_stm32f4xx.h new file mode 100644 index 0000000..7b29850 --- /dev/null +++ b/include/setup/system_stm32f4xx.h @@ -0,0 +1,99 @@ +/** + ****************************************************************************** + * @file system_stm32f4xx.h + * @author MCD Application Team + * @version V1.0.0 + * @date 30-September-2011 + * @brief CMSIS Cortex-M4 Device System Source File for STM32F4xx devices. + ****************************************************************************** + * @attention + * + * THE PRESENT FIRMWARE WHICH IS FOR GUIDANCE ONLY AIMS AT PROVIDING CUSTOMERS + * WITH CODING INFORMATION REGARDING THEIR PRODUCTS IN ORDER FOR THEM TO SAVE + * TIME. AS A RESULT, STMICROELECTRONICS SHALL NOT BE HELD LIABLE FOR ANY + * DIRECT, INDIRECT OR CONSEQUENTIAL DAMAGES WITH RESPECT TO ANY CLAIMS ARISING + * FROM THE CONTENT OF SUCH FIRMWARE AND/OR THE USE MADE BY CUSTOMERS OF THE + * CODING INFORMATION CONTAINED HEREIN IN CONNECTION WITH THEIR PRODUCTS. + * + *

© COPYRIGHT 2011 STMicroelectronics

+ ****************************************************************************** + */ + +/** @addtogroup CMSIS + * @{ + */ + +/** @addtogroup stm32f4xx_system + * @{ + */ + +/** + * @brief Define to prevent recursive inclusion + */ +#ifndef __SYSTEM_STM32F4XX_H +#define __SYSTEM_STM32F4XX_H + +#ifdef __cplusplus + extern "C" { +#endif + +/** @addtogroup STM32F4xx_System_Includes + * @{ + */ + +/** + * @} + */ + + +/** @addtogroup STM32F4xx_System_Exported_types + * @{ + */ + +extern uint32_t SystemCoreClock; /*!< System Clock Frequency (Core Clock) */ + + +/** + * @} + */ + +/** @addtogroup STM32F4xx_System_Exported_Constants + * @{ + */ + +/** + * @} + */ + +/** @addtogroup STM32F4xx_System_Exported_Macros + * @{ + */ + +/** + * @} + */ + +/** @addtogroup STM32F4xx_System_Exported_Functions + * @{ + */ + +extern void SystemInit(void); +extern void SystemCoreClockUpdate(void); +/** + * @} + */ + +#ifdef __cplusplus +} +#endif + +#endif /*__SYSTEM_STM32F4XX_H */ + +/** + * @} + */ + +/** + * @} + */ +/******************* (C) COPYRIGHT 2011 STMicroelectronics *****END OF FILE****/ diff --git a/include/stm32/stm32f407xx.h b/include/stm32/stm32f407xx.h new file mode 100644 index 0000000..c61a9a6 --- /dev/null +++ b/include/stm32/stm32f407xx.h @@ -0,0 +1,8046 @@ +/** + ****************************************************************************** + * @file stm32f407xx.h + * @author MCD Application Team + * @version V2.2.0 + * @date 15-December-2014 + * @brief CMSIS STM32F407xx Device Peripheral Access Layer Header File. + * + * This file contains: + * - Data structures and the address mapping for all peripherals + * - Peripheral's registers declarations and bits definition + * - Macros to access peripheral’s registers hardware + * + ****************************************************************************** + * @attention + * + *

© COPYRIGHT(c) 2014 STMicroelectronics

+ * + * Redistribution and use in source and binary forms, with or without modification, + * are permitted provided that the following conditions are met: + * 1. Redistributions of source code must retain the above copyright notice, + * this list of conditions and the following disclaimer. + * 2. Redistributions in binary form must reproduce the above copyright notice, + * this list of conditions and the following disclaimer in the documentation + * and/or other materials provided with the distribution. + * 3. Neither the name of STMicroelectronics nor the names of its contributors + * may be used to endorse or promote products derived from this software + * without specific prior written permission. + * + * THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" + * AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE + * IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE + * DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE + * FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL + * DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR + * SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER + * CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, + * OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE + * OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. + * + ****************************************************************************** + */ + +/** @addtogroup CMSIS + * @{ + */ + +/** @addtogroup stm32f407xx + * @{ + */ + +#ifndef __STM32F407xx_H +#define __STM32F407xx_H + +#ifdef __cplusplus + extern "C" { +#endif /* __cplusplus */ + + +/** @addtogroup Configuration_section_for_CMSIS + * @{ + */ + +/** + * @brief Configuration of the Cortex-M4 Processor and Core Peripherals + */ +#define __CM4_REV 0x0001 /*!< Core revision r0p1 */ +#define __MPU_PRESENT 1 /*!< STM32F4XX provides an MPU */ +#define __NVIC_PRIO_BITS 4 /*!< STM32F4XX uses 4 Bits for the Priority Levels */ +#define __Vendor_SysTickConfig 0 /*!< Set to 1 if different SysTick Config is used */ +#define __FPU_PRESENT 1 /*!< FPU present */ + +/** + * @} + */ + +/** @addtogroup Peripheral_interrupt_number_definition + * @{ + */ + +/** + * @brief STM32F4XX Interrupt Number Definition, according to the selected device + * in @ref Library_configuration_section + */ +typedef enum +{ +/****** Cortex-M4 Processor Exceptions Numbers ****************************************************************/ + NonMaskableInt_IRQn = -14, /*!< 2 Non Maskable Interrupt */ + MemoryManagement_IRQn = -12, /*!< 4 Cortex-M4 Memory Management Interrupt */ + BusFault_IRQn = -11, /*!< 5 Cortex-M4 Bus Fault Interrupt */ + UsageFault_IRQn = -10, /*!< 6 Cortex-M4 Usage Fault Interrupt */ + SVCall_IRQn = -5, /*!< 11 Cortex-M4 SV Call Interrupt */ + DebugMonitor_IRQn = -4, /*!< 12 Cortex-M4 Debug Monitor Interrupt */ + PendSV_IRQn = -2, /*!< 14 Cortex-M4 Pend SV Interrupt */ + SysTick_IRQn = -1, /*!< 15 Cortex-M4 System Tick Interrupt */ +/****** STM32 specific Interrupt Numbers **********************************************************************/ + WWDG_IRQn = 0, /*!< Window WatchDog Interrupt */ + PVD_IRQn = 1, /*!< PVD through EXTI Line detection Interrupt */ + TAMP_STAMP_IRQn = 2, /*!< Tamper and TimeStamp interrupts through the EXTI line */ + RTC_WKUP_IRQn = 3, /*!< RTC Wakeup interrupt through the EXTI line */ + FLASH_IRQn = 4, /*!< FLASH global Interrupt */ + RCC_IRQn = 5, /*!< RCC global Interrupt */ + EXTI0_IRQn = 6, /*!< EXTI Line0 Interrupt */ + EXTI1_IRQn = 7, /*!< EXTI Line1 Interrupt */ + EXTI2_IRQn = 8, /*!< EXTI Line2 Interrupt */ + EXTI3_IRQn = 9, /*!< EXTI Line3 Interrupt */ + EXTI4_IRQn = 10, /*!< EXTI Line4 Interrupt */ + DMA1_Stream0_IRQn = 11, /*!< DMA1 Stream 0 global Interrupt */ + DMA1_Stream1_IRQn = 12, /*!< DMA1 Stream 1 global Interrupt */ + DMA1_Stream2_IRQn = 13, /*!< DMA1 Stream 2 global Interrupt */ + DMA1_Stream3_IRQn = 14, /*!< DMA1 Stream 3 global Interrupt */ + DMA1_Stream4_IRQn = 15, /*!< DMA1 Stream 4 global Interrupt */ + DMA1_Stream5_IRQn = 16, /*!< DMA1 Stream 5 global Interrupt */ + DMA1_Stream6_IRQn = 17, /*!< DMA1 Stream 6 global Interrupt */ + ADC_IRQn = 18, /*!< ADC1, ADC2 and ADC3 global Interrupts */ + CAN1_TX_IRQn = 19, /*!< CAN1 TX Interrupt */ + CAN1_RX0_IRQn = 20, /*!< CAN1 RX0 Interrupt */ + CAN1_RX1_IRQn = 21, /*!< CAN1 RX1 Interrupt */ + CAN1_SCE_IRQn = 22, /*!< CAN1 SCE Interrupt */ + EXTI9_5_IRQn = 23, /*!< External Line[9:5] Interrupts */ + TIM1_BRK_TIM9_IRQn = 24, /*!< TIM1 Break interrupt and TIM9 global interrupt */ + TIM1_UP_TIM10_IRQn = 25, /*!< TIM1 Update Interrupt and TIM10 global interrupt */ + TIM1_TRG_COM_TIM11_IRQn = 26, /*!< TIM1 Trigger and Commutation Interrupt and TIM11 global interrupt */ + TIM1_CC_IRQn = 27, /*!< TIM1 Capture Compare Interrupt */ + TIM2_IRQn = 28, /*!< TIM2 global Interrupt */ + TIM3_IRQn = 29, /*!< TIM3 global Interrupt */ + TIM4_IRQn = 30, /*!< TIM4 global Interrupt */ + I2C1_EV_IRQn = 31, /*!< I2C1 Event Interrupt */ + I2C1_ER_IRQn = 32, /*!< I2C1 Error Interrupt */ + I2C2_EV_IRQn = 33, /*!< I2C2 Event Interrupt */ + I2C2_ER_IRQn = 34, /*!< I2C2 Error Interrupt */ + SPI1_IRQn = 35, /*!< SPI1 global Interrupt */ + SPI2_IRQn = 36, /*!< SPI2 global Interrupt */ + USART1_IRQn = 37, /*!< USART1 global Interrupt */ + USART2_IRQn = 38, /*!< USART2 global Interrupt */ + USART3_IRQn = 39, /*!< USART3 global Interrupt */ + EXTI15_10_IRQn = 40, /*!< External Line[15:10] Interrupts */ + RTC_Alarm_IRQn = 41, /*!< RTC Alarm (A and B) through EXTI Line Interrupt */ + OTG_FS_WKUP_IRQn = 42, /*!< USB OTG FS Wakeup through EXTI line interrupt */ + TIM8_BRK_TIM12_IRQn = 43, /*!< TIM8 Break Interrupt and TIM12 global interrupt */ + TIM8_UP_TIM13_IRQn = 44, /*!< TIM8 Update Interrupt and TIM13 global interrupt */ + TIM8_TRG_COM_TIM14_IRQn = 45, /*!< TIM8 Trigger and Commutation Interrupt and TIM14 global interrupt */ + TIM8_CC_IRQn = 46, /*!< TIM8 Capture Compare Interrupt */ + DMA1_Stream7_IRQn = 47, /*!< DMA1 Stream7 Interrupt */ + FSMC_IRQn = 48, /*!< FSMC global Interrupt */ + SDIO_IRQn = 49, /*!< SDIO global Interrupt */ + TIM5_IRQn = 50, /*!< TIM5 global Interrupt */ + SPI3_IRQn = 51, /*!< SPI3 global Interrupt */ + UART4_IRQn = 52, /*!< UART4 global Interrupt */ + UART5_IRQn = 53, /*!< UART5 global Interrupt */ + TIM6_DAC_IRQn = 54, /*!< TIM6 global and DAC1&2 underrun error interrupts */ + TIM7_IRQn = 55, /*!< TIM7 global interrupt */ + DMA2_Stream0_IRQn = 56, /*!< DMA2 Stream 0 global Interrupt */ + DMA2_Stream1_IRQn = 57, /*!< DMA2 Stream 1 global Interrupt */ + DMA2_Stream2_IRQn = 58, /*!< DMA2 Stream 2 global Interrupt */ + DMA2_Stream3_IRQn = 59, /*!< DMA2 Stream 3 global Interrupt */ + DMA2_Stream4_IRQn = 60, /*!< DMA2 Stream 4 global Interrupt */ + ETH_IRQn = 61, /*!< Ethernet global Interrupt */ + ETH_WKUP_IRQn = 62, /*!< Ethernet Wakeup through EXTI line Interrupt */ + CAN2_TX_IRQn = 63, /*!< CAN2 TX Interrupt */ + CAN2_RX0_IRQn = 64, /*!< CAN2 RX0 Interrupt */ + CAN2_RX1_IRQn = 65, /*!< CAN2 RX1 Interrupt */ + CAN2_SCE_IRQn = 66, /*!< CAN2 SCE Interrupt */ + OTG_FS_IRQn = 67, /*!< USB OTG FS global Interrupt */ + DMA2_Stream5_IRQn = 68, /*!< DMA2 Stream 5 global interrupt */ + DMA2_Stream6_IRQn = 69, /*!< DMA2 Stream 6 global interrupt */ + DMA2_Stream7_IRQn = 70, /*!< DMA2 Stream 7 global interrupt */ + USART6_IRQn = 71, /*!< USART6 global interrupt */ + I2C3_EV_IRQn = 72, /*!< I2C3 event interrupt */ + I2C3_ER_IRQn = 73, /*!< I2C3 error interrupt */ + OTG_HS_EP1_OUT_IRQn = 74, /*!< USB OTG HS End Point 1 Out global interrupt */ + OTG_HS_EP1_IN_IRQn = 75, /*!< USB OTG HS End Point 1 In global interrupt */ + OTG_HS_WKUP_IRQn = 76, /*!< USB OTG HS Wakeup through EXTI interrupt */ + OTG_HS_IRQn = 77, /*!< USB OTG HS global interrupt */ + DCMI_IRQn = 78, /*!< DCMI global interrupt */ + HASH_RNG_IRQn = 80, /*!< Hash and RNG global interrupt */ + FPU_IRQn = 81 /*!< FPU global interrupt */ +} IRQn_Type; + +/** + * @} + */ + +#include /* Cortex-M4 processor and core peripherals */ +#include +#include + +/** @addtogroup Peripheral_registers_structures + * @{ + */ + +/** + * @brief Analog to Digital Converter + */ + +typedef struct +{ + __IO uint32_t SR; /*!< ADC status register, Address offset: 0x00 */ + __IO uint32_t CR1; /*!< ADC control register 1, Address offset: 0x04 */ + __IO uint32_t CR2; /*!< ADC control register 2, Address offset: 0x08 */ + __IO uint32_t SMPR1; /*!< ADC sample time register 1, Address offset: 0x0C */ + __IO uint32_t SMPR2; /*!< ADC sample time register 2, Address offset: 0x10 */ + __IO uint32_t JOFR1; /*!< ADC injected channel data offset register 1, Address offset: 0x14 */ + __IO uint32_t JOFR2; /*!< ADC injected channel data offset register 2, Address offset: 0x18 */ + __IO uint32_t JOFR3; /*!< ADC injected channel data offset register 3, Address offset: 0x1C */ + __IO uint32_t JOFR4; /*!< ADC injected channel data offset register 4, Address offset: 0x20 */ + __IO uint32_t HTR; /*!< ADC watchdog higher threshold register, Address offset: 0x24 */ + __IO uint32_t LTR; /*!< ADC watchdog lower threshold register, Address offset: 0x28 */ + __IO uint32_t SQR1; /*!< ADC regular sequence register 1, Address offset: 0x2C */ + __IO uint32_t SQR2; /*!< ADC regular sequence register 2, Address offset: 0x30 */ + __IO uint32_t SQR3; /*!< ADC regular sequence register 3, Address offset: 0x34 */ + __IO uint32_t JSQR; /*!< ADC injected sequence register, Address offset: 0x38*/ + __IO uint32_t JDR1; /*!< ADC injected data register 1, Address offset: 0x3C */ + __IO uint32_t JDR2; /*!< ADC injected data register 2, Address offset: 0x40 */ + __IO uint32_t JDR3; /*!< ADC injected data register 3, Address offset: 0x44 */ + __IO uint32_t JDR4; /*!< ADC injected data register 4, Address offset: 0x48 */ + __IO uint32_t DR; /*!< ADC regular data register, Address offset: 0x4C */ +} ADC_TypeDef; + +typedef struct +{ + __IO uint32_t CSR; /*!< ADC Common status register, Address offset: ADC1 base address + 0x300 */ + __IO uint32_t CCR; /*!< ADC common control register, Address offset: ADC1 base address + 0x304 */ + __IO uint32_t CDR; /*!< ADC common regular data register for dual + AND triple modes, Address offset: ADC1 base address + 0x308 */ +} ADC_Common_TypeDef; + + +/** + * @brief Controller Area Network TxMailBox + */ + +typedef struct +{ + __IO uint32_t TIR; /*!< CAN TX mailbox identifier register */ + __IO uint32_t TDTR; /*!< CAN mailbox data length control and time stamp register */ + __IO uint32_t TDLR; /*!< CAN mailbox data low register */ + __IO uint32_t TDHR; /*!< CAN mailbox data high register */ +} CAN_TxMailBox_TypeDef; + +/** + * @brief Controller Area Network FIFOMailBox + */ + +typedef struct +{ + __IO uint32_t RIR; /*!< CAN receive FIFO mailbox identifier register */ + __IO uint32_t RDTR; /*!< CAN receive FIFO mailbox data length control and time stamp register */ + __IO uint32_t RDLR; /*!< CAN receive FIFO mailbox data low register */ + __IO uint32_t RDHR; /*!< CAN receive FIFO mailbox data high register */ +} CAN_FIFOMailBox_TypeDef; + +/** + * @brief Controller Area Network FilterRegister + */ + +typedef struct +{ + __IO uint32_t FR1; /*!< CAN Filter bank register 1 */ + __IO uint32_t FR2; /*!< CAN Filter bank register 1 */ +} CAN_FilterRegister_TypeDef; + +/** + * @brief Controller Area Network + */ + +typedef struct +{ + __IO uint32_t MCR; /*!< CAN master control register, Address offset: 0x00 */ + __IO uint32_t MSR; /*!< CAN master status register, Address offset: 0x04 */ + __IO uint32_t TSR; /*!< CAN transmit status register, Address offset: 0x08 */ + __IO uint32_t RF0R; /*!< CAN receive FIFO 0 register, Address offset: 0x0C */ + __IO uint32_t RF1R; /*!< CAN receive FIFO 1 register, Address offset: 0x10 */ + __IO uint32_t IER; /*!< CAN interrupt enable register, Address offset: 0x14 */ + __IO uint32_t ESR; /*!< CAN error status register, Address offset: 0x18 */ + __IO uint32_t BTR; /*!< CAN bit timing register, Address offset: 0x1C */ + uint32_t RESERVED0[88]; /*!< Reserved, 0x020 - 0x17F */ + CAN_TxMailBox_TypeDef sTxMailBox[3]; /*!< CAN Tx MailBox, Address offset: 0x180 - 0x1AC */ + CAN_FIFOMailBox_TypeDef sFIFOMailBox[2]; /*!< CAN FIFO MailBox, Address offset: 0x1B0 - 0x1CC */ + uint32_t RESERVED1[12]; /*!< Reserved, 0x1D0 - 0x1FF */ + __IO uint32_t FMR; /*!< CAN filter master register, Address offset: 0x200 */ + __IO uint32_t FM1R; /*!< CAN filter mode register, Address offset: 0x204 */ + uint32_t RESERVED2; /*!< Reserved, 0x208 */ + __IO uint32_t FS1R; /*!< CAN filter scale register, Address offset: 0x20C */ + uint32_t RESERVED3; /*!< Reserved, 0x210 */ + __IO uint32_t FFA1R; /*!< CAN filter FIFO assignment register, Address offset: 0x214 */ + uint32_t RESERVED4; /*!< Reserved, 0x218 */ + __IO uint32_t FA1R; /*!< CAN filter activation register, Address offset: 0x21C */ + uint32_t RESERVED5[8]; /*!< Reserved, 0x220-0x23F */ + CAN_FilterRegister_TypeDef sFilterRegister[28]; /*!< CAN Filter Register, Address offset: 0x240-0x31C */ +} CAN_TypeDef; + +/** + * @brief CRC calculation unit + */ + +typedef struct +{ + __IO uint32_t DR; /*!< CRC Data register, Address offset: 0x00 */ + __IO uint8_t IDR; /*!< CRC Independent data register, Address offset: 0x04 */ + uint8_t RESERVED0; /*!< Reserved, 0x05 */ + uint16_t RESERVED1; /*!< Reserved, 0x06 */ + __IO uint32_t CR; /*!< CRC Control register, Address offset: 0x08 */ +} CRC_TypeDef; + +/** + * @brief Digital to Analog Converter + */ + +typedef struct +{ + __IO uint32_t CR; /*!< DAC control register, Address offset: 0x00 */ + __IO uint32_t SWTRIGR; /*!< DAC software trigger register, Address offset: 0x04 */ + __IO uint32_t DHR12R1; /*!< DAC channel1 12-bit right-aligned data holding register, Address offset: 0x08 */ + __IO uint32_t DHR12L1; /*!< DAC channel1 12-bit left aligned data holding register, Address offset: 0x0C */ + __IO uint32_t DHR8R1; /*!< DAC channel1 8-bit right aligned data holding register, Address offset: 0x10 */ + __IO uint32_t DHR12R2; /*!< DAC channel2 12-bit right aligned data holding register, Address offset: 0x14 */ + __IO uint32_t DHR12L2; /*!< DAC channel2 12-bit left aligned data holding register, Address offset: 0x18 */ + __IO uint32_t DHR8R2; /*!< DAC channel2 8-bit right-aligned data holding register, Address offset: 0x1C */ + __IO uint32_t DHR12RD; /*!< Dual DAC 12-bit right-aligned data holding register, Address offset: 0x20 */ + __IO uint32_t DHR12LD; /*!< DUAL DAC 12-bit left aligned data holding register, Address offset: 0x24 */ + __IO uint32_t DHR8RD; /*!< DUAL DAC 8-bit right aligned data holding register, Address offset: 0x28 */ + __IO uint32_t DOR1; /*!< DAC channel1 data output register, Address offset: 0x2C */ + __IO uint32_t DOR2; /*!< DAC channel2 data output register, Address offset: 0x30 */ + __IO uint32_t SR; /*!< DAC status register, Address offset: 0x34 */ +} DAC_TypeDef; + +/** + * @brief Debug MCU + */ + +typedef struct +{ + __IO uint32_t IDCODE; /*!< MCU device ID code, Address offset: 0x00 */ + __IO uint32_t CR; /*!< Debug MCU configuration register, Address offset: 0x04 */ + __IO uint32_t APB1FZ; /*!< Debug MCU APB1 freeze register, Address offset: 0x08 */ + __IO uint32_t APB2FZ; /*!< Debug MCU APB2 freeze register, Address offset: 0x0C */ +}DBGMCU_TypeDef; + +/** + * @brief DCMI + */ + +typedef struct +{ + __IO uint32_t CR; /*!< DCMI control register 1, Address offset: 0x00 */ + __IO uint32_t SR; /*!< DCMI status register, Address offset: 0x04 */ + __IO uint32_t RISR; /*!< DCMI raw interrupt status register, Address offset: 0x08 */ + __IO uint32_t IER; /*!< DCMI interrupt enable register, Address offset: 0x0C */ + __IO uint32_t MISR; /*!< DCMI masked interrupt status register, Address offset: 0x10 */ + __IO uint32_t ICR; /*!< DCMI interrupt clear register, Address offset: 0x14 */ + __IO uint32_t ESCR; /*!< DCMI embedded synchronization code register, Address offset: 0x18 */ + __IO uint32_t ESUR; /*!< DCMI embedded synchronization unmask register, Address offset: 0x1C */ + __IO uint32_t CWSTRTR; /*!< DCMI crop window start, Address offset: 0x20 */ + __IO uint32_t CWSIZER; /*!< DCMI crop window size, Address offset: 0x24 */ + __IO uint32_t DR; /*!< DCMI data register, Address offset: 0x28 */ +} DCMI_TypeDef; + +/** + * @brief DMA Controller + */ + +typedef struct +{ + __IO uint32_t CR; /*!< DMA stream x configuration register */ + __IO uint32_t NDTR; /*!< DMA stream x number of data register */ + __IO uint32_t PAR; /*!< DMA stream x peripheral address register */ + __IO uint32_t M0AR; /*!< DMA stream x memory 0 address register */ + __IO uint32_t M1AR; /*!< DMA stream x memory 1 address register */ + __IO uint32_t FCR; /*!< DMA stream x FIFO control register */ +} DMA_Stream_TypeDef; + +typedef struct +{ + __IO uint32_t LISR; /*!< DMA low interrupt status register, Address offset: 0x00 */ + __IO uint32_t HISR; /*!< DMA high interrupt status register, Address offset: 0x04 */ + __IO uint32_t LIFCR; /*!< DMA low interrupt flag clear register, Address offset: 0x08 */ + __IO uint32_t HIFCR; /*!< DMA high interrupt flag clear register, Address offset: 0x0C */ +} DMA_TypeDef; + + +/** + * @brief Ethernet MAC + */ + +typedef struct +{ + __IO uint32_t MACCR; + __IO uint32_t MACFFR; + __IO uint32_t MACHTHR; + __IO uint32_t MACHTLR; + __IO uint32_t MACMIIAR; + __IO uint32_t MACMIIDR; + __IO uint32_t MACFCR; + __IO uint32_t MACVLANTR; /* 8 */ + uint32_t RESERVED0[2]; + __IO uint32_t MACRWUFFR; /* 11 */ + __IO uint32_t MACPMTCSR; + uint32_t RESERVED1[2]; + __IO uint32_t MACSR; /* 15 */ + __IO uint32_t MACIMR; + __IO uint32_t MACA0HR; + __IO uint32_t MACA0LR; + __IO uint32_t MACA1HR; + __IO uint32_t MACA1LR; + __IO uint32_t MACA2HR; + __IO uint32_t MACA2LR; + __IO uint32_t MACA3HR; + __IO uint32_t MACA3LR; /* 24 */ + uint32_t RESERVED2[40]; + __IO uint32_t MMCCR; /* 65 */ + __IO uint32_t MMCRIR; + __IO uint32_t MMCTIR; + __IO uint32_t MMCRIMR; + __IO uint32_t MMCTIMR; /* 69 */ + uint32_t RESERVED3[14]; + __IO uint32_t MMCTGFSCCR; /* 84 */ + __IO uint32_t MMCTGFMSCCR; + uint32_t RESERVED4[5]; + __IO uint32_t MMCTGFCR; + uint32_t RESERVED5[10]; + __IO uint32_t MMCRFCECR; + __IO uint32_t MMCRFAECR; + uint32_t RESERVED6[10]; + __IO uint32_t MMCRGUFCR; + uint32_t RESERVED7[334]; + __IO uint32_t PTPTSCR; + __IO uint32_t PTPSSIR; + __IO uint32_t PTPTSHR; + __IO uint32_t PTPTSLR; + __IO uint32_t PTPTSHUR; + __IO uint32_t PTPTSLUR; + __IO uint32_t PTPTSAR; + __IO uint32_t PTPTTHR; + __IO uint32_t PTPTTLR; + __IO uint32_t RESERVED8; + __IO uint32_t PTPTSSR; + uint32_t RESERVED9[565]; + __IO uint32_t DMABMR; + __IO uint32_t DMATPDR; + __IO uint32_t DMARPDR; + __IO uint32_t DMARDLAR; + __IO uint32_t DMATDLAR; + __IO uint32_t DMASR; + __IO uint32_t DMAOMR; + __IO uint32_t DMAIER; + __IO uint32_t DMAMFBOCR; + __IO uint32_t DMARSWTR; + uint32_t RESERVED10[8]; + __IO uint32_t DMACHTDR; + __IO uint32_t DMACHRDR; + __IO uint32_t DMACHTBAR; + __IO uint32_t DMACHRBAR; +} ETH_TypeDef; + +/** + * @brief External Interrupt/Event Controller + */ + +typedef struct +{ + __IO uint32_t IMR; /*!< EXTI Interrupt mask register, Address offset: 0x00 */ + __IO uint32_t EMR; /*!< EXTI Event mask register, Address offset: 0x04 */ + __IO uint32_t RTSR; /*!< EXTI Rising trigger selection register, Address offset: 0x08 */ + __IO uint32_t FTSR; /*!< EXTI Falling trigger selection register, Address offset: 0x0C */ + __IO uint32_t SWIER; /*!< EXTI Software interrupt event register, Address offset: 0x10 */ + __IO uint32_t PR; /*!< EXTI Pending register, Address offset: 0x14 */ +} EXTI_TypeDef; + +/** + * @brief FLASH Registers + */ + +typedef struct +{ + __IO uint32_t ACR; /*!< FLASH access control register, Address offset: 0x00 */ + __IO uint32_t KEYR; /*!< FLASH key register, Address offset: 0x04 */ + __IO uint32_t OPTKEYR; /*!< FLASH option key register, Address offset: 0x08 */ + __IO uint32_t SR; /*!< FLASH status register, Address offset: 0x0C */ + __IO uint32_t CR; /*!< FLASH control register, Address offset: 0x10 */ + __IO uint32_t OPTCR; /*!< FLASH option control register , Address offset: 0x14 */ + __IO uint32_t OPTCR1; /*!< FLASH option control register 1, Address offset: 0x18 */ +} FLASH_TypeDef; + + +/** + * @brief Flexible Static Memory Controller + */ + +typedef struct +{ + __IO uint32_t BTCR[8]; /*!< NOR/PSRAM chip-select control register(BCR) and chip-select timing register(BTR), Address offset: 0x00-1C */ +} FSMC_Bank1_TypeDef; + +/** + * @brief Flexible Static Memory Controller Bank1E + */ + +typedef struct +{ + __IO uint32_t BWTR[7]; /*!< NOR/PSRAM write timing registers, Address offset: 0x104-0x11C */ +} FSMC_Bank1E_TypeDef; + +/** + * @brief Flexible Static Memory Controller Bank2 + */ + +typedef struct +{ + __IO uint32_t PCR2; /*!< NAND Flash control register 2, Address offset: 0x60 */ + __IO uint32_t SR2; /*!< NAND Flash FIFO status and interrupt register 2, Address offset: 0x64 */ + __IO uint32_t PMEM2; /*!< NAND Flash Common memory space timing register 2, Address offset: 0x68 */ + __IO uint32_t PATT2; /*!< NAND Flash Attribute memory space timing register 2, Address offset: 0x6C */ + uint32_t RESERVED0; /*!< Reserved, 0x70 */ + __IO uint32_t ECCR2; /*!< NAND Flash ECC result registers 2, Address offset: 0x74 */ + uint32_t RESERVED1; /*!< Reserved, 0x78 */ + uint32_t RESERVED2; /*!< Reserved, 0x7C */ + __IO uint32_t PCR3; /*!< NAND Flash control register 3, Address offset: 0x80 */ + __IO uint32_t SR3; /*!< NAND Flash FIFO status and interrupt register 3, Address offset: 0x84 */ + __IO uint32_t PMEM3; /*!< NAND Flash Common memory space timing register 3, Address offset: 0x88 */ + __IO uint32_t PATT3; /*!< NAND Flash Attribute memory space timing register 3, Address offset: 0x8C */ + uint32_t RESERVED3; /*!< Reserved, 0x90 */ + __IO uint32_t ECCR3; /*!< NAND Flash ECC result registers 3, Address offset: 0x94 */ +} FSMC_Bank2_3_TypeDef; + +/** + * @brief Flexible Static Memory Controller Bank4 + */ + +typedef struct +{ + __IO uint32_t PCR4; /*!< PC Card control register 4, Address offset: 0xA0 */ + __IO uint32_t SR4; /*!< PC Card FIFO status and interrupt register 4, Address offset: 0xA4 */ + __IO uint32_t PMEM4; /*!< PC Card Common memory space timing register 4, Address offset: 0xA8 */ + __IO uint32_t PATT4; /*!< PC Card Attribute memory space timing register 4, Address offset: 0xAC */ + __IO uint32_t PIO4; /*!< PC Card I/O space timing register 4, Address offset: 0xB0 */ +} FSMC_Bank4_TypeDef; + + +/** + * @brief General Purpose I/O + */ + +typedef struct +{ + __IO uint32_t MODER; /*!< GPIO port mode register, Address offset: 0x00 */ + __IO uint32_t OTYPER; /*!< GPIO port output type register, Address offset: 0x04 */ + __IO uint32_t OSPEEDR; /*!< GPIO port output speed register, Address offset: 0x08 */ + __IO uint32_t PUPDR; /*!< GPIO port pull-up/pull-down register, Address offset: 0x0C */ + __IO uint32_t IDR; /*!< GPIO port input data register, Address offset: 0x10 */ + __IO uint32_t ODR; /*!< GPIO port output data register, Address offset: 0x14 */ + __IO uint32_t BSRR; /*!< GPIO port bit set/reset register, Address offset: 0x18 */ + __IO uint32_t LCKR; /*!< GPIO port configuration lock register, Address offset: 0x1C */ + __IO uint32_t AFR[2]; /*!< GPIO alternate function registers, Address offset: 0x20-0x24 */ +} GPIO_TypeDef; + +/** + * @brief System configuration controller + */ + +typedef struct +{ + __IO uint32_t MEMRMP; /*!< SYSCFG memory remap register, Address offset: 0x00 */ + __IO uint32_t PMC; /*!< SYSCFG peripheral mode configuration register, Address offset: 0x04 */ + __IO uint32_t EXTICR[4]; /*!< SYSCFG external interrupt configuration registers, Address offset: 0x08-0x14 */ + uint32_t RESERVED[2]; /*!< Reserved, 0x18-0x1C */ + __IO uint32_t CMPCR; /*!< SYSCFG Compensation cell control register, Address offset: 0x20 */ +} SYSCFG_TypeDef; + +/** + * @brief Inter-integrated Circuit Interface + */ + +typedef struct +{ + __IO uint32_t CR1; /*!< I2C Control register 1, Address offset: 0x00 */ + __IO uint32_t CR2; /*!< I2C Control register 2, Address offset: 0x04 */ + __IO uint32_t OAR1; /*!< I2C Own address register 1, Address offset: 0x08 */ + __IO uint32_t OAR2; /*!< I2C Own address register 2, Address offset: 0x0C */ + __IO uint32_t DR; /*!< I2C Data register, Address offset: 0x10 */ + __IO uint32_t SR1; /*!< I2C Status register 1, Address offset: 0x14 */ + __IO uint32_t SR2; /*!< I2C Status register 2, Address offset: 0x18 */ + __IO uint32_t CCR; /*!< I2C Clock control register, Address offset: 0x1C */ + __IO uint32_t TRISE; /*!< I2C TRISE register, Address offset: 0x20 */ + __IO uint32_t FLTR; /*!< I2C FLTR register, Address offset: 0x24 */ +} I2C_TypeDef; + +/** + * @brief Independent WATCHDOG + */ + +typedef struct +{ + __IO uint32_t KR; /*!< IWDG Key register, Address offset: 0x00 */ + __IO uint32_t PR; /*!< IWDG Prescaler register, Address offset: 0x04 */ + __IO uint32_t RLR; /*!< IWDG Reload register, Address offset: 0x08 */ + __IO uint32_t SR; /*!< IWDG Status register, Address offset: 0x0C */ +} IWDG_TypeDef; + +/** + * @brief Power Control + */ + +typedef struct +{ + __IO uint32_t CR; /*!< PWR power control register, Address offset: 0x00 */ + __IO uint32_t CSR; /*!< PWR power control/status register, Address offset: 0x04 */ +} PWR_TypeDef; + +/** + * @brief Reset and Clock Control + */ + +typedef struct +{ + __IO uint32_t CR; /*!< RCC clock control register, Address offset: 0x00 */ + __IO uint32_t PLLCFGR; /*!< RCC PLL configuration register, Address offset: 0x04 */ + __IO uint32_t CFGR; /*!< RCC clock configuration register, Address offset: 0x08 */ + __IO uint32_t CIR; /*!< RCC clock interrupt register, Address offset: 0x0C */ + __IO uint32_t AHB1RSTR; /*!< RCC AHB1 peripheral reset register, Address offset: 0x10 */ + __IO uint32_t AHB2RSTR; /*!< RCC AHB2 peripheral reset register, Address offset: 0x14 */ + __IO uint32_t AHB3RSTR; /*!< RCC AHB3 peripheral reset register, Address offset: 0x18 */ + uint32_t RESERVED0; /*!< Reserved, 0x1C */ + __IO uint32_t APB1RSTR; /*!< RCC APB1 peripheral reset register, Address offset: 0x20 */ + __IO uint32_t APB2RSTR; /*!< RCC APB2 peripheral reset register, Address offset: 0x24 */ + uint32_t RESERVED1[2]; /*!< Reserved, 0x28-0x2C */ + __IO uint32_t AHB1ENR; /*!< RCC AHB1 peripheral clock register, Address offset: 0x30 */ + __IO uint32_t AHB2ENR; /*!< RCC AHB2 peripheral clock register, Address offset: 0x34 */ + __IO uint32_t AHB3ENR; /*!< RCC AHB3 peripheral clock register, Address offset: 0x38 */ + uint32_t RESERVED2; /*!< Reserved, 0x3C */ + __IO uint32_t APB1ENR; /*!< RCC APB1 peripheral clock enable register, Address offset: 0x40 */ + __IO uint32_t APB2ENR; /*!< RCC APB2 peripheral clock enable register, Address offset: 0x44 */ + uint32_t RESERVED3[2]; /*!< Reserved, 0x48-0x4C */ + __IO uint32_t AHB1LPENR; /*!< RCC AHB1 peripheral clock enable in low power mode register, Address offset: 0x50 */ + __IO uint32_t AHB2LPENR; /*!< RCC AHB2 peripheral clock enable in low power mode register, Address offset: 0x54 */ + __IO uint32_t AHB3LPENR; /*!< RCC AHB3 peripheral clock enable in low power mode register, Address offset: 0x58 */ + uint32_t RESERVED4; /*!< Reserved, 0x5C */ + __IO uint32_t APB1LPENR; /*!< RCC APB1 peripheral clock enable in low power mode register, Address offset: 0x60 */ + __IO uint32_t APB2LPENR; /*!< RCC APB2 peripheral clock enable in low power mode register, Address offset: 0x64 */ + uint32_t RESERVED5[2]; /*!< Reserved, 0x68-0x6C */ + __IO uint32_t BDCR; /*!< RCC Backup domain control register, Address offset: 0x70 */ + __IO uint32_t CSR; /*!< RCC clock control & status register, Address offset: 0x74 */ + uint32_t RESERVED6[2]; /*!< Reserved, 0x78-0x7C */ + __IO uint32_t SSCGR; /*!< RCC spread spectrum clock generation register, Address offset: 0x80 */ + __IO uint32_t PLLI2SCFGR; /*!< RCC PLLI2S configuration register, Address offset: 0x84 */ + +} RCC_TypeDef; + +/** + * @brief Real-Time Clock + */ + +typedef struct +{ + __IO uint32_t TR; /*!< RTC time register, Address offset: 0x00 */ + __IO uint32_t DR; /*!< RTC date register, Address offset: 0x04 */ + __IO uint32_t CR; /*!< RTC control register, Address offset: 0x08 */ + __IO uint32_t ISR; /*!< RTC initialization and status register, Address offset: 0x0C */ + __IO uint32_t PRER; /*!< RTC prescaler register, Address offset: 0x10 */ + __IO uint32_t WUTR; /*!< RTC wakeup timer register, Address offset: 0x14 */ + __IO uint32_t CALIBR; /*!< RTC calibration register, Address offset: 0x18 */ + __IO uint32_t ALRMAR; /*!< RTC alarm A register, Address offset: 0x1C */ + __IO uint32_t ALRMBR; /*!< RTC alarm B register, Address offset: 0x20 */ + __IO uint32_t WPR; /*!< RTC write protection register, Address offset: 0x24 */ + __IO uint32_t SSR; /*!< RTC sub second register, Address offset: 0x28 */ + __IO uint32_t SHIFTR; /*!< RTC shift control register, Address offset: 0x2C */ + __IO uint32_t TSTR; /*!< RTC time stamp time register, Address offset: 0x30 */ + __IO uint32_t TSDR; /*!< RTC time stamp date register, Address offset: 0x34 */ + __IO uint32_t TSSSR; /*!< RTC time-stamp sub second register, Address offset: 0x38 */ + __IO uint32_t CALR; /*!< RTC calibration register, Address offset: 0x3C */ + __IO uint32_t TAFCR; /*!< RTC tamper and alternate function configuration register, Address offset: 0x40 */ + __IO uint32_t ALRMASSR;/*!< RTC alarm A sub second register, Address offset: 0x44 */ + __IO uint32_t ALRMBSSR;/*!< RTC alarm B sub second register, Address offset: 0x48 */ + uint32_t RESERVED7; /*!< Reserved, 0x4C */ + __IO uint32_t BKP0R; /*!< RTC backup register 1, Address offset: 0x50 */ + __IO uint32_t BKP1R; /*!< RTC backup register 1, Address offset: 0x54 */ + __IO uint32_t BKP2R; /*!< RTC backup register 2, Address offset: 0x58 */ + __IO uint32_t BKP3R; /*!< RTC backup register 3, Address offset: 0x5C */ + __IO uint32_t BKP4R; /*!< RTC backup register 4, Address offset: 0x60 */ + __IO uint32_t BKP5R; /*!< RTC backup register 5, Address offset: 0x64 */ + __IO uint32_t BKP6R; /*!< RTC backup register 6, Address offset: 0x68 */ + __IO uint32_t BKP7R; /*!< RTC backup register 7, Address offset: 0x6C */ + __IO uint32_t BKP8R; /*!< RTC backup register 8, Address offset: 0x70 */ + __IO uint32_t BKP9R; /*!< RTC backup register 9, Address offset: 0x74 */ + __IO uint32_t BKP10R; /*!< RTC backup register 10, Address offset: 0x78 */ + __IO uint32_t BKP11R; /*!< RTC backup register 11, Address offset: 0x7C */ + __IO uint32_t BKP12R; /*!< RTC backup register 12, Address offset: 0x80 */ + __IO uint32_t BKP13R; /*!< RTC backup register 13, Address offset: 0x84 */ + __IO uint32_t BKP14R; /*!< RTC backup register 14, Address offset: 0x88 */ + __IO uint32_t BKP15R; /*!< RTC backup register 15, Address offset: 0x8C */ + __IO uint32_t BKP16R; /*!< RTC backup register 16, Address offset: 0x90 */ + __IO uint32_t BKP17R; /*!< RTC backup register 17, Address offset: 0x94 */ + __IO uint32_t BKP18R; /*!< RTC backup register 18, Address offset: 0x98 */ + __IO uint32_t BKP19R; /*!< RTC backup register 19, Address offset: 0x9C */ +} RTC_TypeDef; + + +/** + * @brief SD host Interface + */ + +typedef struct +{ + __IO uint32_t POWER; /*!< SDIO power control register, Address offset: 0x00 */ + __IO uint32_t CLKCR; /*!< SDI clock control register, Address offset: 0x04 */ + __IO uint32_t ARG; /*!< SDIO argument register, Address offset: 0x08 */ + __IO uint32_t CMD; /*!< SDIO command register, Address offset: 0x0C */ + __I uint32_t RESPCMD; /*!< SDIO command response register, Address offset: 0x10 */ + __I uint32_t RESP1; /*!< SDIO response 1 register, Address offset: 0x14 */ + __I uint32_t RESP2; /*!< SDIO response 2 register, Address offset: 0x18 */ + __I uint32_t RESP3; /*!< SDIO response 3 register, Address offset: 0x1C */ + __I uint32_t RESP4; /*!< SDIO response 4 register, Address offset: 0x20 */ + __IO uint32_t DTIMER; /*!< SDIO data timer register, Address offset: 0x24 */ + __IO uint32_t DLEN; /*!< SDIO data length register, Address offset: 0x28 */ + __IO uint32_t DCTRL; /*!< SDIO data control register, Address offset: 0x2C */ + __I uint32_t DCOUNT; /*!< SDIO data counter register, Address offset: 0x30 */ + __I uint32_t STA; /*!< SDIO status register, Address offset: 0x34 */ + __IO uint32_t ICR; /*!< SDIO interrupt clear register, Address offset: 0x38 */ + __IO uint32_t MASK; /*!< SDIO mask register, Address offset: 0x3C */ + uint32_t RESERVED0[2]; /*!< Reserved, 0x40-0x44 */ + __I uint32_t FIFOCNT; /*!< SDIO FIFO counter register, Address offset: 0x48 */ + uint32_t RESERVED1[13]; /*!< Reserved, 0x4C-0x7C */ + __IO uint32_t FIFO; /*!< SDIO data FIFO register, Address offset: 0x80 */ +} SDIO_TypeDef; + +/** + * @brief Serial Peripheral Interface + */ + +typedef struct +{ + __IO uint32_t CR1; /*!< SPI control register 1 (not used in I2S mode), Address offset: 0x00 */ + __IO uint32_t CR2; /*!< SPI control register 2, Address offset: 0x04 */ + __IO uint32_t SR; /*!< SPI status register, Address offset: 0x08 */ + __IO uint32_t DR; /*!< SPI data register, Address offset: 0x0C */ + __IO uint32_t CRCPR; /*!< SPI CRC polynomial register (not used in I2S mode), Address offset: 0x10 */ + __IO uint32_t RXCRCR; /*!< SPI RX CRC register (not used in I2S mode), Address offset: 0x14 */ + __IO uint32_t TXCRCR; /*!< SPI TX CRC register (not used in I2S mode), Address offset: 0x18 */ + __IO uint32_t I2SCFGR; /*!< SPI_I2S configuration register, Address offset: 0x1C */ + __IO uint32_t I2SPR; /*!< SPI_I2S prescaler register, Address offset: 0x20 */ +} SPI_TypeDef; + +/** + * @brief TIM + */ + +typedef struct +{ + __IO uint32_t CR1; /*!< TIM control register 1, Address offset: 0x00 */ + __IO uint32_t CR2; /*!< TIM control register 2, Address offset: 0x04 */ + __IO uint32_t SMCR; /*!< TIM slave mode control register, Address offset: 0x08 */ + __IO uint32_t DIER; /*!< TIM DMA/interrupt enable register, Address offset: 0x0C */ + __IO uint32_t SR; /*!< TIM status register, Address offset: 0x10 */ + __IO uint32_t EGR; /*!< TIM event generation register, Address offset: 0x14 */ + __IO uint32_t CCMR1; /*!< TIM capture/compare mode register 1, Address offset: 0x18 */ + __IO uint32_t CCMR2; /*!< TIM capture/compare mode register 2, Address offset: 0x1C */ + __IO uint32_t CCER; /*!< TIM capture/compare enable register, Address offset: 0x20 */ + __IO uint32_t CNT; /*!< TIM counter register, Address offset: 0x24 */ + __IO uint32_t PSC; /*!< TIM prescaler, Address offset: 0x28 */ + __IO uint32_t ARR; /*!< TIM auto-reload register, Address offset: 0x2C */ + __IO uint32_t RCR; /*!< TIM repetition counter register, Address offset: 0x30 */ + __IO uint32_t CCR1; /*!< TIM capture/compare register 1, Address offset: 0x34 */ + __IO uint32_t CCR2; /*!< TIM capture/compare register 2, Address offset: 0x38 */ + __IO uint32_t CCR3; /*!< TIM capture/compare register 3, Address offset: 0x3C */ + __IO uint32_t CCR4; /*!< TIM capture/compare register 4, Address offset: 0x40 */ + __IO uint32_t BDTR; /*!< TIM break and dead-time register, Address offset: 0x44 */ + __IO uint32_t DCR; /*!< TIM DMA control register, Address offset: 0x48 */ + __IO uint32_t DMAR; /*!< TIM DMA address for full transfer, Address offset: 0x4C */ + __IO uint32_t OR; /*!< TIM option register, Address offset: 0x50 */ +} TIM_TypeDef; + +/** + * @brief Universal Synchronous Asynchronous Receiver Transmitter + */ + +typedef struct +{ + __IO uint32_t SR; /*!< USART Status register, Address offset: 0x00 */ + __IO uint32_t DR; /*!< USART Data register, Address offset: 0x04 */ + __IO uint32_t BRR; /*!< USART Baud rate register, Address offset: 0x08 */ + __IO uint32_t CR1; /*!< USART Control register 1, Address offset: 0x0C */ + __IO uint32_t CR2; /*!< USART Control register 2, Address offset: 0x10 */ + __IO uint32_t CR3; /*!< USART Control register 3, Address offset: 0x14 */ + __IO uint32_t GTPR; /*!< USART Guard time and prescaler register, Address offset: 0x18 */ +} USART_TypeDef; + +/** + * @brief Window WATCHDOG + */ + +typedef struct +{ + __IO uint32_t CR; /*!< WWDG Control register, Address offset: 0x00 */ + __IO uint32_t CFR; /*!< WWDG Configuration register, Address offset: 0x04 */ + __IO uint32_t SR; /*!< WWDG Status register, Address offset: 0x08 */ +} WWDG_TypeDef; + +/** + * @brief RNG + */ + +typedef struct +{ + __IO uint32_t CR; /*!< RNG control register, Address offset: 0x00 */ + __IO uint32_t SR; /*!< RNG status register, Address offset: 0x04 */ + __IO uint32_t DR; /*!< RNG data register, Address offset: 0x08 */ +} RNG_TypeDef; + + + +/** + * @brief __USB_OTG_Core_register + */ +typedef struct +{ + __IO uint32_t GOTGCTL; /*!< USB_OTG Control and Status Register 000h*/ + __IO uint32_t GOTGINT; /*!< USB_OTG Interrupt Register 004h*/ + __IO uint32_t GAHBCFG; /*!< Core AHB Configuration Register 008h*/ + __IO uint32_t GUSBCFG; /*!< Core USB Configuration Register 00Ch*/ + __IO uint32_t GRSTCTL; /*!< Core Reset Register 010h*/ + __IO uint32_t GINTSTS; /*!< Core Interrupt Register 014h*/ + __IO uint32_t GINTMSK; /*!< Core Interrupt Mask Register 018h*/ + __IO uint32_t GRXSTSR; /*!< Receive Sts Q Read Register 01Ch*/ + __IO uint32_t GRXSTSP; /*!< Receive Sts Q Read & POP Register 020h*/ + __IO uint32_t GRXFSIZ; /* Receive FIFO Size Register 024h*/ + __IO uint32_t DIEPTXF0_HNPTXFSIZ; /*!< EP0 / Non Periodic Tx FIFO Size Register 028h*/ + __IO uint32_t HNPTXSTS; /*!< Non Periodic Tx FIFO/Queue Sts reg 02Ch*/ + uint32_t Reserved30[2]; /* Reserved 030h*/ + __IO uint32_t GCCFG; /* General Purpose IO Register 038h*/ + __IO uint32_t CID; /* User ID Register 03Ch*/ + uint32_t Reserved40[48]; /* Reserved 040h-0FFh*/ + __IO uint32_t HPTXFSIZ; /* Host Periodic Tx FIFO Size Reg 100h*/ + __IO uint32_t DIEPTXF[0x0F];/* dev Periodic Transmit FIFO */ +} +USB_OTG_GlobalTypeDef; + + + +/** + * @brief __device_Registers + */ +typedef struct +{ + __IO uint32_t DCFG; /* dev Configuration Register 800h*/ + __IO uint32_t DCTL; /* dev Control Register 804h*/ + __IO uint32_t DSTS; /* dev Status Register (RO) 808h*/ + uint32_t Reserved0C; /* Reserved 80Ch*/ + __IO uint32_t DIEPMSK; /* dev IN Endpoint Mask 810h*/ + __IO uint32_t DOEPMSK; /* dev OUT Endpoint Mask 814h*/ + __IO uint32_t DAINT; /* dev All Endpoints Itr Reg 818h*/ + __IO uint32_t DAINTMSK; /* dev All Endpoints Itr Mask 81Ch*/ + uint32_t Reserved20; /* Reserved 820h*/ + uint32_t Reserved9; /* Reserved 824h*/ + __IO uint32_t DVBUSDIS; /* dev VBUS discharge Register 828h*/ + __IO uint32_t DVBUSPULSE; /* dev VBUS Pulse Register 82Ch*/ + __IO uint32_t DTHRCTL; /* dev thr 830h*/ + __IO uint32_t DIEPEMPMSK; /* dev empty msk 834h*/ + __IO uint32_t DEACHINT; /* dedicated EP interrupt 838h*/ + __IO uint32_t DEACHMSK; /* dedicated EP msk 83Ch*/ + uint32_t Reserved40; /* dedicated EP mask 840h*/ + __IO uint32_t DINEP1MSK; /* dedicated EP mask 844h*/ + uint32_t Reserved44[15]; /* Reserved 844-87Ch*/ + __IO uint32_t DOUTEP1MSK; /* dedicated EP msk 884h*/ +} +USB_OTG_DeviceTypeDef; + + +/** + * @brief __IN_Endpoint-Specific_Register + */ +typedef struct +{ + __IO uint32_t DIEPCTL; /* dev IN Endpoint Control Reg 900h + (ep_num * 20h) + 00h*/ + uint32_t Reserved04; /* Reserved 900h + (ep_num * 20h) + 04h*/ + __IO uint32_t DIEPINT; /* dev IN Endpoint Itr Reg 900h + (ep_num * 20h) + 08h*/ + uint32_t Reserved0C; /* Reserved 900h + (ep_num * 20h) + 0Ch*/ + __IO uint32_t DIEPTSIZ; /* IN Endpoint Txfer Size 900h + (ep_num * 20h) + 10h*/ + __IO uint32_t DIEPDMA; /* IN Endpoint DMA Address Reg 900h + (ep_num * 20h) + 14h*/ + __IO uint32_t DTXFSTS;/*IN Endpoint Tx FIFO Status Reg 900h + (ep_num * 20h) + 18h*/ + uint32_t Reserved18; /* Reserved 900h+(ep_num*20h)+1Ch-900h+ (ep_num * 20h) + 1Ch*/ +} +USB_OTG_INEndpointTypeDef; + + +/** + * @brief __OUT_Endpoint-Specific_Registers + */ +typedef struct +{ + __IO uint32_t DOEPCTL; /* dev OUT Endpoint Control Reg B00h + (ep_num * 20h) + 00h*/ + uint32_t Reserved04; /* Reserved B00h + (ep_num * 20h) + 04h*/ + __IO uint32_t DOEPINT; /* dev OUT Endpoint Itr Reg B00h + (ep_num * 20h) + 08h*/ + uint32_t Reserved0C; /* Reserved B00h + (ep_num * 20h) + 0Ch*/ + __IO uint32_t DOEPTSIZ; /* dev OUT Endpoint Txfer Size B00h + (ep_num * 20h) + 10h*/ + __IO uint32_t DOEPDMA; /* dev OUT Endpoint DMA Address B00h + (ep_num * 20h) + 14h*/ + uint32_t Reserved18[2]; /* Reserved B00h + (ep_num * 20h) + 18h - B00h + (ep_num * 20h) + 1Ch*/ +} +USB_OTG_OUTEndpointTypeDef; + + +/** + * @brief __Host_Mode_Register_Structures + */ +typedef struct +{ + __IO uint32_t HCFG; /* Host Configuration Register 400h*/ + __IO uint32_t HFIR; /* Host Frame Interval Register 404h*/ + __IO uint32_t HFNUM; /* Host Frame Nbr/Frame Remaining 408h*/ + uint32_t Reserved40C; /* Reserved 40Ch*/ + __IO uint32_t HPTXSTS; /* Host Periodic Tx FIFO/ Queue Status 410h*/ + __IO uint32_t HAINT; /* Host All Channels Interrupt Register 414h*/ + __IO uint32_t HAINTMSK; /* Host All Channels Interrupt Mask 418h*/ +} +USB_OTG_HostTypeDef; + + +/** + * @brief __Host_Channel_Specific_Registers + */ +typedef struct +{ + __IO uint32_t HCCHAR; + __IO uint32_t HCSPLT; + __IO uint32_t HCINT; + __IO uint32_t HCINTMSK; + __IO uint32_t HCTSIZ; + __IO uint32_t HCDMA; + uint32_t Reserved[2]; +} +USB_OTG_HostChannelTypeDef; + + +/** + * @brief Peripheral_memory_map + */ +#define FLASH_BASE ((uint32_t)0x08000000) /*!< FLASH(up to 1 MB) base address in the alias region */ +#define CCMDATARAM_BASE ((uint32_t)0x10000000) /*!< CCM(core coupled memory) data RAM(64 KB) base address in the alias region */ +#define SRAM1_BASE ((uint32_t)0x20000000) /*!< SRAM1(112 KB) base address in the alias region */ +#define SRAM2_BASE ((uint32_t)0x2001C000) /*!< SRAM2(16 KB) base address in the alias region */ +#define SRAM3_BASE ((uint32_t)0x20020000) /*!< SRAM3(64 KB) base address in the alias region */ +#define PERIPH_BASE ((uint32_t)0x40000000) /*!< Peripheral base address in the alias region */ +#define BKPSRAM_BASE ((uint32_t)0x40024000) /*!< Backup SRAM(4 KB) base address in the alias region */ +#define FSMC_R_BASE ((uint32_t)0xA0000000) /*!< FSMC registers base address */ +#define CCMDATARAM_BB_BASE ((uint32_t)0x12000000) /*!< CCM(core coupled memory) data RAM(64 KB) base address in the bit-band region */ +#define SRAM1_BB_BASE ((uint32_t)0x22000000) /*!< SRAM1(112 KB) base address in the bit-band region */ +#define SRAM2_BB_BASE ((uint32_t)0x2201C000) /*!< SRAM2(16 KB) base address in the bit-band region */ +#define SRAM3_BB_BASE ((uint32_t)0x22020000) /*!< SRAM3(64 KB) base address in the bit-band region */ +#define PERIPH_BB_BASE ((uint32_t)0x42000000) /*!< Peripheral base address in the bit-band region */ +#define BKPSRAM_BB_BASE ((uint32_t)0x42024000) /*!< Backup SRAM(4 KB) base address in the bit-band region */ +#define FLASH_END ((uint32_t)0x080FFFFF) /*!< FLASH end address */ +#define CCMDATARAM_END ((uint32_t)0x1000FFFF) /*!< CCM data RAM end address */ + +/* Legacy defines */ +#define SRAM_BASE SRAM1_BASE +#define SRAM_BB_BASE SRAM1_BB_BASE + + +/*!< Peripheral memory map */ +#define APB1PERIPH_BASE PERIPH_BASE +#define APB2PERIPH_BASE (PERIPH_BASE + 0x00010000) +#define AHB1PERIPH_BASE (PERIPH_BASE + 0x00020000) +#define AHB2PERIPH_BASE (PERIPH_BASE + 0x10000000) + +/*!< APB1 peripherals */ +#define TIM2_BASE (APB1PERIPH_BASE + 0x0000) +#define TIM3_BASE (APB1PERIPH_BASE + 0x0400) +#define TIM4_BASE (APB1PERIPH_BASE + 0x0800) +#define TIM5_BASE (APB1PERIPH_BASE + 0x0C00) +#define TIM6_BASE (APB1PERIPH_BASE + 0x1000) +#define TIM7_BASE (APB1PERIPH_BASE + 0x1400) +#define TIM12_BASE (APB1PERIPH_BASE + 0x1800) +#define TIM13_BASE (APB1PERIPH_BASE + 0x1C00) +#define TIM14_BASE (APB1PERIPH_BASE + 0x2000) +#define RTC_BASE (APB1PERIPH_BASE + 0x2800) +#define WWDG_BASE (APB1PERIPH_BASE + 0x2C00) +#define IWDG_BASE (APB1PERIPH_BASE + 0x3000) +#define I2S2ext_BASE (APB1PERIPH_BASE + 0x3400) +#define SPI2_BASE (APB1PERIPH_BASE + 0x3800) +#define SPI3_BASE (APB1PERIPH_BASE + 0x3C00) +#define I2S3ext_BASE (APB1PERIPH_BASE + 0x4000) +#define USART2_BASE (APB1PERIPH_BASE + 0x4400) +#define USART3_BASE (APB1PERIPH_BASE + 0x4800) +#define UART4_BASE (APB1PERIPH_BASE + 0x4C00) +#define UART5_BASE (APB1PERIPH_BASE + 0x5000) +#define I2C1_BASE (APB1PERIPH_BASE + 0x5400) +#define I2C2_BASE (APB1PERIPH_BASE + 0x5800) +#define I2C3_BASE (APB1PERIPH_BASE + 0x5C00) +#define CAN1_BASE (APB1PERIPH_BASE + 0x6400) +#define CAN2_BASE (APB1PERIPH_BASE + 0x6800) +#define PWR_BASE (APB1PERIPH_BASE + 0x7000) +#define DAC_BASE (APB1PERIPH_BASE + 0x7400) + +/*!< APB2 peripherals */ +#define TIM1_BASE (APB2PERIPH_BASE + 0x0000) +#define TIM8_BASE (APB2PERIPH_BASE + 0x0400) +#define USART1_BASE (APB2PERIPH_BASE + 0x1000) +#define USART6_BASE (APB2PERIPH_BASE + 0x1400) +#define ADC1_BASE (APB2PERIPH_BASE + 0x2000) +#define ADC2_BASE (APB2PERIPH_BASE + 0x2100) +#define ADC3_BASE (APB2PERIPH_BASE + 0x2200) +#define ADC_BASE (APB2PERIPH_BASE + 0x2300) +#define SDIO_BASE (APB2PERIPH_BASE + 0x2C00) +#define SPI1_BASE (APB2PERIPH_BASE + 0x3000) +#define SYSCFG_BASE (APB2PERIPH_BASE + 0x3800) +#define EXTI_BASE (APB2PERIPH_BASE + 0x3C00) +#define TIM9_BASE (APB2PERIPH_BASE + 0x4000) +#define TIM10_BASE (APB2PERIPH_BASE + 0x4400) +#define TIM11_BASE (APB2PERIPH_BASE + 0x4800) + +/*!< AHB1 peripherals */ +#define GPIOA_BASE (AHB1PERIPH_BASE + 0x0000) +#define GPIOB_BASE (AHB1PERIPH_BASE + 0x0400) +#define GPIOC_BASE (AHB1PERIPH_BASE + 0x0800) +#define GPIOD_BASE (AHB1PERIPH_BASE + 0x0C00) +#define GPIOE_BASE (AHB1PERIPH_BASE + 0x1000) +#define GPIOF_BASE (AHB1PERIPH_BASE + 0x1400) +#define GPIOG_BASE (AHB1PERIPH_BASE + 0x1800) +#define GPIOH_BASE (AHB1PERIPH_BASE + 0x1C00) +#define GPIOI_BASE (AHB1PERIPH_BASE + 0x2000) +#define CRC_BASE (AHB1PERIPH_BASE + 0x3000) +#define RCC_BASE (AHB1PERIPH_BASE + 0x3800) +#define FLASH_R_BASE (AHB1PERIPH_BASE + 0x3C00) +#define DMA1_BASE (AHB1PERIPH_BASE + 0x6000) +#define DMA1_Stream0_BASE (DMA1_BASE + 0x010) +#define DMA1_Stream1_BASE (DMA1_BASE + 0x028) +#define DMA1_Stream2_BASE (DMA1_BASE + 0x040) +#define DMA1_Stream3_BASE (DMA1_BASE + 0x058) +#define DMA1_Stream4_BASE (DMA1_BASE + 0x070) +#define DMA1_Stream5_BASE (DMA1_BASE + 0x088) +#define DMA1_Stream6_BASE (DMA1_BASE + 0x0A0) +#define DMA1_Stream7_BASE (DMA1_BASE + 0x0B8) +#define DMA2_BASE (AHB1PERIPH_BASE + 0x6400) +#define DMA2_Stream0_BASE (DMA2_BASE + 0x010) +#define DMA2_Stream1_BASE (DMA2_BASE + 0x028) +#define DMA2_Stream2_BASE (DMA2_BASE + 0x040) +#define DMA2_Stream3_BASE (DMA2_BASE + 0x058) +#define DMA2_Stream4_BASE (DMA2_BASE + 0x070) +#define DMA2_Stream5_BASE (DMA2_BASE + 0x088) +#define DMA2_Stream6_BASE (DMA2_BASE + 0x0A0) +#define DMA2_Stream7_BASE (DMA2_BASE + 0x0B8) +#define ETH_BASE (AHB1PERIPH_BASE + 0x8000) +#define ETH_MAC_BASE (ETH_BASE) +#define ETH_MMC_BASE (ETH_BASE + 0x0100) +#define ETH_PTP_BASE (ETH_BASE + 0x0700) +#define ETH_DMA_BASE (ETH_BASE + 0x1000) + +/*!< AHB2 peripherals */ +#define DCMI_BASE (AHB2PERIPH_BASE + 0x50000) +#define RNG_BASE (AHB2PERIPH_BASE + 0x60800) + +/*!< FSMC Bankx registers base address */ +#define FSMC_Bank1_R_BASE (FSMC_R_BASE + 0x0000) +#define FSMC_Bank1E_R_BASE (FSMC_R_BASE + 0x0104) +#define FSMC_Bank2_3_R_BASE (FSMC_R_BASE + 0x0060) +#define FSMC_Bank4_R_BASE (FSMC_R_BASE + 0x00A0) + +/* Debug MCU registers base address */ +#define DBGMCU_BASE ((uint32_t )0xE0042000) + +/*!< USB registers base address */ +#define USB_OTG_HS_PERIPH_BASE ((uint32_t )0x40040000) +#define USB_OTG_FS_PERIPH_BASE ((uint32_t )0x50000000) + +#define USB_OTG_GLOBAL_BASE ((uint32_t )0x000) +#define USB_OTG_DEVICE_BASE ((uint32_t )0x800) +#define USB_OTG_IN_ENDPOINT_BASE ((uint32_t )0x900) +#define USB_OTG_OUT_ENDPOINT_BASE ((uint32_t )0xB00) +#define USB_OTG_EP_REG_SIZE ((uint32_t )0x20) +#define USB_OTG_HOST_BASE ((uint32_t )0x400) +#define USB_OTG_HOST_PORT_BASE ((uint32_t )0x440) +#define USB_OTG_HOST_CHANNEL_BASE ((uint32_t )0x500) +#define USB_OTG_HOST_CHANNEL_SIZE ((uint32_t )0x20) +#define USB_OTG_PCGCCTL_BASE ((uint32_t )0xE00) +#define USB_OTG_FIFO_BASE ((uint32_t )0x1000) +#define USB_OTG_FIFO_SIZE ((uint32_t )0x1000) + +/** + * @} + */ + +/** @addtogroup Peripheral_declaration + * @{ + */ +#define TIM2 ((TIM_TypeDef *) TIM2_BASE) +#define TIM3 ((TIM_TypeDef *) TIM3_BASE) +#define TIM4 ((TIM_TypeDef *) TIM4_BASE) +#define TIM5 ((TIM_TypeDef *) TIM5_BASE) +#define TIM6 ((TIM_TypeDef *) TIM6_BASE) +#define TIM7 ((TIM_TypeDef *) TIM7_BASE) +#define TIM12 ((TIM_TypeDef *) TIM12_BASE) +#define TIM13 ((TIM_TypeDef *) TIM13_BASE) +#define TIM14 ((TIM_TypeDef *) TIM14_BASE) +#define RTC ((RTC_TypeDef *) RTC_BASE) +#define WWDG ((WWDG_TypeDef *) WWDG_BASE) +#define IWDG ((IWDG_TypeDef *) IWDG_BASE) +#define I2S2ext ((SPI_TypeDef *) I2S2ext_BASE) +#define SPI2 ((SPI_TypeDef *) SPI2_BASE) +#define SPI3 ((SPI_TypeDef *) SPI3_BASE) +#define I2S3ext ((SPI_TypeDef *) I2S3ext_BASE) +#define USART2 ((USART_TypeDef *) USART2_BASE) +#define USART3 ((USART_TypeDef *) USART3_BASE) +#define UART4 ((USART_TypeDef *) UART4_BASE) +#define UART5 ((USART_TypeDef *) UART5_BASE) +#define I2C1 ((I2C_TypeDef *) I2C1_BASE) +#define I2C2 ((I2C_TypeDef *) I2C2_BASE) +#define I2C3 ((I2C_TypeDef *) I2C3_BASE) +#define CAN1 ((CAN_TypeDef *) CAN1_BASE) +#define CAN2 ((CAN_TypeDef *) CAN2_BASE) +#define PWR ((PWR_TypeDef *) PWR_BASE) +#define DAC ((DAC_TypeDef *) DAC_BASE) +#define TIM1 ((TIM_TypeDef *) TIM1_BASE) +#define TIM8 ((TIM_TypeDef *) TIM8_BASE) +#define USART1 ((USART_TypeDef *) USART1_BASE) +#define USART6 ((USART_TypeDef *) USART6_BASE) +#define ADC ((ADC_Common_TypeDef *) ADC_BASE) +#define ADC1 ((ADC_TypeDef *) ADC1_BASE) +#define ADC2 ((ADC_TypeDef *) ADC2_BASE) +#define ADC3 ((ADC_TypeDef *) ADC3_BASE) +#define SDIO ((SDIO_TypeDef *) SDIO_BASE) +#define SPI1 ((SPI_TypeDef *) SPI1_BASE) +#define SYSCFG ((SYSCFG_TypeDef *) SYSCFG_BASE) +#define EXTI ((EXTI_TypeDef *) EXTI_BASE) +#define TIM9 ((TIM_TypeDef *) TIM9_BASE) +#define TIM10 ((TIM_TypeDef *) TIM10_BASE) +#define TIM11 ((TIM_TypeDef *) TIM11_BASE) +#define GPIOA ((GPIO_TypeDef *) GPIOA_BASE) +#define GPIOB ((GPIO_TypeDef *) GPIOB_BASE) +#define GPIOC ((GPIO_TypeDef *) GPIOC_BASE) +#define GPIOD ((GPIO_TypeDef *) GPIOD_BASE) +#define GPIOE ((GPIO_TypeDef *) GPIOE_BASE) +#define GPIOF ((GPIO_TypeDef *) GPIOF_BASE) +#define GPIOG ((GPIO_TypeDef *) GPIOG_BASE) +#define GPIOH ((GPIO_TypeDef *) GPIOH_BASE) +#define GPIOI ((GPIO_TypeDef *) GPIOI_BASE) +#define CRC ((CRC_TypeDef *) CRC_BASE) +#define RCC ((RCC_TypeDef *) RCC_BASE) +#define FLASH ((FLASH_TypeDef *) FLASH_R_BASE) +#define DMA1 ((DMA_TypeDef *) DMA1_BASE) +#define DMA1_Stream0 ((DMA_Stream_TypeDef *) DMA1_Stream0_BASE) +#define DMA1_Stream1 ((DMA_Stream_TypeDef *) DMA1_Stream1_BASE) +#define DMA1_Stream2 ((DMA_Stream_TypeDef *) DMA1_Stream2_BASE) +#define DMA1_Stream3 ((DMA_Stream_TypeDef *) DMA1_Stream3_BASE) +#define DMA1_Stream4 ((DMA_Stream_TypeDef *) DMA1_Stream4_BASE) +#define DMA1_Stream5 ((DMA_Stream_TypeDef *) DMA1_Stream5_BASE) +#define DMA1_Stream6 ((DMA_Stream_TypeDef *) DMA1_Stream6_BASE) +#define DMA1_Stream7 ((DMA_Stream_TypeDef *) DMA1_Stream7_BASE) +#define DMA2 ((DMA_TypeDef *) DMA2_BASE) +#define DMA2_Stream0 ((DMA_Stream_TypeDef *) DMA2_Stream0_BASE) +#define DMA2_Stream1 ((DMA_Stream_TypeDef *) DMA2_Stream1_BASE) +#define DMA2_Stream2 ((DMA_Stream_TypeDef *) DMA2_Stream2_BASE) +#define DMA2_Stream3 ((DMA_Stream_TypeDef *) DMA2_Stream3_BASE) +#define DMA2_Stream4 ((DMA_Stream_TypeDef *) DMA2_Stream4_BASE) +#define DMA2_Stream5 ((DMA_Stream_TypeDef *) DMA2_Stream5_BASE) +#define DMA2_Stream6 ((DMA_Stream_TypeDef *) DMA2_Stream6_BASE) +#define DMA2_Stream7 ((DMA_Stream_TypeDef *) DMA2_Stream7_BASE) +#define ETH ((ETH_TypeDef *) ETH_BASE) +#define DCMI ((DCMI_TypeDef *) DCMI_BASE) +#define RNG ((RNG_TypeDef *) RNG_BASE) +#define FSMC_Bank1 ((FSMC_Bank1_TypeDef *) FSMC_Bank1_R_BASE) +#define FSMC_Bank1E ((FSMC_Bank1E_TypeDef *) FSMC_Bank1E_R_BASE) +#define FSMC_Bank2_3 ((FSMC_Bank2_3_TypeDef *) FSMC_Bank2_3_R_BASE) +#define FSMC_Bank4 ((FSMC_Bank4_TypeDef *) FSMC_Bank4_R_BASE) + +#define DBGMCU ((DBGMCU_TypeDef *) DBGMCU_BASE) + +#define USB_OTG_FS ((USB_OTG_GlobalTypeDef *) USB_OTG_FS_PERIPH_BASE) +#define USB_OTG_HS ((USB_OTG_GlobalTypeDef *) USB_OTG_HS_PERIPH_BASE) + +/** + * @} + */ + +/** @addtogroup Exported_constants + * @{ + */ + + /** @addtogroup Peripheral_Registers_Bits_Definition + * @{ + */ + +/******************************************************************************/ +/* Peripheral Registers_Bits_Definition */ +/******************************************************************************/ + +/******************************************************************************/ +/* */ +/* Analog to Digital Converter */ +/* */ +/******************************************************************************/ +/******************** Bit definition for ADC_SR register ********************/ +#define ADC_SR_AWD ((uint32_t)0x00000001) /*!
© COPYRIGHT(c) 2014 STMicroelectronics
+ * + * Redistribution and use in source and binary forms, with or without modification, + * are permitted provided that the following conditions are met: + * 1. Redistributions of source code must retain the above copyright notice, + * this list of conditions and the following disclaimer. + * 2. Redistributions in binary form must reproduce the above copyright notice, + * this list of conditions and the following disclaimer in the documentation + * and/or other materials provided with the distribution. + * 3. Neither the name of STMicroelectronics nor the names of its contributors + * may be used to endorse or promote products derived from this software + * without specific prior written permission. + * + * THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" + * AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE + * IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE + * DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE + * FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL + * DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR + * SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER + * CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, + * OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE + * OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. + * + ****************************************************************************** + */ + +/** @addtogroup CMSIS + * @{ + */ + +/** @addtogroup stm32f4xx + * @{ + */ + +#ifndef __STM32F4xx_H +#define __STM32F4xx_H + +#ifdef __cplusplus + extern "C" { +#endif /* __cplusplus */ + +/** @addtogroup Library_configuration_section + * @{ + */ + + +/** + * @brief In the following line adjust the value of External High Speed oscillator (HSE) + used in your application + + Tip: To avoid modifying this file each time you need to use different HSE, you + can define the HSE value in your toolchain compiler preprocessor. + */ + +#if !defined (HSE_VALUE) + #define HSE_VALUE ((uint32_t)8000000) /*!< Value of the External oscillator in Hz */ +#endif /* HSE_VALUE */ + +/** + * @brief In the following line adjust the External High Speed oscillator (HSE) Startup + Timeout value + */ +#if !defined (HSE_STARTUP_TIMEOUT) + #define HSE_STARTUP_TIMEOUT ((uint16_t)0x0500) /*!< Time out for HSE start up */ +#endif /* HSE_STARTUP_TIMEOUT */ + +#if !defined (HSI_VALUE) + #define HSI_VALUE ((uint32_t)16000000) /*!< Value of the Internal oscillator in Hz*/ +#endif /* HSI_VALUE */ + + +/** + * @brief STM32 Family + */ +#if !defined (STM32F4) +#define STM32F4 +#endif /* STM32F4 */ + +/* Uncomment the line below according to the target STM32 device used in your + application + */ +#if !defined (STM32F405xx) && !defined (STM32F415xx) && !defined (STM32F407xx) && !defined (STM32F417xx) && \ + !defined (STM32F427xx) && !defined (STM32F437xx) && !defined (STM32F429xx) && !defined (STM32F439xx) && \ + !defined (STM32F401xC) && !defined (STM32F401xE) && !defined (STM32F411xE) + /* #define STM32F405xx */ /*!< STM32F405RG, STM32F405VG and STM32F405ZG Devices */ + /* #define STM32F415xx */ /*!< STM32F415RG, STM32F415VG and STM32F415ZG Devices */ + /* #define STM32F407xx */ /*!< STM32F407VG, STM32F407VE, STM32F407ZG, STM32F407ZE, STM32F407IG and STM32F407IE Devices */ + /* #define STM32F417xx */ /*!< STM32F417VG, STM32F417VE, STM32F417ZG, STM32F417ZE, STM32F417IG and STM32F417IE Devices */ + /* #define STM32F427xx */ /*!< STM32F427VG, STM32F427VI, STM32F427ZG, STM32F427ZI, STM32F427IG and STM32F427II Devices */ + /* #define STM32F437xx */ /*!< STM32F437VG, STM32F437VI, STM32F437ZG, STM32F437ZI, STM32F437IG and STM32F437II Devices */ + /* #define STM32F429xx */ /*!< STM32F429VG, STM32F429VI, STM32F429ZG, STM32F429ZI, STM32F429BG, STM32F429BI, STM32F429NG, + STM32F439NI, STM32F429IG and STM32F429II Devices */ + /* #define STM32F439xx */ /*!< STM32F439VG, STM32F439VI, STM32F439ZG, STM32F439ZI, STM32F439BG, STM32F439BI, STM32F439NG, + STM32F439NI, STM32F439IG and STM32F439II Devices */ + /* #define STM32F401xC */ /*!< STM32F401CB, STM32F401CC, STM32F401RB, STM32F401RC, STM32F401VB and STM32F401VC Devices */ + /* #define STM32F401xE */ /*!< STM32F401CD, STM32F401RD, STM32F401VD, STM32F401CE, STM32F401RE and STM32F401VE Devices */ + /* #define STM32F411xE */ /*!< STM32F411CD, STM32F411RD, STM32F411VD, STM32F411CE, STM32F411RE and STM32F411VE Devices */ +#endif + +/* Tip: To avoid modifying this file each time you need to switch between these + devices, you can define the device in your toolchain compiler preprocessor. + */ +#if !defined (USE_HAL_DRIVER) +/** + * @brief Comment the line below if you will not use the peripherals drivers. + In this case, these drivers will not be included and the application code will + be based on direct access to peripherals registers + */ + /*#define USE_HAL_DRIVER */ +#endif /* USE_HAL_DRIVER */ + +/** + * @brief CMSIS Device version number V2.2.0 + */ +#define __STM32F4xx_CMSIS_DEVICE_VERSION_MAIN (0x02) /*!< [31:24] main version */ +#define __STM32F4xx_CMSIS_DEVICE_VERSION_SUB1 (0x02) /*!< [23:16] sub1 version */ +#define __STM32F4xx_CMSIS_DEVICE_VERSION_SUB2 (0x00) /*!< [15:8] sub2 version */ +#define __STM32F4xx_CMSIS_DEVICE_VERSION_RC (0x00) /*!< [7:0] release candidate */ +#define __STM32F4xx_CMSIS_DEVICE_VERSION ((__STM32F4xx_CMSIS_DEVICE_VERSION_MAIN << 24)\ + |(__STM32F4xx_CMSIS_DEVICE_VERSION_SUB1 << 16)\ + |(__STM32F4xx_CMSIS_DEVICE_VERSION_SUB2 << 8 )\ + |(__STM32F4xx_CMSIS_DEVICE_VERSION)) + +/** + * @} + */ + +/** @addtogroup Device_Included + * @{ + */ + +#if defined(STM32F405xx) + #include "stm32f405xx.h" +#elif defined(STM32F415xx) + #include "stm32f415xx.h" +#elif defined(STM32F407xx) + #include "stm32f407xx.h" +#elif defined(STM32F417xx) + #include "stm32f417xx.h" +#elif defined(STM32F427xx) + #include "stm32f427xx.h" +#elif defined(STM32F437xx) + #include "stm32f437xx.h" +#elif defined(STM32F429xx) + #include "stm32f429xx.h" +#elif defined(STM32F439xx) + #include "stm32f439xx.h" +#elif defined(STM32F401xC) + #include "stm32f401xc.h" +#elif defined(STM32F401xE) + #include "stm32f401xe.h" +#elif defined(STM32F411xE) + #include "stm32f411xe.h" +#else + #error "Please select first the target STM32F4xx device used in your application (in stm32f4xx.h file)" +#endif + +/** + * @} + */ + +/** @addtogroup Exported_types + * @{ + */ +typedef enum +{ + RESET = 0, + SET = !RESET +} FlagStatus, ITStatus; + +typedef enum +{ + DISABLE = 0, + ENABLE = !DISABLE +} FunctionalState; +#define IS_FUNCTIONAL_STATE(STATE) (((STATE) == DISABLE) || ((STATE) == ENABLE)) + +typedef enum +{ + ERROR = 0, + SUCCESS = !ERROR +} ErrorStatus; + +/** + * @} + */ + + +/** @addtogroup Exported_macro + * @{ + */ +#define SET_BIT(REG, BIT) ((REG) |= (BIT)) + +#define CLEAR_BIT(REG, BIT) ((REG) &= ~(BIT)) + +#define READ_BIT(REG, BIT) ((REG) & (BIT)) + +#define CLEAR_REG(REG) ((REG) = (0x0)) + +#define WRITE_REG(REG, VAL) ((REG) = (VAL)) + +#define READ_REG(REG) ((REG)) + +#define MODIFY_REG(REG, CLEARMASK, SETMASK) WRITE_REG((REG), (((READ_REG(REG)) & (~(CLEARMASK))) | (SETMASK))) + +#define POSITION_VAL(VAL) (__CLZ(__RBIT(VAL))) + + +/** + * @} + */ + +#if defined (USE_HAL_DRIVER) + #include "stm32f4xx_hal.h" +#endif /* USE_HAL_DRIVER */ + +#ifdef __cplusplus +} +#endif /* __cplusplus */ + +#endif /* __STM32F4xx_H */ +/** + * @} + */ + +/** + * @} + */ + + + + +/************************ (C) COPYRIGHT STMicroelectronics *****END OF FILE****/ diff --git a/main.c b/main.c new file mode 100644 index 0000000..3c98f72 --- /dev/null +++ b/main.c @@ -0,0 +1,6 @@ +#include + +void main(void) +{ + while (1); +} diff --git a/mathlib/include/arm_math.h b/mathlib/include/arm_math.h new file mode 100644 index 0000000..59662ae --- /dev/null +++ b/mathlib/include/arm_math.h @@ -0,0 +1,7306 @@ +/* ---------------------------------------------------------------------- +* Copyright (C) 2010-2013 ARM Limited. All rights reserved. +* +* $Date: 17. January 2013 +* $Revision: V1.4.1 +* +* Project: CMSIS DSP Library +* Title: arm_math.h +* +* Description: Public header file for CMSIS DSP Library +* +* Target Processor: Cortex-M4/Cortex-M3/Cortex-M0 +* +* Redistribution and use in source and binary forms, with or without +* modification, are permitted provided that the following conditions +* are met: +* - Redistributions of source code must retain the above copyright +* notice, this list of conditions and the following disclaimer. +* - Redistributions in binary form must reproduce the above copyright +* notice, this list of conditions and the following disclaimer in +* the documentation and/or other materials provided with the +* distribution. +* - Neither the name of ARM LIMITED nor the names of its contributors +* may be used to endorse or promote products derived from this +* software without specific prior written permission. +* +* THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS +* "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT +* LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS +* FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE +* COPYRIGHT OWNER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, +* INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, +* BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; +* LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER +* CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT +* LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN +* ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +* POSSIBILITY OF SUCH DAMAGE. + * -------------------------------------------------------------------- */ + +/** + \mainpage CMSIS DSP Software Library + * + * Introduction + * + * This user manual describes the CMSIS DSP software library, + * a suite of common signal processing functions for use on Cortex-M processor based devices. + * + * The library is divided into a number of functions each covering a specific category: + * - Basic math functions + * - Fast math functions + * - Complex math functions + * - Filters + * - Matrix functions + * - Transforms + * - Motor control functions + * - Statistical functions + * - Support functions + * - Interpolation functions + * + * The library has separate functions for operating on 8-bit integers, 16-bit integers, + * 32-bit integer and 32-bit floating-point values. + * + * Using the Library + * + * The library installer contains prebuilt versions of the libraries in the Lib folder. + * - arm_cortexM4lf_math.lib (Little endian and Floating Point Unit on Cortex-M4) + * - arm_cortexM4bf_math.lib (Big endian and Floating Point Unit on Cortex-M4) + * - arm_cortexM4l_math.lib (Little endian on Cortex-M4) + * - arm_cortexM4b_math.lib (Big endian on Cortex-M4) + * - arm_cortexM3l_math.lib (Little endian on Cortex-M3) + * - arm_cortexM3b_math.lib (Big endian on Cortex-M3) + * - arm_cortexM0l_math.lib (Little endian on Cortex-M0) + * - arm_cortexM0b_math.lib (Big endian on Cortex-M3) + * + * The library functions are declared in the public file arm_math.h which is placed in the Include folder. + * Simply include this file and link the appropriate library in the application and begin calling the library functions. The Library supports single + * public header file arm_math.h for Cortex-M4/M3/M0 with little endian and big endian. Same header file will be used for floating point unit(FPU) variants. + * Define the appropriate pre processor MACRO ARM_MATH_CM4 or ARM_MATH_CM3 or + * ARM_MATH_CM0 or ARM_MATH_CM0PLUS depending on the target processor in the application. + * + * Examples + * + * The library ships with a number of examples which demonstrate how to use the library functions. + * + * Toolchain Support + * + * The library has been developed and tested with MDK-ARM version 4.60. + * The library is being tested in GCC and IAR toolchains and updates on this activity will be made available shortly. + * + * Building the Library + * + * The library installer contains project files to re build libraries on MDK Tool chain in the CMSIS\\DSP_Lib\\Source\\ARM folder. + * - arm_cortexM0b_math.uvproj + * - arm_cortexM0l_math.uvproj + * - arm_cortexM3b_math.uvproj + * - arm_cortexM3l_math.uvproj + * - arm_cortexM4b_math.uvproj + * - arm_cortexM4l_math.uvproj + * - arm_cortexM4bf_math.uvproj + * - arm_cortexM4lf_math.uvproj + * + * + * The project can be built by opening the appropriate project in MDK-ARM 4.60 chain and defining the optional pre processor MACROs detailed above. + * + * Pre-processor Macros + * + * Each library project have differant pre-processor macros. + * + * - UNALIGNED_SUPPORT_DISABLE: + * + * Define macro UNALIGNED_SUPPORT_DISABLE, If the silicon does not support unaligned memory access + * + * - ARM_MATH_BIG_ENDIAN: + * + * Define macro ARM_MATH_BIG_ENDIAN to build the library for big endian targets. By default library builds for little endian targets. + * + * - ARM_MATH_MATRIX_CHECK: + * + * Define macro ARM_MATH_MATRIX_CHECK for checking on the input and output sizes of matrices + * + * - ARM_MATH_ROUNDING: + * + * Define macro ARM_MATH_ROUNDING for rounding on support functions + * + * - ARM_MATH_CMx: + * + * Define macro ARM_MATH_CM4 for building the library on Cortex-M4 target, ARM_MATH_CM3 for building library on Cortex-M3 target + * and ARM_MATH_CM0 for building library on cortex-M0 target, ARM_MATH_CM0PLUS for building library on cortex-M0+ target. + * + * - __FPU_PRESENT: + * + * Initialize macro __FPU_PRESENT = 1 when building on FPU supported Targets. Enable this macro for M4bf and M4lf libraries + * + * Copyright Notice + * + * Copyright (C) 2010-2013 ARM Limited. All rights reserved. + */ + + +/** + * @defgroup groupMath Basic Math Functions + */ + +/** + * @defgroup groupFastMath Fast Math Functions + * This set of functions provides a fast approximation to sine, cosine, and square root. + * As compared to most of the other functions in the CMSIS math library, the fast math functions + * operate on individual values and not arrays. + * There are separate functions for Q15, Q31, and floating-point data. + * + */ + +/** + * @defgroup groupCmplxMath Complex Math Functions + * This set of functions operates on complex data vectors. + * The data in the complex arrays is stored in an interleaved fashion + * (real, imag, real, imag, ...). + * In the API functions, the number of samples in a complex array refers + * to the number of complex values; the array contains twice this number of + * real values. + */ + +/** + * @defgroup groupFilters Filtering Functions + */ + +/** + * @defgroup groupMatrix Matrix Functions + * + * This set of functions provides basic matrix math operations. + * The functions operate on matrix data structures. For example, + * the type + * definition for the floating-point matrix structure is shown + * below: + *
+ *     typedef struct
+ *     {
+ *       uint16_t numRows;     // number of rows of the matrix.
+ *       uint16_t numCols;     // number of columns of the matrix.
+ *       float32_t *pData;     // points to the data of the matrix.
+ *     } arm_matrix_instance_f32;
+ * 
+ * There are similar definitions for Q15 and Q31 data types. + * + * The structure specifies the size of the matrix and then points to + * an array of data. The array is of size numRows X numCols + * and the values are arranged in row order. That is, the + * matrix element (i, j) is stored at: + *
+ *     pData[i*numCols + j]
+ * 
+ * + * \par Init Functions + * There is an associated initialization function for each type of matrix + * data structure. + * The initialization function sets the values of the internal structure fields. + * Refer to the function arm_mat_init_f32(), arm_mat_init_q31() + * and arm_mat_init_q15() for floating-point, Q31 and Q15 types, respectively. + * + * \par + * Use of the initialization function is optional. However, if initialization function is used + * then the instance structure cannot be placed into a const data section. + * To place the instance structure in a const data + * section, manually initialize the data structure. For example: + *
+ * arm_matrix_instance_f32 S = {nRows, nColumns, pData};
+ * arm_matrix_instance_q31 S = {nRows, nColumns, pData};
+ * arm_matrix_instance_q15 S = {nRows, nColumns, pData};
+ * 
+ * where nRows specifies the number of rows, nColumns + * specifies the number of columns, and pData points to the + * data array. + * + * \par Size Checking + * By default all of the matrix functions perform size checking on the input and + * output matrices. For example, the matrix addition function verifies that the + * two input matrices and the output matrix all have the same number of rows and + * columns. If the size check fails the functions return: + *
+ *     ARM_MATH_SIZE_MISMATCH
+ * 
+ * Otherwise the functions return + *
+ *     ARM_MATH_SUCCESS
+ * 
+ * There is some overhead associated with this matrix size checking. + * The matrix size checking is enabled via the \#define + *
+ *     ARM_MATH_MATRIX_CHECK
+ * 
+ * within the library project settings. By default this macro is defined + * and size checking is enabled. By changing the project settings and + * undefining this macro size checking is eliminated and the functions + * run a bit faster. With size checking disabled the functions always + * return ARM_MATH_SUCCESS. + */ + +/** + * @defgroup groupTransforms Transform Functions + */ + +/** + * @defgroup groupController Controller Functions + */ + +/** + * @defgroup groupStats Statistics Functions + */ +/** + * @defgroup groupSupport Support Functions + */ + +/** + * @defgroup groupInterpolation Interpolation Functions + * These functions perform 1- and 2-dimensional interpolation of data. + * Linear interpolation is used for 1-dimensional data and + * bilinear interpolation is used for 2-dimensional data. + */ + +/** + * @defgroup groupExamples Examples + */ +#ifndef _ARM_MATH_H +#define _ARM_MATH_H + +#define __CMSIS_GENERIC /* disable NVIC and Systick functions */ + +#if defined (ARM_MATH_CM4) +#include "core_cm4.h" +#elif defined (ARM_MATH_CM3) +#include "core_cm3.h" +#elif defined (ARM_MATH_CM0) +#include "core_cm0.h" +#define ARM_MATH_CM0_FAMILY +#elif defined (ARM_MATH_CM0PLUS) +#include "core_cm0plus.h" +#define ARM_MATH_CM0_FAMILY +#else +#include "ARMCM4.h" +#warning "Define either ARM_MATH_CM4 OR ARM_MATH_CM3...By Default building on ARM_MATH_CM4....." +#endif + +#undef __CMSIS_GENERIC /* enable NVIC and Systick functions */ +#include "string.h" +#include "math.h" +#ifdef __cplusplus +extern "C" +{ +#endif + + + /** + * @brief Macros required for reciprocal calculation in Normalized LMS + */ + +#define DELTA_Q31 (0x100) +#define DELTA_Q15 0x5 +#define INDEX_MASK 0x0000003F +#ifndef PI +#define PI 3.14159265358979f +#endif + + /** + * @brief Macros required for SINE and COSINE Fast math approximations + */ + +#define TABLE_SIZE 256 +#define TABLE_SPACING_Q31 0x800000 +#define TABLE_SPACING_Q15 0x80 + + /** + * @brief Macros required for SINE and COSINE Controller functions + */ + /* 1.31(q31) Fixed value of 2/360 */ + /* -1 to +1 is divided into 360 values so total spacing is (2/360) */ +#define INPUT_SPACING 0xB60B61 + + /** + * @brief Macro for Unaligned Support + */ +#ifndef UNALIGNED_SUPPORT_DISABLE + #define ALIGN4 +#else + #if defined (__GNUC__) + #define ALIGN4 __attribute__((aligned(4))) + #else + #define ALIGN4 __align(4) + #endif +#endif /* #ifndef UNALIGNED_SUPPORT_DISABLE */ + + /** + * @brief Error status returned by some functions in the library. + */ + + typedef enum + { + ARM_MATH_SUCCESS = 0, /**< No error */ + ARM_MATH_ARGUMENT_ERROR = -1, /**< One or more arguments are incorrect */ + ARM_MATH_LENGTH_ERROR = -2, /**< Length of data buffer is incorrect */ + ARM_MATH_SIZE_MISMATCH = -3, /**< Size of matrices is not compatible with the operation. */ + ARM_MATH_NANINF = -4, /**< Not-a-number (NaN) or infinity is generated */ + ARM_MATH_SINGULAR = -5, /**< Generated by matrix inversion if the input matrix is singular and cannot be inverted. */ + ARM_MATH_TEST_FAILURE = -6 /**< Test Failed */ + } arm_status; + + /** + * @brief 8-bit fractional data type in 1.7 format. + */ + typedef int8_t q7_t; + + /** + * @brief 16-bit fractional data type in 1.15 format. + */ + typedef int16_t q15_t; + + /** + * @brief 32-bit fractional data type in 1.31 format. + */ + typedef int32_t q31_t; + + /** + * @brief 64-bit fractional data type in 1.63 format. + */ + typedef int64_t q63_t; + + /** + * @brief 32-bit floating-point type definition. + */ + typedef float float32_t; + + /** + * @brief 64-bit floating-point type definition. + */ + typedef double float64_t; + + /** + * @brief definition to read/write two 16 bit values. + */ +#if defined __CC_ARM +#define __SIMD32_TYPE int32_t __packed +#define CMSIS_UNUSED __attribute__((unused)) +#elif defined __ICCARM__ +#define CMSIS_UNUSED +#define __SIMD32_TYPE int32_t __packed +#elif defined __GNUC__ +#define __SIMD32_TYPE int32_t +#define CMSIS_UNUSED __attribute__((unused)) +#else +#error Unknown compiler +#endif + +#define __SIMD32(addr) (*(__SIMD32_TYPE **) & (addr)) +#define __SIMD32_CONST(addr) ((__SIMD32_TYPE *)(addr)) + +#define _SIMD32_OFFSET(addr) (*(__SIMD32_TYPE *) (addr)) + +#define __SIMD64(addr) (*(int64_t **) & (addr)) + +#if defined (ARM_MATH_CM3) || defined (ARM_MATH_CM0_FAMILY) + /** + * @brief definition to pack two 16 bit values. + */ +#define __PKHBT(ARG1, ARG2, ARG3) ( (((int32_t)(ARG1) << 0) & (int32_t)0x0000FFFF) | \ + (((int32_t)(ARG2) << ARG3) & (int32_t)0xFFFF0000) ) +#define __PKHTB(ARG1, ARG2, ARG3) ( (((int32_t)(ARG1) << 0) & (int32_t)0xFFFF0000) | \ + (((int32_t)(ARG2) >> ARG3) & (int32_t)0x0000FFFF) ) + +#endif + + + /** + * @brief definition to pack four 8 bit values. + */ +#ifndef ARM_MATH_BIG_ENDIAN + +#define __PACKq7(v0,v1,v2,v3) ( (((int32_t)(v0) << 0) & (int32_t)0x000000FF) | \ + (((int32_t)(v1) << 8) & (int32_t)0x0000FF00) | \ + (((int32_t)(v2) << 16) & (int32_t)0x00FF0000) | \ + (((int32_t)(v3) << 24) & (int32_t)0xFF000000) ) +#else + +#define __PACKq7(v0,v1,v2,v3) ( (((int32_t)(v3) << 0) & (int32_t)0x000000FF) | \ + (((int32_t)(v2) << 8) & (int32_t)0x0000FF00) | \ + (((int32_t)(v1) << 16) & (int32_t)0x00FF0000) | \ + (((int32_t)(v0) << 24) & (int32_t)0xFF000000) ) + +#endif + + + /** + * @brief Clips Q63 to Q31 values. + */ + static __INLINE q31_t clip_q63_to_q31( + q63_t x) + { + return ((q31_t) (x >> 32) != ((q31_t) x >> 31)) ? + ((0x7FFFFFFF ^ ((q31_t) (x >> 63)))) : (q31_t) x; + } + + /** + * @brief Clips Q63 to Q15 values. + */ + static __INLINE q15_t clip_q63_to_q15( + q63_t x) + { + return ((q31_t) (x >> 32) != ((q31_t) x >> 31)) ? + ((0x7FFF ^ ((q15_t) (x >> 63)))) : (q15_t) (x >> 15); + } + + /** + * @brief Clips Q31 to Q7 values. + */ + static __INLINE q7_t clip_q31_to_q7( + q31_t x) + { + return ((q31_t) (x >> 24) != ((q31_t) x >> 23)) ? + ((0x7F ^ ((q7_t) (x >> 31)))) : (q7_t) x; + } + + /** + * @brief Clips Q31 to Q15 values. + */ + static __INLINE q15_t clip_q31_to_q15( + q31_t x) + { + return ((q31_t) (x >> 16) != ((q31_t) x >> 15)) ? + ((0x7FFF ^ ((q15_t) (x >> 31)))) : (q15_t) x; + } + + /** + * @brief Multiplies 32 X 64 and returns 32 bit result in 2.30 format. + */ + + static __INLINE q63_t mult32x64( + q63_t x, + q31_t y) + { + return ((((q63_t) (x & 0x00000000FFFFFFFF) * y) >> 32) + + (((q63_t) (x >> 32) * y))); + } + + +#if defined (ARM_MATH_CM0_FAMILY) && defined ( __CC_ARM ) +#define __CLZ __clz +#endif + +#if defined (ARM_MATH_CM0_FAMILY) && ((defined (__ICCARM__)) ||(defined (__GNUC__)) || defined (__TASKING__) ) + + static __INLINE uint32_t __CLZ( + q31_t data); + + + static __INLINE uint32_t __CLZ( + q31_t data) + { + uint32_t count = 0; + uint32_t mask = 0x80000000; + + while((data & mask) == 0) + { + count += 1u; + mask = mask >> 1u; + } + + return (count); + + } + +#endif + + /** + * @brief Function to Calculates 1/in (reciprocal) value of Q31 Data type. + */ + + static __INLINE uint32_t arm_recip_q31( + q31_t in, + q31_t * dst, + q31_t * pRecipTable) + { + + uint32_t out, tempVal; + uint32_t index, i; + uint32_t signBits; + + if(in > 0) + { + signBits = __CLZ(in) - 1; + } + else + { + signBits = __CLZ(-in) - 1; + } + + /* Convert input sample to 1.31 format */ + in = in << signBits; + + /* calculation of index for initial approximated Val */ + index = (uint32_t) (in >> 24u); + index = (index & INDEX_MASK); + + /* 1.31 with exp 1 */ + out = pRecipTable[index]; + + /* calculation of reciprocal value */ + /* running approximation for two iterations */ + for (i = 0u; i < 2u; i++) + { + tempVal = (q31_t) (((q63_t) in * out) >> 31u); + tempVal = 0x7FFFFFFF - tempVal; + /* 1.31 with exp 1 */ + //out = (q31_t) (((q63_t) out * tempVal) >> 30u); + out = (q31_t) clip_q63_to_q31(((q63_t) out * tempVal) >> 30u); + } + + /* write output */ + *dst = out; + + /* return num of signbits of out = 1/in value */ + return (signBits + 1u); + + } + + /** + * @brief Function to Calculates 1/in (reciprocal) value of Q15 Data type. + */ + static __INLINE uint32_t arm_recip_q15( + q15_t in, + q15_t * dst, + q15_t * pRecipTable) + { + + uint32_t out = 0, tempVal = 0; + uint32_t index = 0, i = 0; + uint32_t signBits = 0; + + if(in > 0) + { + signBits = __CLZ(in) - 17; + } + else + { + signBits = __CLZ(-in) - 17; + } + + /* Convert input sample to 1.15 format */ + in = in << signBits; + + /* calculation of index for initial approximated Val */ + index = in >> 8; + index = (index & INDEX_MASK); + + /* 1.15 with exp 1 */ + out = pRecipTable[index]; + + /* calculation of reciprocal value */ + /* running approximation for two iterations */ + for (i = 0; i < 2; i++) + { + tempVal = (q15_t) (((q31_t) in * out) >> 15); + tempVal = 0x7FFF - tempVal; + /* 1.15 with exp 1 */ + out = (q15_t) (((q31_t) out * tempVal) >> 14); + } + + /* write output */ + *dst = out; + + /* return num of signbits of out = 1/in value */ + return (signBits + 1); + + } + + + /* + * @brief C custom defined intrinisic function for only M0 processors + */ +#if defined(ARM_MATH_CM0_FAMILY) + + static __INLINE q31_t __SSAT( + q31_t x, + uint32_t y) + { + int32_t posMax, negMin; + uint32_t i; + + posMax = 1; + for (i = 0; i < (y - 1); i++) + { + posMax = posMax * 2; + } + + if(x > 0) + { + posMax = (posMax - 1); + + if(x > posMax) + { + x = posMax; + } + } + else + { + negMin = -posMax; + + if(x < negMin) + { + x = negMin; + } + } + return (x); + + + } + +#endif /* end of ARM_MATH_CM0_FAMILY */ + + + + /* + * @brief C custom defined intrinsic function for M3 and M0 processors + */ +#if defined (ARM_MATH_CM3) || defined (ARM_MATH_CM0_FAMILY) + + /* + * @brief C custom defined QADD8 for M3 and M0 processors + */ + static __INLINE q31_t __QADD8( + q31_t x, + q31_t y) + { + + q31_t sum; + q7_t r, s, t, u; + + r = (q7_t) x; + s = (q7_t) y; + + r = __SSAT((q31_t) (r + s), 8); + s = __SSAT(((q31_t) (((x << 16) >> 24) + ((y << 16) >> 24))), 8); + t = __SSAT(((q31_t) (((x << 8) >> 24) + ((y << 8) >> 24))), 8); + u = __SSAT(((q31_t) ((x >> 24) + (y >> 24))), 8); + + sum = + (((q31_t) u << 24) & 0xFF000000) | (((q31_t) t << 16) & 0x00FF0000) | + (((q31_t) s << 8) & 0x0000FF00) | (r & 0x000000FF); + + return sum; + + } + + /* + * @brief C custom defined QSUB8 for M3 and M0 processors + */ + static __INLINE q31_t __QSUB8( + q31_t x, + q31_t y) + { + + q31_t sum; + q31_t r, s, t, u; + + r = (q7_t) x; + s = (q7_t) y; + + r = __SSAT((r - s), 8); + s = __SSAT(((q31_t) (((x << 16) >> 24) - ((y << 16) >> 24))), 8) << 8; + t = __SSAT(((q31_t) (((x << 8) >> 24) - ((y << 8) >> 24))), 8) << 16; + u = __SSAT(((q31_t) ((x >> 24) - (y >> 24))), 8) << 24; + + sum = + (u & 0xFF000000) | (t & 0x00FF0000) | (s & 0x0000FF00) | (r & + 0x000000FF); + + return sum; + } + + /* + * @brief C custom defined QADD16 for M3 and M0 processors + */ + + /* + * @brief C custom defined QADD16 for M3 and M0 processors + */ + static __INLINE q31_t __QADD16( + q31_t x, + q31_t y) + { + + q31_t sum; + q31_t r, s; + + r = (short) x; + s = (short) y; + + r = __SSAT(r + s, 16); + s = __SSAT(((q31_t) ((x >> 16) + (y >> 16))), 16) << 16; + + sum = (s & 0xFFFF0000) | (r & 0x0000FFFF); + + return sum; + + } + + /* + * @brief C custom defined SHADD16 for M3 and M0 processors + */ + static __INLINE q31_t __SHADD16( + q31_t x, + q31_t y) + { + + q31_t sum; + q31_t r, s; + + r = (short) x; + s = (short) y; + + r = ((r >> 1) + (s >> 1)); + s = ((q31_t) ((x >> 17) + (y >> 17))) << 16; + + sum = (s & 0xFFFF0000) | (r & 0x0000FFFF); + + return sum; + + } + + /* + * @brief C custom defined QSUB16 for M3 and M0 processors + */ + static __INLINE q31_t __QSUB16( + q31_t x, + q31_t y) + { + + q31_t sum; + q31_t r, s; + + r = (short) x; + s = (short) y; + + r = __SSAT(r - s, 16); + s = __SSAT(((q31_t) ((x >> 16) - (y >> 16))), 16) << 16; + + sum = (s & 0xFFFF0000) | (r & 0x0000FFFF); + + return sum; + } + + /* + * @brief C custom defined SHSUB16 for M3 and M0 processors + */ + static __INLINE q31_t __SHSUB16( + q31_t x, + q31_t y) + { + + q31_t diff; + q31_t r, s; + + r = (short) x; + s = (short) y; + + r = ((r >> 1) - (s >> 1)); + s = (((x >> 17) - (y >> 17)) << 16); + + diff = (s & 0xFFFF0000) | (r & 0x0000FFFF); + + return diff; + } + + /* + * @brief C custom defined QASX for M3 and M0 processors + */ + static __INLINE q31_t __QASX( + q31_t x, + q31_t y) + { + + q31_t sum = 0; + + sum = + ((sum + + clip_q31_to_q15((q31_t) ((short) (x >> 16) + (short) y))) << 16) + + clip_q31_to_q15((q31_t) ((short) x - (short) (y >> 16))); + + return sum; + } + + /* + * @brief C custom defined SHASX for M3 and M0 processors + */ + static __INLINE q31_t __SHASX( + q31_t x, + q31_t y) + { + + q31_t sum; + q31_t r, s; + + r = (short) x; + s = (short) y; + + r = ((r >> 1) - (y >> 17)); + s = (((x >> 17) + (s >> 1)) << 16); + + sum = (s & 0xFFFF0000) | (r & 0x0000FFFF); + + return sum; + } + + + /* + * @brief C custom defined QSAX for M3 and M0 processors + */ + static __INLINE q31_t __QSAX( + q31_t x, + q31_t y) + { + + q31_t sum = 0; + + sum = + ((sum + + clip_q31_to_q15((q31_t) ((short) (x >> 16) - (short) y))) << 16) + + clip_q31_to_q15((q31_t) ((short) x + (short) (y >> 16))); + + return sum; + } + + /* + * @brief C custom defined SHSAX for M3 and M0 processors + */ + static __INLINE q31_t __SHSAX( + q31_t x, + q31_t y) + { + + q31_t sum; + q31_t r, s; + + r = (short) x; + s = (short) y; + + r = ((r >> 1) + (y >> 17)); + s = (((x >> 17) - (s >> 1)) << 16); + + sum = (s & 0xFFFF0000) | (r & 0x0000FFFF); + + return sum; + } + + /* + * @brief C custom defined SMUSDX for M3 and M0 processors + */ + static __INLINE q31_t __SMUSDX( + q31_t x, + q31_t y) + { + + return ((q31_t) (((short) x * (short) (y >> 16)) - + ((short) (x >> 16) * (short) y))); + } + + /* + * @brief C custom defined SMUADX for M3 and M0 processors + */ + static __INLINE q31_t __SMUADX( + q31_t x, + q31_t y) + { + + return ((q31_t) (((short) x * (short) (y >> 16)) + + ((short) (x >> 16) * (short) y))); + } + + /* + * @brief C custom defined QADD for M3 and M0 processors + */ + static __INLINE q31_t __QADD( + q31_t x, + q31_t y) + { + return clip_q63_to_q31((q63_t) x + y); + } + + /* + * @brief C custom defined QSUB for M3 and M0 processors + */ + static __INLINE q31_t __QSUB( + q31_t x, + q31_t y) + { + return clip_q63_to_q31((q63_t) x - y); + } + + /* + * @brief C custom defined SMLAD for M3 and M0 processors + */ + static __INLINE q31_t __SMLAD( + q31_t x, + q31_t y, + q31_t sum) + { + + return (sum + ((short) (x >> 16) * (short) (y >> 16)) + + ((short) x * (short) y)); + } + + /* + * @brief C custom defined SMLADX for M3 and M0 processors + */ + static __INLINE q31_t __SMLADX( + q31_t x, + q31_t y, + q31_t sum) + { + + return (sum + ((short) (x >> 16) * (short) (y)) + + ((short) x * (short) (y >> 16))); + } + + /* + * @brief C custom defined SMLSDX for M3 and M0 processors + */ + static __INLINE q31_t __SMLSDX( + q31_t x, + q31_t y, + q31_t sum) + { + + return (sum - ((short) (x >> 16) * (short) (y)) + + ((short) x * (short) (y >> 16))); + } + + /* + * @brief C custom defined SMLALD for M3 and M0 processors + */ + static __INLINE q63_t __SMLALD( + q31_t x, + q31_t y, + q63_t sum) + { + + return (sum + ((short) (x >> 16) * (short) (y >> 16)) + + ((short) x * (short) y)); + } + + /* + * @brief C custom defined SMLALDX for M3 and M0 processors + */ + static __INLINE q63_t __SMLALDX( + q31_t x, + q31_t y, + q63_t sum) + { + + return (sum + ((short) (x >> 16) * (short) y)) + + ((short) x * (short) (y >> 16)); + } + + /* + * @brief C custom defined SMUAD for M3 and M0 processors + */ + static __INLINE q31_t __SMUAD( + q31_t x, + q31_t y) + { + + return (((x >> 16) * (y >> 16)) + + (((x << 16) >> 16) * ((y << 16) >> 16))); + } + + /* + * @brief C custom defined SMUSD for M3 and M0 processors + */ + static __INLINE q31_t __SMUSD( + q31_t x, + q31_t y) + { + + return (-((x >> 16) * (y >> 16)) + + (((x << 16) >> 16) * ((y << 16) >> 16))); + } + + + /* + * @brief C custom defined SXTB16 for M3 and M0 processors + */ + static __INLINE q31_t __SXTB16( + q31_t x) + { + + return ((((x << 24) >> 24) & 0x0000FFFF) | + (((x << 8) >> 8) & 0xFFFF0000)); + } + + +#endif /* defined (ARM_MATH_CM3) || defined (ARM_MATH_CM0_FAMILY) */ + + + /** + * @brief Instance structure for the Q7 FIR filter. + */ + typedef struct + { + uint16_t numTaps; /**< number of filter coefficients in the filter. */ + q7_t *pState; /**< points to the state variable array. The array is of length numTaps+blockSize-1. */ + q7_t *pCoeffs; /**< points to the coefficient array. The array is of length numTaps.*/ + } arm_fir_instance_q7; + + /** + * @brief Instance structure for the Q15 FIR filter. + */ + typedef struct + { + uint16_t numTaps; /**< number of filter coefficients in the filter. */ + q15_t *pState; /**< points to the state variable array. The array is of length numTaps+blockSize-1. */ + q15_t *pCoeffs; /**< points to the coefficient array. The array is of length numTaps.*/ + } arm_fir_instance_q15; + + /** + * @brief Instance structure for the Q31 FIR filter. + */ + typedef struct + { + uint16_t numTaps; /**< number of filter coefficients in the filter. */ + q31_t *pState; /**< points to the state variable array. The array is of length numTaps+blockSize-1. */ + q31_t *pCoeffs; /**< points to the coefficient array. The array is of length numTaps. */ + } arm_fir_instance_q31; + + /** + * @brief Instance structure for the floating-point FIR filter. + */ + typedef struct + { + uint16_t numTaps; /**< number of filter coefficients in the filter. */ + float32_t *pState; /**< points to the state variable array. The array is of length numTaps+blockSize-1. */ + float32_t *pCoeffs; /**< points to the coefficient array. The array is of length numTaps. */ + } arm_fir_instance_f32; + + + /** + * @brief Processing function for the Q7 FIR filter. + * @param[in] *S points to an instance of the Q7 FIR filter structure. + * @param[in] *pSrc points to the block of input data. + * @param[out] *pDst points to the block of output data. + * @param[in] blockSize number of samples to process. + * @return none. + */ + void arm_fir_q7( + const arm_fir_instance_q7 * S, + q7_t * pSrc, + q7_t * pDst, + uint32_t blockSize); + + + /** + * @brief Initialization function for the Q7 FIR filter. + * @param[in,out] *S points to an instance of the Q7 FIR structure. + * @param[in] numTaps Number of filter coefficients in the filter. + * @param[in] *pCoeffs points to the filter coefficients. + * @param[in] *pState points to the state buffer. + * @param[in] blockSize number of samples that are processed. + * @return none + */ + void arm_fir_init_q7( + arm_fir_instance_q7 * S, + uint16_t numTaps, + q7_t * pCoeffs, + q7_t * pState, + uint32_t blockSize); + + + /** + * @brief Processing function for the Q15 FIR filter. + * @param[in] *S points to an instance of the Q15 FIR structure. + * @param[in] *pSrc points to the block of input data. + * @param[out] *pDst points to the block of output data. + * @param[in] blockSize number of samples to process. + * @return none. + */ + void arm_fir_q15( + const arm_fir_instance_q15 * S, + q15_t * pSrc, + q15_t * pDst, + uint32_t blockSize); + + /** + * @brief Processing function for the fast Q15 FIR filter for Cortex-M3 and Cortex-M4. + * @param[in] *S points to an instance of the Q15 FIR filter structure. + * @param[in] *pSrc points to the block of input data. + * @param[out] *pDst points to the block of output data. + * @param[in] blockSize number of samples to process. + * @return none. + */ + void arm_fir_fast_q15( + const arm_fir_instance_q15 * S, + q15_t * pSrc, + q15_t * pDst, + uint32_t blockSize); + + /** + * @brief Initialization function for the Q15 FIR filter. + * @param[in,out] *S points to an instance of the Q15 FIR filter structure. + * @param[in] numTaps Number of filter coefficients in the filter. Must be even and greater than or equal to 4. + * @param[in] *pCoeffs points to the filter coefficients. + * @param[in] *pState points to the state buffer. + * @param[in] blockSize number of samples that are processed at a time. + * @return The function returns ARM_MATH_SUCCESS if initialization was successful or ARM_MATH_ARGUMENT_ERROR if + * numTaps is not a supported value. + */ + + arm_status arm_fir_init_q15( + arm_fir_instance_q15 * S, + uint16_t numTaps, + q15_t * pCoeffs, + q15_t * pState, + uint32_t blockSize); + + /** + * @brief Processing function for the Q31 FIR filter. + * @param[in] *S points to an instance of the Q31 FIR filter structure. + * @param[in] *pSrc points to the block of input data. + * @param[out] *pDst points to the block of output data. + * @param[in] blockSize number of samples to process. + * @return none. + */ + void arm_fir_q31( + const arm_fir_instance_q31 * S, + q31_t * pSrc, + q31_t * pDst, + uint32_t blockSize); + + /** + * @brief Processing function for the fast Q31 FIR filter for Cortex-M3 and Cortex-M4. + * @param[in] *S points to an instance of the Q31 FIR structure. + * @param[in] *pSrc points to the block of input data. + * @param[out] *pDst points to the block of output data. + * @param[in] blockSize number of samples to process. + * @return none. + */ + void arm_fir_fast_q31( + const arm_fir_instance_q31 * S, + q31_t * pSrc, + q31_t * pDst, + uint32_t blockSize); + + /** + * @brief Initialization function for the Q31 FIR filter. + * @param[in,out] *S points to an instance of the Q31 FIR structure. + * @param[in] numTaps Number of filter coefficients in the filter. + * @param[in] *pCoeffs points to the filter coefficients. + * @param[in] *pState points to the state buffer. + * @param[in] blockSize number of samples that are processed at a time. + * @return none. + */ + void arm_fir_init_q31( + arm_fir_instance_q31 * S, + uint16_t numTaps, + q31_t * pCoeffs, + q31_t * pState, + uint32_t blockSize); + + /** + * @brief Processing function for the floating-point FIR filter. + * @param[in] *S points to an instance of the floating-point FIR structure. + * @param[in] *pSrc points to the block of input data. + * @param[out] *pDst points to the block of output data. + * @param[in] blockSize number of samples to process. + * @return none. + */ + void arm_fir_f32( + const arm_fir_instance_f32 * S, + float32_t * pSrc, + float32_t * pDst, + uint32_t blockSize); + + /** + * @brief Initialization function for the floating-point FIR filter. + * @param[in,out] *S points to an instance of the floating-point FIR filter structure. + * @param[in] numTaps Number of filter coefficients in the filter. + * @param[in] *pCoeffs points to the filter coefficients. + * @param[in] *pState points to the state buffer. + * @param[in] blockSize number of samples that are processed at a time. + * @return none. + */ + void arm_fir_init_f32( + arm_fir_instance_f32 * S, + uint16_t numTaps, + float32_t * pCoeffs, + float32_t * pState, + uint32_t blockSize); + + + /** + * @brief Instance structure for the Q15 Biquad cascade filter. + */ + typedef struct + { + int8_t numStages; /**< number of 2nd order stages in the filter. Overall order is 2*numStages. */ + q15_t *pState; /**< Points to the array of state coefficients. The array is of length 4*numStages. */ + q15_t *pCoeffs; /**< Points to the array of coefficients. The array is of length 5*numStages. */ + int8_t postShift; /**< Additional shift, in bits, applied to each output sample. */ + + } arm_biquad_casd_df1_inst_q15; + + + /** + * @brief Instance structure for the Q31 Biquad cascade filter. + */ + typedef struct + { + uint32_t numStages; /**< number of 2nd order stages in the filter. Overall order is 2*numStages. */ + q31_t *pState; /**< Points to the array of state coefficients. The array is of length 4*numStages. */ + q31_t *pCoeffs; /**< Points to the array of coefficients. The array is of length 5*numStages. */ + uint8_t postShift; /**< Additional shift, in bits, applied to each output sample. */ + + } arm_biquad_casd_df1_inst_q31; + + /** + * @brief Instance structure for the floating-point Biquad cascade filter. + */ + typedef struct + { + uint32_t numStages; /**< number of 2nd order stages in the filter. Overall order is 2*numStages. */ + float32_t *pState; /**< Points to the array of state coefficients. The array is of length 4*numStages. */ + float32_t *pCoeffs; /**< Points to the array of coefficients. The array is of length 5*numStages. */ + + + } arm_biquad_casd_df1_inst_f32; + + + + /** + * @brief Processing function for the Q15 Biquad cascade filter. + * @param[in] *S points to an instance of the Q15 Biquad cascade structure. + * @param[in] *pSrc points to the block of input data. + * @param[out] *pDst points to the block of output data. + * @param[in] blockSize number of samples to process. + * @return none. + */ + + void arm_biquad_cascade_df1_q15( + const arm_biquad_casd_df1_inst_q15 * S, + q15_t * pSrc, + q15_t * pDst, + uint32_t blockSize); + + /** + * @brief Initialization function for the Q15 Biquad cascade filter. + * @param[in,out] *S points to an instance of the Q15 Biquad cascade structure. + * @param[in] numStages number of 2nd order stages in the filter. + * @param[in] *pCoeffs points to the filter coefficients. + * @param[in] *pState points to the state buffer. + * @param[in] postShift Shift to be applied to the output. Varies according to the coefficients format + * @return none + */ + + void arm_biquad_cascade_df1_init_q15( + arm_biquad_casd_df1_inst_q15 * S, + uint8_t numStages, + q15_t * pCoeffs, + q15_t * pState, + int8_t postShift); + + + /** + * @brief Fast but less precise processing function for the Q15 Biquad cascade filter for Cortex-M3 and Cortex-M4. + * @param[in] *S points to an instance of the Q15 Biquad cascade structure. + * @param[in] *pSrc points to the block of input data. + * @param[out] *pDst points to the block of output data. + * @param[in] blockSize number of samples to process. + * @return none. + */ + + void arm_biquad_cascade_df1_fast_q15( + const arm_biquad_casd_df1_inst_q15 * S, + q15_t * pSrc, + q15_t * pDst, + uint32_t blockSize); + + + /** + * @brief Processing function for the Q31 Biquad cascade filter + * @param[in] *S points to an instance of the Q31 Biquad cascade structure. + * @param[in] *pSrc points to the block of input data. + * @param[out] *pDst points to the block of output data. + * @param[in] blockSize number of samples to process. + * @return none. + */ + + void arm_biquad_cascade_df1_q31( + const arm_biquad_casd_df1_inst_q31 * S, + q31_t * pSrc, + q31_t * pDst, + uint32_t blockSize); + + /** + * @brief Fast but less precise processing function for the Q31 Biquad cascade filter for Cortex-M3 and Cortex-M4. + * @param[in] *S points to an instance of the Q31 Biquad cascade structure. + * @param[in] *pSrc points to the block of input data. + * @param[out] *pDst points to the block of output data. + * @param[in] blockSize number of samples to process. + * @return none. + */ + + void arm_biquad_cascade_df1_fast_q31( + const arm_biquad_casd_df1_inst_q31 * S, + q31_t * pSrc, + q31_t * pDst, + uint32_t blockSize); + + /** + * @brief Initialization function for the Q31 Biquad cascade filter. + * @param[in,out] *S points to an instance of the Q31 Biquad cascade structure. + * @param[in] numStages number of 2nd order stages in the filter. + * @param[in] *pCoeffs points to the filter coefficients. + * @param[in] *pState points to the state buffer. + * @param[in] postShift Shift to be applied to the output. Varies according to the coefficients format + * @return none + */ + + void arm_biquad_cascade_df1_init_q31( + arm_biquad_casd_df1_inst_q31 * S, + uint8_t numStages, + q31_t * pCoeffs, + q31_t * pState, + int8_t postShift); + + /** + * @brief Processing function for the floating-point Biquad cascade filter. + * @param[in] *S points to an instance of the floating-point Biquad cascade structure. + * @param[in] *pSrc points to the block of input data. + * @param[out] *pDst points to the block of output data. + * @param[in] blockSize number of samples to process. + * @return none. + */ + + void arm_biquad_cascade_df1_f32( + const arm_biquad_casd_df1_inst_f32 * S, + float32_t * pSrc, + float32_t * pDst, + uint32_t blockSize); + + /** + * @brief Initialization function for the floating-point Biquad cascade filter. + * @param[in,out] *S points to an instance of the floating-point Biquad cascade structure. + * @param[in] numStages number of 2nd order stages in the filter. + * @param[in] *pCoeffs points to the filter coefficients. + * @param[in] *pState points to the state buffer. + * @return none + */ + + void arm_biquad_cascade_df1_init_f32( + arm_biquad_casd_df1_inst_f32 * S, + uint8_t numStages, + float32_t * pCoeffs, + float32_t * pState); + + + /** + * @brief Instance structure for the floating-point matrix structure. + */ + + typedef struct + { + uint16_t numRows; /**< number of rows of the matrix. */ + uint16_t numCols; /**< number of columns of the matrix. */ + float32_t *pData; /**< points to the data of the matrix. */ + } arm_matrix_instance_f32; + + /** + * @brief Instance structure for the Q15 matrix structure. + */ + + typedef struct + { + uint16_t numRows; /**< number of rows of the matrix. */ + uint16_t numCols; /**< number of columns of the matrix. */ + q15_t *pData; /**< points to the data of the matrix. */ + + } arm_matrix_instance_q15; + + /** + * @brief Instance structure for the Q31 matrix structure. + */ + + typedef struct + { + uint16_t numRows; /**< number of rows of the matrix. */ + uint16_t numCols; /**< number of columns of the matrix. */ + q31_t *pData; /**< points to the data of the matrix. */ + + } arm_matrix_instance_q31; + + + + /** + * @brief Floating-point matrix addition. + * @param[in] *pSrcA points to the first input matrix structure + * @param[in] *pSrcB points to the second input matrix structure + * @param[out] *pDst points to output matrix structure + * @return The function returns either + * ARM_MATH_SIZE_MISMATCH or ARM_MATH_SUCCESS based on the outcome of size checking. + */ + + arm_status arm_mat_add_f32( + const arm_matrix_instance_f32 * pSrcA, + const arm_matrix_instance_f32 * pSrcB, + arm_matrix_instance_f32 * pDst); + + /** + * @brief Q15 matrix addition. + * @param[in] *pSrcA points to the first input matrix structure + * @param[in] *pSrcB points to the second input matrix structure + * @param[out] *pDst points to output matrix structure + * @return The function returns either + * ARM_MATH_SIZE_MISMATCH or ARM_MATH_SUCCESS based on the outcome of size checking. + */ + + arm_status arm_mat_add_q15( + const arm_matrix_instance_q15 * pSrcA, + const arm_matrix_instance_q15 * pSrcB, + arm_matrix_instance_q15 * pDst); + + /** + * @brief Q31 matrix addition. + * @param[in] *pSrcA points to the first input matrix structure + * @param[in] *pSrcB points to the second input matrix structure + * @param[out] *pDst points to output matrix structure + * @return The function returns either + * ARM_MATH_SIZE_MISMATCH or ARM_MATH_SUCCESS based on the outcome of size checking. + */ + + arm_status arm_mat_add_q31( + const arm_matrix_instance_q31 * pSrcA, + const arm_matrix_instance_q31 * pSrcB, + arm_matrix_instance_q31 * pDst); + + + /** + * @brief Floating-point matrix transpose. + * @param[in] *pSrc points to the input matrix + * @param[out] *pDst points to the output matrix + * @return The function returns either ARM_MATH_SIZE_MISMATCH + * or ARM_MATH_SUCCESS based on the outcome of size checking. + */ + + arm_status arm_mat_trans_f32( + const arm_matrix_instance_f32 * pSrc, + arm_matrix_instance_f32 * pDst); + + + /** + * @brief Q15 matrix transpose. + * @param[in] *pSrc points to the input matrix + * @param[out] *pDst points to the output matrix + * @return The function returns either ARM_MATH_SIZE_MISMATCH + * or ARM_MATH_SUCCESS based on the outcome of size checking. + */ + + arm_status arm_mat_trans_q15( + const arm_matrix_instance_q15 * pSrc, + arm_matrix_instance_q15 * pDst); + + /** + * @brief Q31 matrix transpose. + * @param[in] *pSrc points to the input matrix + * @param[out] *pDst points to the output matrix + * @return The function returns either ARM_MATH_SIZE_MISMATCH + * or ARM_MATH_SUCCESS based on the outcome of size checking. + */ + + arm_status arm_mat_trans_q31( + const arm_matrix_instance_q31 * pSrc, + arm_matrix_instance_q31 * pDst); + + + /** + * @brief Floating-point matrix multiplication + * @param[in] *pSrcA points to the first input matrix structure + * @param[in] *pSrcB points to the second input matrix structure + * @param[out] *pDst points to output matrix structure + * @return The function returns either + * ARM_MATH_SIZE_MISMATCH or ARM_MATH_SUCCESS based on the outcome of size checking. + */ + + arm_status arm_mat_mult_f32( + const arm_matrix_instance_f32 * pSrcA, + const arm_matrix_instance_f32 * pSrcB, + arm_matrix_instance_f32 * pDst); + + /** + * @brief Q15 matrix multiplication + * @param[in] *pSrcA points to the first input matrix structure + * @param[in] *pSrcB points to the second input matrix structure + * @param[out] *pDst points to output matrix structure + * @param[in] *pState points to the array for storing intermediate results + * @return The function returns either + * ARM_MATH_SIZE_MISMATCH or ARM_MATH_SUCCESS based on the outcome of size checking. + */ + + arm_status arm_mat_mult_q15( + const arm_matrix_instance_q15 * pSrcA, + const arm_matrix_instance_q15 * pSrcB, + arm_matrix_instance_q15 * pDst, + q15_t * pState); + + /** + * @brief Q15 matrix multiplication (fast variant) for Cortex-M3 and Cortex-M4 + * @param[in] *pSrcA points to the first input matrix structure + * @param[in] *pSrcB points to the second input matrix structure + * @param[out] *pDst points to output matrix structure + * @param[in] *pState points to the array for storing intermediate results + * @return The function returns either + * ARM_MATH_SIZE_MISMATCH or ARM_MATH_SUCCESS based on the outcome of size checking. + */ + + arm_status arm_mat_mult_fast_q15( + const arm_matrix_instance_q15 * pSrcA, + const arm_matrix_instance_q15 * pSrcB, + arm_matrix_instance_q15 * pDst, + q15_t * pState); + + /** + * @brief Q31 matrix multiplication + * @param[in] *pSrcA points to the first input matrix structure + * @param[in] *pSrcB points to the second input matrix structure + * @param[out] *pDst points to output matrix structure + * @return The function returns either + * ARM_MATH_SIZE_MISMATCH or ARM_MATH_SUCCESS based on the outcome of size checking. + */ + + arm_status arm_mat_mult_q31( + const arm_matrix_instance_q31 * pSrcA, + const arm_matrix_instance_q31 * pSrcB, + arm_matrix_instance_q31 * pDst); + + /** + * @brief Q31 matrix multiplication (fast variant) for Cortex-M3 and Cortex-M4 + * @param[in] *pSrcA points to the first input matrix structure + * @param[in] *pSrcB points to the second input matrix structure + * @param[out] *pDst points to output matrix structure + * @return The function returns either + * ARM_MATH_SIZE_MISMATCH or ARM_MATH_SUCCESS based on the outcome of size checking. + */ + + arm_status arm_mat_mult_fast_q31( + const arm_matrix_instance_q31 * pSrcA, + const arm_matrix_instance_q31 * pSrcB, + arm_matrix_instance_q31 * pDst); + + + /** + * @brief Floating-point matrix subtraction + * @param[in] *pSrcA points to the first input matrix structure + * @param[in] *pSrcB points to the second input matrix structure + * @param[out] *pDst points to output matrix structure + * @return The function returns either + * ARM_MATH_SIZE_MISMATCH or ARM_MATH_SUCCESS based on the outcome of size checking. + */ + + arm_status arm_mat_sub_f32( + const arm_matrix_instance_f32 * pSrcA, + const arm_matrix_instance_f32 * pSrcB, + arm_matrix_instance_f32 * pDst); + + /** + * @brief Q15 matrix subtraction + * @param[in] *pSrcA points to the first input matrix structure + * @param[in] *pSrcB points to the second input matrix structure + * @param[out] *pDst points to output matrix structure + * @return The function returns either + * ARM_MATH_SIZE_MISMATCH or ARM_MATH_SUCCESS based on the outcome of size checking. + */ + + arm_status arm_mat_sub_q15( + const arm_matrix_instance_q15 * pSrcA, + const arm_matrix_instance_q15 * pSrcB, + arm_matrix_instance_q15 * pDst); + + /** + * @brief Q31 matrix subtraction + * @param[in] *pSrcA points to the first input matrix structure + * @param[in] *pSrcB points to the second input matrix structure + * @param[out] *pDst points to output matrix structure + * @return The function returns either + * ARM_MATH_SIZE_MISMATCH or ARM_MATH_SUCCESS based on the outcome of size checking. + */ + + arm_status arm_mat_sub_q31( + const arm_matrix_instance_q31 * pSrcA, + const arm_matrix_instance_q31 * pSrcB, + arm_matrix_instance_q31 * pDst); + + /** + * @brief Floating-point matrix scaling. + * @param[in] *pSrc points to the input matrix + * @param[in] scale scale factor + * @param[out] *pDst points to the output matrix + * @return The function returns either + * ARM_MATH_SIZE_MISMATCH or ARM_MATH_SUCCESS based on the outcome of size checking. + */ + + arm_status arm_mat_scale_f32( + const arm_matrix_instance_f32 * pSrc, + float32_t scale, + arm_matrix_instance_f32 * pDst); + + /** + * @brief Q15 matrix scaling. + * @param[in] *pSrc points to input matrix + * @param[in] scaleFract fractional portion of the scale factor + * @param[in] shift number of bits to shift the result by + * @param[out] *pDst points to output matrix + * @return The function returns either + * ARM_MATH_SIZE_MISMATCH or ARM_MATH_SUCCESS based on the outcome of size checking. + */ + + arm_status arm_mat_scale_q15( + const arm_matrix_instance_q15 * pSrc, + q15_t scaleFract, + int32_t shift, + arm_matrix_instance_q15 * pDst); + + /** + * @brief Q31 matrix scaling. + * @param[in] *pSrc points to input matrix + * @param[in] scaleFract fractional portion of the scale factor + * @param[in] shift number of bits to shift the result by + * @param[out] *pDst points to output matrix structure + * @return The function returns either + * ARM_MATH_SIZE_MISMATCH or ARM_MATH_SUCCESS based on the outcome of size checking. + */ + + arm_status arm_mat_scale_q31( + const arm_matrix_instance_q31 * pSrc, + q31_t scaleFract, + int32_t shift, + arm_matrix_instance_q31 * pDst); + + + /** + * @brief Q31 matrix initialization. + * @param[in,out] *S points to an instance of the floating-point matrix structure. + * @param[in] nRows number of rows in the matrix. + * @param[in] nColumns number of columns in the matrix. + * @param[in] *pData points to the matrix data array. + * @return none + */ + + void arm_mat_init_q31( + arm_matrix_instance_q31 * S, + uint16_t nRows, + uint16_t nColumns, + q31_t * pData); + + /** + * @brief Q15 matrix initialization. + * @param[in,out] *S points to an instance of the floating-point matrix structure. + * @param[in] nRows number of rows in the matrix. + * @param[in] nColumns number of columns in the matrix. + * @param[in] *pData points to the matrix data array. + * @return none + */ + + void arm_mat_init_q15( + arm_matrix_instance_q15 * S, + uint16_t nRows, + uint16_t nColumns, + q15_t * pData); + + /** + * @brief Floating-point matrix initialization. + * @param[in,out] *S points to an instance of the floating-point matrix structure. + * @param[in] nRows number of rows in the matrix. + * @param[in] nColumns number of columns in the matrix. + * @param[in] *pData points to the matrix data array. + * @return none + */ + + void arm_mat_init_f32( + arm_matrix_instance_f32 * S, + uint16_t nRows, + uint16_t nColumns, + float32_t * pData); + + + + /** + * @brief Instance structure for the Q15 PID Control. + */ + typedef struct + { + q15_t A0; /**< The derived gain, A0 = Kp + Ki + Kd . */ +#ifdef ARM_MATH_CM0_FAMILY + q15_t A1; + q15_t A2; +#else + q31_t A1; /**< The derived gain A1 = -Kp - 2Kd | Kd.*/ +#endif + q15_t state[3]; /**< The state array of length 3. */ + q15_t Kp; /**< The proportional gain. */ + q15_t Ki; /**< The integral gain. */ + q15_t Kd; /**< The derivative gain. */ + } arm_pid_instance_q15; + + /** + * @brief Instance structure for the Q31 PID Control. + */ + typedef struct + { + q31_t A0; /**< The derived gain, A0 = Kp + Ki + Kd . */ + q31_t A1; /**< The derived gain, A1 = -Kp - 2Kd. */ + q31_t A2; /**< The derived gain, A2 = Kd . */ + q31_t state[3]; /**< The state array of length 3. */ + q31_t Kp; /**< The proportional gain. */ + q31_t Ki; /**< The integral gain. */ + q31_t Kd; /**< The derivative gain. */ + + } arm_pid_instance_q31; + + /** + * @brief Instance structure for the floating-point PID Control. + */ + typedef struct + { + float32_t A0; /**< The derived gain, A0 = Kp + Ki + Kd . */ + float32_t A1; /**< The derived gain, A1 = -Kp - 2Kd. */ + float32_t A2; /**< The derived gain, A2 = Kd . */ + float32_t state[3]; /**< The state array of length 3. */ + float32_t Kp; /**< The proportional gain. */ + float32_t Ki; /**< The integral gain. */ + float32_t Kd; /**< The derivative gain. */ + } arm_pid_instance_f32; + + + + /** + * @brief Initialization function for the floating-point PID Control. + * @param[in,out] *S points to an instance of the PID structure. + * @param[in] resetStateFlag flag to reset the state. 0 = no change in state 1 = reset the state. + * @return none. + */ + void arm_pid_init_f32( + arm_pid_instance_f32 * S, + int32_t resetStateFlag); + + /** + * @brief Reset function for the floating-point PID Control. + * @param[in,out] *S is an instance of the floating-point PID Control structure + * @return none + */ + void arm_pid_reset_f32( + arm_pid_instance_f32 * S); + + + /** + * @brief Initialization function for the Q31 PID Control. + * @param[in,out] *S points to an instance of the Q15 PID structure. + * @param[in] resetStateFlag flag to reset the state. 0 = no change in state 1 = reset the state. + * @return none. + */ + void arm_pid_init_q31( + arm_pid_instance_q31 * S, + int32_t resetStateFlag); + + + /** + * @brief Reset function for the Q31 PID Control. + * @param[in,out] *S points to an instance of the Q31 PID Control structure + * @return none + */ + + void arm_pid_reset_q31( + arm_pid_instance_q31 * S); + + /** + * @brief Initialization function for the Q15 PID Control. + * @param[in,out] *S points to an instance of the Q15 PID structure. + * @param[in] resetStateFlag flag to reset the state. 0 = no change in state 1 = reset the state. + * @return none. + */ + void arm_pid_init_q15( + arm_pid_instance_q15 * S, + int32_t resetStateFlag); + + /** + * @brief Reset function for the Q15 PID Control. + * @param[in,out] *S points to an instance of the q15 PID Control structure + * @return none + */ + void arm_pid_reset_q15( + arm_pid_instance_q15 * S); + + + /** + * @brief Instance structure for the floating-point Linear Interpolate function. + */ + typedef struct + { + uint32_t nValues; /**< nValues */ + float32_t x1; /**< x1 */ + float32_t xSpacing; /**< xSpacing */ + float32_t *pYData; /**< pointer to the table of Y values */ + } arm_linear_interp_instance_f32; + + /** + * @brief Instance structure for the floating-point bilinear interpolation function. + */ + + typedef struct + { + uint16_t numRows; /**< number of rows in the data table. */ + uint16_t numCols; /**< number of columns in the data table. */ + float32_t *pData; /**< points to the data table. */ + } arm_bilinear_interp_instance_f32; + + /** + * @brief Instance structure for the Q31 bilinear interpolation function. + */ + + typedef struct + { + uint16_t numRows; /**< number of rows in the data table. */ + uint16_t numCols; /**< number of columns in the data table. */ + q31_t *pData; /**< points to the data table. */ + } arm_bilinear_interp_instance_q31; + + /** + * @brief Instance structure for the Q15 bilinear interpolation function. + */ + + typedef struct + { + uint16_t numRows; /**< number of rows in the data table. */ + uint16_t numCols; /**< number of columns in the data table. */ + q15_t *pData; /**< points to the data table. */ + } arm_bilinear_interp_instance_q15; + + /** + * @brief Instance structure for the Q15 bilinear interpolation function. + */ + + typedef struct + { + uint16_t numRows; /**< number of rows in the data table. */ + uint16_t numCols; /**< number of columns in the data table. */ + q7_t *pData; /**< points to the data table. */ + } arm_bilinear_interp_instance_q7; + + + /** + * @brief Q7 vector multiplication. + * @param[in] *pSrcA points to the first input vector + * @param[in] *pSrcB points to the second input vector + * @param[out] *pDst points to the output vector + * @param[in] blockSize number of samples in each vector + * @return none. + */ + + void arm_mult_q7( + q7_t * pSrcA, + q7_t * pSrcB, + q7_t * pDst, + uint32_t blockSize); + + /** + * @brief Q15 vector multiplication. + * @param[in] *pSrcA points to the first input vector + * @param[in] *pSrcB points to the second input vector + * @param[out] *pDst points to the output vector + * @param[in] blockSize number of samples in each vector + * @return none. + */ + + void arm_mult_q15( + q15_t * pSrcA, + q15_t * pSrcB, + q15_t * pDst, + uint32_t blockSize); + + /** + * @brief Q31 vector multiplication. + * @param[in] *pSrcA points to the first input vector + * @param[in] *pSrcB points to the second input vector + * @param[out] *pDst points to the output vector + * @param[in] blockSize number of samples in each vector + * @return none. + */ + + void arm_mult_q31( + q31_t * pSrcA, + q31_t * pSrcB, + q31_t * pDst, + uint32_t blockSize); + + /** + * @brief Floating-point vector multiplication. + * @param[in] *pSrcA points to the first input vector + * @param[in] *pSrcB points to the second input vector + * @param[out] *pDst points to the output vector + * @param[in] blockSize number of samples in each vector + * @return none. + */ + + void arm_mult_f32( + float32_t * pSrcA, + float32_t * pSrcB, + float32_t * pDst, + uint32_t blockSize); + + + + + + + /** + * @brief Instance structure for the Q15 CFFT/CIFFT function. + */ + + typedef struct + { + uint16_t fftLen; /**< length of the FFT. */ + uint8_t ifftFlag; /**< flag that selects forward (ifftFlag=0) or inverse (ifftFlag=1) transform. */ + uint8_t bitReverseFlag; /**< flag that enables (bitReverseFlag=1) or disables (bitReverseFlag=0) bit reversal of output. */ + q15_t *pTwiddle; /**< points to the Sin twiddle factor table. */ + uint16_t *pBitRevTable; /**< points to the bit reversal table. */ + uint16_t twidCoefModifier; /**< twiddle coefficient modifier that supports different size FFTs with the same twiddle factor table. */ + uint16_t bitRevFactor; /**< bit reversal modifier that supports different size FFTs with the same bit reversal table. */ + } arm_cfft_radix2_instance_q15; + + arm_status arm_cfft_radix2_init_q15( + arm_cfft_radix2_instance_q15 * S, + uint16_t fftLen, + uint8_t ifftFlag, + uint8_t bitReverseFlag); + + void arm_cfft_radix2_q15( + const arm_cfft_radix2_instance_q15 * S, + q15_t * pSrc); + + + + /** + * @brief Instance structure for the Q15 CFFT/CIFFT function. + */ + + typedef struct + { + uint16_t fftLen; /**< length of the FFT. */ + uint8_t ifftFlag; /**< flag that selects forward (ifftFlag=0) or inverse (ifftFlag=1) transform. */ + uint8_t bitReverseFlag; /**< flag that enables (bitReverseFlag=1) or disables (bitReverseFlag=0) bit reversal of output. */ + q15_t *pTwiddle; /**< points to the twiddle factor table. */ + uint16_t *pBitRevTable; /**< points to the bit reversal table. */ + uint16_t twidCoefModifier; /**< twiddle coefficient modifier that supports different size FFTs with the same twiddle factor table. */ + uint16_t bitRevFactor; /**< bit reversal modifier that supports different size FFTs with the same bit reversal table. */ + } arm_cfft_radix4_instance_q15; + + arm_status arm_cfft_radix4_init_q15( + arm_cfft_radix4_instance_q15 * S, + uint16_t fftLen, + uint8_t ifftFlag, + uint8_t bitReverseFlag); + + void arm_cfft_radix4_q15( + const arm_cfft_radix4_instance_q15 * S, + q15_t * pSrc); + + /** + * @brief Instance structure for the Radix-2 Q31 CFFT/CIFFT function. + */ + + typedef struct + { + uint16_t fftLen; /**< length of the FFT. */ + uint8_t ifftFlag; /**< flag that selects forward (ifftFlag=0) or inverse (ifftFlag=1) transform. */ + uint8_t bitReverseFlag; /**< flag that enables (bitReverseFlag=1) or disables (bitReverseFlag=0) bit reversal of output. */ + q31_t *pTwiddle; /**< points to the Twiddle factor table. */ + uint16_t *pBitRevTable; /**< points to the bit reversal table. */ + uint16_t twidCoefModifier; /**< twiddle coefficient modifier that supports different size FFTs with the same twiddle factor table. */ + uint16_t bitRevFactor; /**< bit reversal modifier that supports different size FFTs with the same bit reversal table. */ + } arm_cfft_radix2_instance_q31; + + arm_status arm_cfft_radix2_init_q31( + arm_cfft_radix2_instance_q31 * S, + uint16_t fftLen, + uint8_t ifftFlag, + uint8_t bitReverseFlag); + + void arm_cfft_radix2_q31( + const arm_cfft_radix2_instance_q31 * S, + q31_t * pSrc); + + /** + * @brief Instance structure for the Q31 CFFT/CIFFT function. + */ + + typedef struct + { + uint16_t fftLen; /**< length of the FFT. */ + uint8_t ifftFlag; /**< flag that selects forward (ifftFlag=0) or inverse (ifftFlag=1) transform. */ + uint8_t bitReverseFlag; /**< flag that enables (bitReverseFlag=1) or disables (bitReverseFlag=0) bit reversal of output. */ + q31_t *pTwiddle; /**< points to the twiddle factor table. */ + uint16_t *pBitRevTable; /**< points to the bit reversal table. */ + uint16_t twidCoefModifier; /**< twiddle coefficient modifier that supports different size FFTs with the same twiddle factor table. */ + uint16_t bitRevFactor; /**< bit reversal modifier that supports different size FFTs with the same bit reversal table. */ + } arm_cfft_radix4_instance_q31; + + + void arm_cfft_radix4_q31( + const arm_cfft_radix4_instance_q31 * S, + q31_t * pSrc); + + arm_status arm_cfft_radix4_init_q31( + arm_cfft_radix4_instance_q31 * S, + uint16_t fftLen, + uint8_t ifftFlag, + uint8_t bitReverseFlag); + + /** + * @brief Instance structure for the floating-point CFFT/CIFFT function. + */ + + typedef struct + { + uint16_t fftLen; /**< length of the FFT. */ + uint8_t ifftFlag; /**< flag that selects forward (ifftFlag=0) or inverse (ifftFlag=1) transform. */ + uint8_t bitReverseFlag; /**< flag that enables (bitReverseFlag=1) or disables (bitReverseFlag=0) bit reversal of output. */ + float32_t *pTwiddle; /**< points to the Twiddle factor table. */ + uint16_t *pBitRevTable; /**< points to the bit reversal table. */ + uint16_t twidCoefModifier; /**< twiddle coefficient modifier that supports different size FFTs with the same twiddle factor table. */ + uint16_t bitRevFactor; /**< bit reversal modifier that supports different size FFTs with the same bit reversal table. */ + float32_t onebyfftLen; /**< value of 1/fftLen. */ + } arm_cfft_radix2_instance_f32; + +/* Deprecated */ + arm_status arm_cfft_radix2_init_f32( + arm_cfft_radix2_instance_f32 * S, + uint16_t fftLen, + uint8_t ifftFlag, + uint8_t bitReverseFlag); + +/* Deprecated */ + void arm_cfft_radix2_f32( + const arm_cfft_radix2_instance_f32 * S, + float32_t * pSrc); + + /** + * @brief Instance structure for the floating-point CFFT/CIFFT function. + */ + + typedef struct + { + uint16_t fftLen; /**< length of the FFT. */ + uint8_t ifftFlag; /**< flag that selects forward (ifftFlag=0) or inverse (ifftFlag=1) transform. */ + uint8_t bitReverseFlag; /**< flag that enables (bitReverseFlag=1) or disables (bitReverseFlag=0) bit reversal of output. */ + float32_t *pTwiddle; /**< points to the Twiddle factor table. */ + uint16_t *pBitRevTable; /**< points to the bit reversal table. */ + uint16_t twidCoefModifier; /**< twiddle coefficient modifier that supports different size FFTs with the same twiddle factor table. */ + uint16_t bitRevFactor; /**< bit reversal modifier that supports different size FFTs with the same bit reversal table. */ + float32_t onebyfftLen; /**< value of 1/fftLen. */ + } arm_cfft_radix4_instance_f32; + +/* Deprecated */ + arm_status arm_cfft_radix4_init_f32( + arm_cfft_radix4_instance_f32 * S, + uint16_t fftLen, + uint8_t ifftFlag, + uint8_t bitReverseFlag); + +/* Deprecated */ + void arm_cfft_radix4_f32( + const arm_cfft_radix4_instance_f32 * S, + float32_t * pSrc); + + /** + * @brief Instance structure for the floating-point CFFT/CIFFT function. + */ + + typedef struct + { + uint16_t fftLen; /**< length of the FFT. */ + const float32_t *pTwiddle; /**< points to the Twiddle factor table. */ + const uint16_t *pBitRevTable; /**< points to the bit reversal table. */ + uint16_t bitRevLength; /**< bit reversal table length. */ + } arm_cfft_instance_f32; + + void arm_cfft_f32( + const arm_cfft_instance_f32 * S, + float32_t * p1, + uint8_t ifftFlag, + uint8_t bitReverseFlag); + + /** + * @brief Instance structure for the Q15 RFFT/RIFFT function. + */ + + typedef struct + { + uint32_t fftLenReal; /**< length of the real FFT. */ + uint32_t fftLenBy2; /**< length of the complex FFT. */ + uint8_t ifftFlagR; /**< flag that selects forward (ifftFlagR=0) or inverse (ifftFlagR=1) transform. */ + uint8_t bitReverseFlagR; /**< flag that enables (bitReverseFlagR=1) or disables (bitReverseFlagR=0) bit reversal of output. */ + uint32_t twidCoefRModifier; /**< twiddle coefficient modifier that supports different size FFTs with the same twiddle factor table. */ + q15_t *pTwiddleAReal; /**< points to the real twiddle factor table. */ + q15_t *pTwiddleBReal; /**< points to the imag twiddle factor table. */ + arm_cfft_radix4_instance_q15 *pCfft; /**< points to the complex FFT instance. */ + } arm_rfft_instance_q15; + + arm_status arm_rfft_init_q15( + arm_rfft_instance_q15 * S, + arm_cfft_radix4_instance_q15 * S_CFFT, + uint32_t fftLenReal, + uint32_t ifftFlagR, + uint32_t bitReverseFlag); + + void arm_rfft_q15( + const arm_rfft_instance_q15 * S, + q15_t * pSrc, + q15_t * pDst); + + /** + * @brief Instance structure for the Q31 RFFT/RIFFT function. + */ + + typedef struct + { + uint32_t fftLenReal; /**< length of the real FFT. */ + uint32_t fftLenBy2; /**< length of the complex FFT. */ + uint8_t ifftFlagR; /**< flag that selects forward (ifftFlagR=0) or inverse (ifftFlagR=1) transform. */ + uint8_t bitReverseFlagR; /**< flag that enables (bitReverseFlagR=1) or disables (bitReverseFlagR=0) bit reversal of output. */ + uint32_t twidCoefRModifier; /**< twiddle coefficient modifier that supports different size FFTs with the same twiddle factor table. */ + q31_t *pTwiddleAReal; /**< points to the real twiddle factor table. */ + q31_t *pTwiddleBReal; /**< points to the imag twiddle factor table. */ + arm_cfft_radix4_instance_q31 *pCfft; /**< points to the complex FFT instance. */ + } arm_rfft_instance_q31; + + arm_status arm_rfft_init_q31( + arm_rfft_instance_q31 * S, + arm_cfft_radix4_instance_q31 * S_CFFT, + uint32_t fftLenReal, + uint32_t ifftFlagR, + uint32_t bitReverseFlag); + + void arm_rfft_q31( + const arm_rfft_instance_q31 * S, + q31_t * pSrc, + q31_t * pDst); + + /** + * @brief Instance structure for the floating-point RFFT/RIFFT function. + */ + + typedef struct + { + uint32_t fftLenReal; /**< length of the real FFT. */ + uint16_t fftLenBy2; /**< length of the complex FFT. */ + uint8_t ifftFlagR; /**< flag that selects forward (ifftFlagR=0) or inverse (ifftFlagR=1) transform. */ + uint8_t bitReverseFlagR; /**< flag that enables (bitReverseFlagR=1) or disables (bitReverseFlagR=0) bit reversal of output. */ + uint32_t twidCoefRModifier; /**< twiddle coefficient modifier that supports different size FFTs with the same twiddle factor table. */ + float32_t *pTwiddleAReal; /**< points to the real twiddle factor table. */ + float32_t *pTwiddleBReal; /**< points to the imag twiddle factor table. */ + arm_cfft_radix4_instance_f32 *pCfft; /**< points to the complex FFT instance. */ + } arm_rfft_instance_f32; + + arm_status arm_rfft_init_f32( + arm_rfft_instance_f32 * S, + arm_cfft_radix4_instance_f32 * S_CFFT, + uint32_t fftLenReal, + uint32_t ifftFlagR, + uint32_t bitReverseFlag); + + void arm_rfft_f32( + const arm_rfft_instance_f32 * S, + float32_t * pSrc, + float32_t * pDst); + + /** + * @brief Instance structure for the floating-point RFFT/RIFFT function. + */ + +typedef struct + { + arm_cfft_instance_f32 Sint; /**< Internal CFFT structure. */ + uint16_t fftLenRFFT; /**< length of the real sequence */ + float32_t * pTwiddleRFFT; /**< Twiddle factors real stage */ + } arm_rfft_fast_instance_f32 ; + +arm_status arm_rfft_fast_init_f32 ( + arm_rfft_fast_instance_f32 * S, + uint16_t fftLen); + +void arm_rfft_fast_f32( + arm_rfft_fast_instance_f32 * S, + float32_t * p, float32_t * pOut, + uint8_t ifftFlag); + + /** + * @brief Instance structure for the floating-point DCT4/IDCT4 function. + */ + + typedef struct + { + uint16_t N; /**< length of the DCT4. */ + uint16_t Nby2; /**< half of the length of the DCT4. */ + float32_t normalize; /**< normalizing factor. */ + float32_t *pTwiddle; /**< points to the twiddle factor table. */ + float32_t *pCosFactor; /**< points to the cosFactor table. */ + arm_rfft_instance_f32 *pRfft; /**< points to the real FFT instance. */ + arm_cfft_radix4_instance_f32 *pCfft; /**< points to the complex FFT instance. */ + } arm_dct4_instance_f32; + + /** + * @brief Initialization function for the floating-point DCT4/IDCT4. + * @param[in,out] *S points to an instance of floating-point DCT4/IDCT4 structure. + * @param[in] *S_RFFT points to an instance of floating-point RFFT/RIFFT structure. + * @param[in] *S_CFFT points to an instance of floating-point CFFT/CIFFT structure. + * @param[in] N length of the DCT4. + * @param[in] Nby2 half of the length of the DCT4. + * @param[in] normalize normalizing factor. + * @return arm_status function returns ARM_MATH_SUCCESS if initialization is successful or ARM_MATH_ARGUMENT_ERROR if fftLenReal is not a supported transform length. + */ + + arm_status arm_dct4_init_f32( + arm_dct4_instance_f32 * S, + arm_rfft_instance_f32 * S_RFFT, + arm_cfft_radix4_instance_f32 * S_CFFT, + uint16_t N, + uint16_t Nby2, + float32_t normalize); + + /** + * @brief Processing function for the floating-point DCT4/IDCT4. + * @param[in] *S points to an instance of the floating-point DCT4/IDCT4 structure. + * @param[in] *pState points to state buffer. + * @param[in,out] *pInlineBuffer points to the in-place input and output buffer. + * @return none. + */ + + void arm_dct4_f32( + const arm_dct4_instance_f32 * S, + float32_t * pState, + float32_t * pInlineBuffer); + + /** + * @brief Instance structure for the Q31 DCT4/IDCT4 function. + */ + + typedef struct + { + uint16_t N; /**< length of the DCT4. */ + uint16_t Nby2; /**< half of the length of the DCT4. */ + q31_t normalize; /**< normalizing factor. */ + q31_t *pTwiddle; /**< points to the twiddle factor table. */ + q31_t *pCosFactor; /**< points to the cosFactor table. */ + arm_rfft_instance_q31 *pRfft; /**< points to the real FFT instance. */ + arm_cfft_radix4_instance_q31 *pCfft; /**< points to the complex FFT instance. */ + } arm_dct4_instance_q31; + + /** + * @brief Initialization function for the Q31 DCT4/IDCT4. + * @param[in,out] *S points to an instance of Q31 DCT4/IDCT4 structure. + * @param[in] *S_RFFT points to an instance of Q31 RFFT/RIFFT structure + * @param[in] *S_CFFT points to an instance of Q31 CFFT/CIFFT structure + * @param[in] N length of the DCT4. + * @param[in] Nby2 half of the length of the DCT4. + * @param[in] normalize normalizing factor. + * @return arm_status function returns ARM_MATH_SUCCESS if initialization is successful or ARM_MATH_ARGUMENT_ERROR if N is not a supported transform length. + */ + + arm_status arm_dct4_init_q31( + arm_dct4_instance_q31 * S, + arm_rfft_instance_q31 * S_RFFT, + arm_cfft_radix4_instance_q31 * S_CFFT, + uint16_t N, + uint16_t Nby2, + q31_t normalize); + + /** + * @brief Processing function for the Q31 DCT4/IDCT4. + * @param[in] *S points to an instance of the Q31 DCT4 structure. + * @param[in] *pState points to state buffer. + * @param[in,out] *pInlineBuffer points to the in-place input and output buffer. + * @return none. + */ + + void arm_dct4_q31( + const arm_dct4_instance_q31 * S, + q31_t * pState, + q31_t * pInlineBuffer); + + /** + * @brief Instance structure for the Q15 DCT4/IDCT4 function. + */ + + typedef struct + { + uint16_t N; /**< length of the DCT4. */ + uint16_t Nby2; /**< half of the length of the DCT4. */ + q15_t normalize; /**< normalizing factor. */ + q15_t *pTwiddle; /**< points to the twiddle factor table. */ + q15_t *pCosFactor; /**< points to the cosFactor table. */ + arm_rfft_instance_q15 *pRfft; /**< points to the real FFT instance. */ + arm_cfft_radix4_instance_q15 *pCfft; /**< points to the complex FFT instance. */ + } arm_dct4_instance_q15; + + /** + * @brief Initialization function for the Q15 DCT4/IDCT4. + * @param[in,out] *S points to an instance of Q15 DCT4/IDCT4 structure. + * @param[in] *S_RFFT points to an instance of Q15 RFFT/RIFFT structure. + * @param[in] *S_CFFT points to an instance of Q15 CFFT/CIFFT structure. + * @param[in] N length of the DCT4. + * @param[in] Nby2 half of the length of the DCT4. + * @param[in] normalize normalizing factor. + * @return arm_status function returns ARM_MATH_SUCCESS if initialization is successful or ARM_MATH_ARGUMENT_ERROR if N is not a supported transform length. + */ + + arm_status arm_dct4_init_q15( + arm_dct4_instance_q15 * S, + arm_rfft_instance_q15 * S_RFFT, + arm_cfft_radix4_instance_q15 * S_CFFT, + uint16_t N, + uint16_t Nby2, + q15_t normalize); + + /** + * @brief Processing function for the Q15 DCT4/IDCT4. + * @param[in] *S points to an instance of the Q15 DCT4 structure. + * @param[in] *pState points to state buffer. + * @param[in,out] *pInlineBuffer points to the in-place input and output buffer. + * @return none. + */ + + void arm_dct4_q15( + const arm_dct4_instance_q15 * S, + q15_t * pState, + q15_t * pInlineBuffer); + + /** + * @brief Floating-point vector addition. + * @param[in] *pSrcA points to the first input vector + * @param[in] *pSrcB points to the second input vector + * @param[out] *pDst points to the output vector + * @param[in] blockSize number of samples in each vector + * @return none. + */ + + void arm_add_f32( + float32_t * pSrcA, + float32_t * pSrcB, + float32_t * pDst, + uint32_t blockSize); + + /** + * @brief Q7 vector addition. + * @param[in] *pSrcA points to the first input vector + * @param[in] *pSrcB points to the second input vector + * @param[out] *pDst points to the output vector + * @param[in] blockSize number of samples in each vector + * @return none. + */ + + void arm_add_q7( + q7_t * pSrcA, + q7_t * pSrcB, + q7_t * pDst, + uint32_t blockSize); + + /** + * @brief Q15 vector addition. + * @param[in] *pSrcA points to the first input vector + * @param[in] *pSrcB points to the second input vector + * @param[out] *pDst points to the output vector + * @param[in] blockSize number of samples in each vector + * @return none. + */ + + void arm_add_q15( + q15_t * pSrcA, + q15_t * pSrcB, + q15_t * pDst, + uint32_t blockSize); + + /** + * @brief Q31 vector addition. + * @param[in] *pSrcA points to the first input vector + * @param[in] *pSrcB points to the second input vector + * @param[out] *pDst points to the output vector + * @param[in] blockSize number of samples in each vector + * @return none. + */ + + void arm_add_q31( + q31_t * pSrcA, + q31_t * pSrcB, + q31_t * pDst, + uint32_t blockSize); + + /** + * @brief Floating-point vector subtraction. + * @param[in] *pSrcA points to the first input vector + * @param[in] *pSrcB points to the second input vector + * @param[out] *pDst points to the output vector + * @param[in] blockSize number of samples in each vector + * @return none. + */ + + void arm_sub_f32( + float32_t * pSrcA, + float32_t * pSrcB, + float32_t * pDst, + uint32_t blockSize); + + /** + * @brief Q7 vector subtraction. + * @param[in] *pSrcA points to the first input vector + * @param[in] *pSrcB points to the second input vector + * @param[out] *pDst points to the output vector + * @param[in] blockSize number of samples in each vector + * @return none. + */ + + void arm_sub_q7( + q7_t * pSrcA, + q7_t * pSrcB, + q7_t * pDst, + uint32_t blockSize); + + /** + * @brief Q15 vector subtraction. + * @param[in] *pSrcA points to the first input vector + * @param[in] *pSrcB points to the second input vector + * @param[out] *pDst points to the output vector + * @param[in] blockSize number of samples in each vector + * @return none. + */ + + void arm_sub_q15( + q15_t * pSrcA, + q15_t * pSrcB, + q15_t * pDst, + uint32_t blockSize); + + /** + * @brief Q31 vector subtraction. + * @param[in] *pSrcA points to the first input vector + * @param[in] *pSrcB points to the second input vector + * @param[out] *pDst points to the output vector + * @param[in] blockSize number of samples in each vector + * @return none. + */ + + void arm_sub_q31( + q31_t * pSrcA, + q31_t * pSrcB, + q31_t * pDst, + uint32_t blockSize); + + /** + * @brief Multiplies a floating-point vector by a scalar. + * @param[in] *pSrc points to the input vector + * @param[in] scale scale factor to be applied + * @param[out] *pDst points to the output vector + * @param[in] blockSize number of samples in the vector + * @return none. + */ + + void arm_scale_f32( + float32_t * pSrc, + float32_t scale, + float32_t * pDst, + uint32_t blockSize); + + /** + * @brief Multiplies a Q7 vector by a scalar. + * @param[in] *pSrc points to the input vector + * @param[in] scaleFract fractional portion of the scale value + * @param[in] shift number of bits to shift the result by + * @param[out] *pDst points to the output vector + * @param[in] blockSize number of samples in the vector + * @return none. + */ + + void arm_scale_q7( + q7_t * pSrc, + q7_t scaleFract, + int8_t shift, + q7_t * pDst, + uint32_t blockSize); + + /** + * @brief Multiplies a Q15 vector by a scalar. + * @param[in] *pSrc points to the input vector + * @param[in] scaleFract fractional portion of the scale value + * @param[in] shift number of bits to shift the result by + * @param[out] *pDst points to the output vector + * @param[in] blockSize number of samples in the vector + * @return none. + */ + + void arm_scale_q15( + q15_t * pSrc, + q15_t scaleFract, + int8_t shift, + q15_t * pDst, + uint32_t blockSize); + + /** + * @brief Multiplies a Q31 vector by a scalar. + * @param[in] *pSrc points to the input vector + * @param[in] scaleFract fractional portion of the scale value + * @param[in] shift number of bits to shift the result by + * @param[out] *pDst points to the output vector + * @param[in] blockSize number of samples in the vector + * @return none. + */ + + void arm_scale_q31( + q31_t * pSrc, + q31_t scaleFract, + int8_t shift, + q31_t * pDst, + uint32_t blockSize); + + /** + * @brief Q7 vector absolute value. + * @param[in] *pSrc points to the input buffer + * @param[out] *pDst points to the output buffer + * @param[in] blockSize number of samples in each vector + * @return none. + */ + + void arm_abs_q7( + q7_t * pSrc, + q7_t * pDst, + uint32_t blockSize); + + /** + * @brief Floating-point vector absolute value. + * @param[in] *pSrc points to the input buffer + * @param[out] *pDst points to the output buffer + * @param[in] blockSize number of samples in each vector + * @return none. + */ + + void arm_abs_f32( + float32_t * pSrc, + float32_t * pDst, + uint32_t blockSize); + + /** + * @brief Q15 vector absolute value. + * @param[in] *pSrc points to the input buffer + * @param[out] *pDst points to the output buffer + * @param[in] blockSize number of samples in each vector + * @return none. + */ + + void arm_abs_q15( + q15_t * pSrc, + q15_t * pDst, + uint32_t blockSize); + + /** + * @brief Q31 vector absolute value. + * @param[in] *pSrc points to the input buffer + * @param[out] *pDst points to the output buffer + * @param[in] blockSize number of samples in each vector + * @return none. + */ + + void arm_abs_q31( + q31_t * pSrc, + q31_t * pDst, + uint32_t blockSize); + + /** + * @brief Dot product of floating-point vectors. + * @param[in] *pSrcA points to the first input vector + * @param[in] *pSrcB points to the second input vector + * @param[in] blockSize number of samples in each vector + * @param[out] *result output result returned here + * @return none. + */ + + void arm_dot_prod_f32( + float32_t * pSrcA, + float32_t * pSrcB, + uint32_t blockSize, + float32_t * result); + + /** + * @brief Dot product of Q7 vectors. + * @param[in] *pSrcA points to the first input vector + * @param[in] *pSrcB points to the second input vector + * @param[in] blockSize number of samples in each vector + * @param[out] *result output result returned here + * @return none. + */ + + void arm_dot_prod_q7( + q7_t * pSrcA, + q7_t * pSrcB, + uint32_t blockSize, + q31_t * result); + + /** + * @brief Dot product of Q15 vectors. + * @param[in] *pSrcA points to the first input vector + * @param[in] *pSrcB points to the second input vector + * @param[in] blockSize number of samples in each vector + * @param[out] *result output result returned here + * @return none. + */ + + void arm_dot_prod_q15( + q15_t * pSrcA, + q15_t * pSrcB, + uint32_t blockSize, + q63_t * result); + + /** + * @brief Dot product of Q31 vectors. + * @param[in] *pSrcA points to the first input vector + * @param[in] *pSrcB points to the second input vector + * @param[in] blockSize number of samples in each vector + * @param[out] *result output result returned here + * @return none. + */ + + void arm_dot_prod_q31( + q31_t * pSrcA, + q31_t * pSrcB, + uint32_t blockSize, + q63_t * result); + + /** + * @brief Shifts the elements of a Q7 vector a specified number of bits. + * @param[in] *pSrc points to the input vector + * @param[in] shiftBits number of bits to shift. A positive value shifts left; a negative value shifts right. + * @param[out] *pDst points to the output vector + * @param[in] blockSize number of samples in the vector + * @return none. + */ + + void arm_shift_q7( + q7_t * pSrc, + int8_t shiftBits, + q7_t * pDst, + uint32_t blockSize); + + /** + * @brief Shifts the elements of a Q15 vector a specified number of bits. + * @param[in] *pSrc points to the input vector + * @param[in] shiftBits number of bits to shift. A positive value shifts left; a negative value shifts right. + * @param[out] *pDst points to the output vector + * @param[in] blockSize number of samples in the vector + * @return none. + */ + + void arm_shift_q15( + q15_t * pSrc, + int8_t shiftBits, + q15_t * pDst, + uint32_t blockSize); + + /** + * @brief Shifts the elements of a Q31 vector a specified number of bits. + * @param[in] *pSrc points to the input vector + * @param[in] shiftBits number of bits to shift. A positive value shifts left; a negative value shifts right. + * @param[out] *pDst points to the output vector + * @param[in] blockSize number of samples in the vector + * @return none. + */ + + void arm_shift_q31( + q31_t * pSrc, + int8_t shiftBits, + q31_t * pDst, + uint32_t blockSize); + + /** + * @brief Adds a constant offset to a floating-point vector. + * @param[in] *pSrc points to the input vector + * @param[in] offset is the offset to be added + * @param[out] *pDst points to the output vector + * @param[in] blockSize number of samples in the vector + * @return none. + */ + + void arm_offset_f32( + float32_t * pSrc, + float32_t offset, + float32_t * pDst, + uint32_t blockSize); + + /** + * @brief Adds a constant offset to a Q7 vector. + * @param[in] *pSrc points to the input vector + * @param[in] offset is the offset to be added + * @param[out] *pDst points to the output vector + * @param[in] blockSize number of samples in the vector + * @return none. + */ + + void arm_offset_q7( + q7_t * pSrc, + q7_t offset, + q7_t * pDst, + uint32_t blockSize); + + /** + * @brief Adds a constant offset to a Q15 vector. + * @param[in] *pSrc points to the input vector + * @param[in] offset is the offset to be added + * @param[out] *pDst points to the output vector + * @param[in] blockSize number of samples in the vector + * @return none. + */ + + void arm_offset_q15( + q15_t * pSrc, + q15_t offset, + q15_t * pDst, + uint32_t blockSize); + + /** + * @brief Adds a constant offset to a Q31 vector. + * @param[in] *pSrc points to the input vector + * @param[in] offset is the offset to be added + * @param[out] *pDst points to the output vector + * @param[in] blockSize number of samples in the vector + * @return none. + */ + + void arm_offset_q31( + q31_t * pSrc, + q31_t offset, + q31_t * pDst, + uint32_t blockSize); + + /** + * @brief Negates the elements of a floating-point vector. + * @param[in] *pSrc points to the input vector + * @param[out] *pDst points to the output vector + * @param[in] blockSize number of samples in the vector + * @return none. + */ + + void arm_negate_f32( + float32_t * pSrc, + float32_t * pDst, + uint32_t blockSize); + + /** + * @brief Negates the elements of a Q7 vector. + * @param[in] *pSrc points to the input vector + * @param[out] *pDst points to the output vector + * @param[in] blockSize number of samples in the vector + * @return none. + */ + + void arm_negate_q7( + q7_t * pSrc, + q7_t * pDst, + uint32_t blockSize); + + /** + * @brief Negates the elements of a Q15 vector. + * @param[in] *pSrc points to the input vector + * @param[out] *pDst points to the output vector + * @param[in] blockSize number of samples in the vector + * @return none. + */ + + void arm_negate_q15( + q15_t * pSrc, + q15_t * pDst, + uint32_t blockSize); + + /** + * @brief Negates the elements of a Q31 vector. + * @param[in] *pSrc points to the input vector + * @param[out] *pDst points to the output vector + * @param[in] blockSize number of samples in the vector + * @return none. + */ + + void arm_negate_q31( + q31_t * pSrc, + q31_t * pDst, + uint32_t blockSize); + /** + * @brief Copies the elements of a floating-point vector. + * @param[in] *pSrc input pointer + * @param[out] *pDst output pointer + * @param[in] blockSize number of samples to process + * @return none. + */ + void arm_copy_f32( + float32_t * pSrc, + float32_t * pDst, + uint32_t blockSize); + + /** + * @brief Copies the elements of a Q7 vector. + * @param[in] *pSrc input pointer + * @param[out] *pDst output pointer + * @param[in] blockSize number of samples to process + * @return none. + */ + void arm_copy_q7( + q7_t * pSrc, + q7_t * pDst, + uint32_t blockSize); + + /** + * @brief Copies the elements of a Q15 vector. + * @param[in] *pSrc input pointer + * @param[out] *pDst output pointer + * @param[in] blockSize number of samples to process + * @return none. + */ + void arm_copy_q15( + q15_t * pSrc, + q15_t * pDst, + uint32_t blockSize); + + /** + * @brief Copies the elements of a Q31 vector. + * @param[in] *pSrc input pointer + * @param[out] *pDst output pointer + * @param[in] blockSize number of samples to process + * @return none. + */ + void arm_copy_q31( + q31_t * pSrc, + q31_t * pDst, + uint32_t blockSize); + /** + * @brief Fills a constant value into a floating-point vector. + * @param[in] value input value to be filled + * @param[out] *pDst output pointer + * @param[in] blockSize number of samples to process + * @return none. + */ + void arm_fill_f32( + float32_t value, + float32_t * pDst, + uint32_t blockSize); + + /** + * @brief Fills a constant value into a Q7 vector. + * @param[in] value input value to be filled + * @param[out] *pDst output pointer + * @param[in] blockSize number of samples to process + * @return none. + */ + void arm_fill_q7( + q7_t value, + q7_t * pDst, + uint32_t blockSize); + + /** + * @brief Fills a constant value into a Q15 vector. + * @param[in] value input value to be filled + * @param[out] *pDst output pointer + * @param[in] blockSize number of samples to process + * @return none. + */ + void arm_fill_q15( + q15_t value, + q15_t * pDst, + uint32_t blockSize); + + /** + * @brief Fills a constant value into a Q31 vector. + * @param[in] value input value to be filled + * @param[out] *pDst output pointer + * @param[in] blockSize number of samples to process + * @return none. + */ + void arm_fill_q31( + q31_t value, + q31_t * pDst, + uint32_t blockSize); + +/** + * @brief Convolution of floating-point sequences. + * @param[in] *pSrcA points to the first input sequence. + * @param[in] srcALen length of the first input sequence. + * @param[in] *pSrcB points to the second input sequence. + * @param[in] srcBLen length of the second input sequence. + * @param[out] *pDst points to the location where the output result is written. Length srcALen+srcBLen-1. + * @return none. + */ + + void arm_conv_f32( + float32_t * pSrcA, + uint32_t srcALen, + float32_t * pSrcB, + uint32_t srcBLen, + float32_t * pDst); + + + /** + * @brief Convolution of Q15 sequences. + * @param[in] *pSrcA points to the first input sequence. + * @param[in] srcALen length of the first input sequence. + * @param[in] *pSrcB points to the second input sequence. + * @param[in] srcBLen length of the second input sequence. + * @param[out] *pDst points to the block of output data Length srcALen+srcBLen-1. + * @param[in] *pScratch1 points to scratch buffer of size max(srcALen, srcBLen) + 2*min(srcALen, srcBLen) - 2. + * @param[in] *pScratch2 points to scratch buffer of size min(srcALen, srcBLen). + * @return none. + */ + + + void arm_conv_opt_q15( + q15_t * pSrcA, + uint32_t srcALen, + q15_t * pSrcB, + uint32_t srcBLen, + q15_t * pDst, + q15_t * pScratch1, + q15_t * pScratch2); + + +/** + * @brief Convolution of Q15 sequences. + * @param[in] *pSrcA points to the first input sequence. + * @param[in] srcALen length of the first input sequence. + * @param[in] *pSrcB points to the second input sequence. + * @param[in] srcBLen length of the second input sequence. + * @param[out] *pDst points to the location where the output result is written. Length srcALen+srcBLen-1. + * @return none. + */ + + void arm_conv_q15( + q15_t * pSrcA, + uint32_t srcALen, + q15_t * pSrcB, + uint32_t srcBLen, + q15_t * pDst); + + /** + * @brief Convolution of Q15 sequences (fast version) for Cortex-M3 and Cortex-M4 + * @param[in] *pSrcA points to the first input sequence. + * @param[in] srcALen length of the first input sequence. + * @param[in] *pSrcB points to the second input sequence. + * @param[in] srcBLen length of the second input sequence. + * @param[out] *pDst points to the block of output data Length srcALen+srcBLen-1. + * @return none. + */ + + void arm_conv_fast_q15( + q15_t * pSrcA, + uint32_t srcALen, + q15_t * pSrcB, + uint32_t srcBLen, + q15_t * pDst); + + /** + * @brief Convolution of Q15 sequences (fast version) for Cortex-M3 and Cortex-M4 + * @param[in] *pSrcA points to the first input sequence. + * @param[in] srcALen length of the first input sequence. + * @param[in] *pSrcB points to the second input sequence. + * @param[in] srcBLen length of the second input sequence. + * @param[out] *pDst points to the block of output data Length srcALen+srcBLen-1. + * @param[in] *pScratch1 points to scratch buffer of size max(srcALen, srcBLen) + 2*min(srcALen, srcBLen) - 2. + * @param[in] *pScratch2 points to scratch buffer of size min(srcALen, srcBLen). + * @return none. + */ + + void arm_conv_fast_opt_q15( + q15_t * pSrcA, + uint32_t srcALen, + q15_t * pSrcB, + uint32_t srcBLen, + q15_t * pDst, + q15_t * pScratch1, + q15_t * pScratch2); + + + + /** + * @brief Convolution of Q31 sequences. + * @param[in] *pSrcA points to the first input sequence. + * @param[in] srcALen length of the first input sequence. + * @param[in] *pSrcB points to the second input sequence. + * @param[in] srcBLen length of the second input sequence. + * @param[out] *pDst points to the block of output data Length srcALen+srcBLen-1. + * @return none. + */ + + void arm_conv_q31( + q31_t * pSrcA, + uint32_t srcALen, + q31_t * pSrcB, + uint32_t srcBLen, + q31_t * pDst); + + /** + * @brief Convolution of Q31 sequences (fast version) for Cortex-M3 and Cortex-M4 + * @param[in] *pSrcA points to the first input sequence. + * @param[in] srcALen length of the first input sequence. + * @param[in] *pSrcB points to the second input sequence. + * @param[in] srcBLen length of the second input sequence. + * @param[out] *pDst points to the block of output data Length srcALen+srcBLen-1. + * @return none. + */ + + void arm_conv_fast_q31( + q31_t * pSrcA, + uint32_t srcALen, + q31_t * pSrcB, + uint32_t srcBLen, + q31_t * pDst); + + + /** + * @brief Convolution of Q7 sequences. + * @param[in] *pSrcA points to the first input sequence. + * @param[in] srcALen length of the first input sequence. + * @param[in] *pSrcB points to the second input sequence. + * @param[in] srcBLen length of the second input sequence. + * @param[out] *pDst points to the block of output data Length srcALen+srcBLen-1. + * @param[in] *pScratch1 points to scratch buffer(of type q15_t) of size max(srcALen, srcBLen) + 2*min(srcALen, srcBLen) - 2. + * @param[in] *pScratch2 points to scratch buffer (of type q15_t) of size min(srcALen, srcBLen). + * @return none. + */ + + void arm_conv_opt_q7( + q7_t * pSrcA, + uint32_t srcALen, + q7_t * pSrcB, + uint32_t srcBLen, + q7_t * pDst, + q15_t * pScratch1, + q15_t * pScratch2); + + + + /** + * @brief Convolution of Q7 sequences. + * @param[in] *pSrcA points to the first input sequence. + * @param[in] srcALen length of the first input sequence. + * @param[in] *pSrcB points to the second input sequence. + * @param[in] srcBLen length of the second input sequence. + * @param[out] *pDst points to the block of output data Length srcALen+srcBLen-1. + * @return none. + */ + + void arm_conv_q7( + q7_t * pSrcA, + uint32_t srcALen, + q7_t * pSrcB, + uint32_t srcBLen, + q7_t * pDst); + + + /** + * @brief Partial convolution of floating-point sequences. + * @param[in] *pSrcA points to the first input sequence. + * @param[in] srcALen length of the first input sequence. + * @param[in] *pSrcB points to the second input sequence. + * @param[in] srcBLen length of the second input sequence. + * @param[out] *pDst points to the block of output data + * @param[in] firstIndex is the first output sample to start with. + * @param[in] numPoints is the number of output points to be computed. + * @return Returns either ARM_MATH_SUCCESS if the function completed correctly or ARM_MATH_ARGUMENT_ERROR if the requested subset is not in the range [0 srcALen+srcBLen-2]. + */ + + arm_status arm_conv_partial_f32( + float32_t * pSrcA, + uint32_t srcALen, + float32_t * pSrcB, + uint32_t srcBLen, + float32_t * pDst, + uint32_t firstIndex, + uint32_t numPoints); + + /** + * @brief Partial convolution of Q15 sequences. + * @param[in] *pSrcA points to the first input sequence. + * @param[in] srcALen length of the first input sequence. + * @param[in] *pSrcB points to the second input sequence. + * @param[in] srcBLen length of the second input sequence. + * @param[out] *pDst points to the block of output data + * @param[in] firstIndex is the first output sample to start with. + * @param[in] numPoints is the number of output points to be computed. + * @param[in] * pScratch1 points to scratch buffer of size max(srcALen, srcBLen) + 2*min(srcALen, srcBLen) - 2. + * @param[in] * pScratch2 points to scratch buffer of size min(srcALen, srcBLen). + * @return Returns either ARM_MATH_SUCCESS if the function completed correctly or ARM_MATH_ARGUMENT_ERROR if the requested subset is not in the range [0 srcALen+srcBLen-2]. + */ + + arm_status arm_conv_partial_opt_q15( + q15_t * pSrcA, + uint32_t srcALen, + q15_t * pSrcB, + uint32_t srcBLen, + q15_t * pDst, + uint32_t firstIndex, + uint32_t numPoints, + q15_t * pScratch1, + q15_t * pScratch2); + + +/** + * @brief Partial convolution of Q15 sequences. + * @param[in] *pSrcA points to the first input sequence. + * @param[in] srcALen length of the first input sequence. + * @param[in] *pSrcB points to the second input sequence. + * @param[in] srcBLen length of the second input sequence. + * @param[out] *pDst points to the block of output data + * @param[in] firstIndex is the first output sample to start with. + * @param[in] numPoints is the number of output points to be computed. + * @return Returns either ARM_MATH_SUCCESS if the function completed correctly or ARM_MATH_ARGUMENT_ERROR if the requested subset is not in the range [0 srcALen+srcBLen-2]. + */ + + arm_status arm_conv_partial_q15( + q15_t * pSrcA, + uint32_t srcALen, + q15_t * pSrcB, + uint32_t srcBLen, + q15_t * pDst, + uint32_t firstIndex, + uint32_t numPoints); + + /** + * @brief Partial convolution of Q15 sequences (fast version) for Cortex-M3 and Cortex-M4 + * @param[in] *pSrcA points to the first input sequence. + * @param[in] srcALen length of the first input sequence. + * @param[in] *pSrcB points to the second input sequence. + * @param[in] srcBLen length of the second input sequence. + * @param[out] *pDst points to the block of output data + * @param[in] firstIndex is the first output sample to start with. + * @param[in] numPoints is the number of output points to be computed. + * @return Returns either ARM_MATH_SUCCESS if the function completed correctly or ARM_MATH_ARGUMENT_ERROR if the requested subset is not in the range [0 srcALen+srcBLen-2]. + */ + + arm_status arm_conv_partial_fast_q15( + q15_t * pSrcA, + uint32_t srcALen, + q15_t * pSrcB, + uint32_t srcBLen, + q15_t * pDst, + uint32_t firstIndex, + uint32_t numPoints); + + + /** + * @brief Partial convolution of Q15 sequences (fast version) for Cortex-M3 and Cortex-M4 + * @param[in] *pSrcA points to the first input sequence. + * @param[in] srcALen length of the first input sequence. + * @param[in] *pSrcB points to the second input sequence. + * @param[in] srcBLen length of the second input sequence. + * @param[out] *pDst points to the block of output data + * @param[in] firstIndex is the first output sample to start with. + * @param[in] numPoints is the number of output points to be computed. + * @param[in] * pScratch1 points to scratch buffer of size max(srcALen, srcBLen) + 2*min(srcALen, srcBLen) - 2. + * @param[in] * pScratch2 points to scratch buffer of size min(srcALen, srcBLen). + * @return Returns either ARM_MATH_SUCCESS if the function completed correctly or ARM_MATH_ARGUMENT_ERROR if the requested subset is not in the range [0 srcALen+srcBLen-2]. + */ + + arm_status arm_conv_partial_fast_opt_q15( + q15_t * pSrcA, + uint32_t srcALen, + q15_t * pSrcB, + uint32_t srcBLen, + q15_t * pDst, + uint32_t firstIndex, + uint32_t numPoints, + q15_t * pScratch1, + q15_t * pScratch2); + + + /** + * @brief Partial convolution of Q31 sequences. + * @param[in] *pSrcA points to the first input sequence. + * @param[in] srcALen length of the first input sequence. + * @param[in] *pSrcB points to the second input sequence. + * @param[in] srcBLen length of the second input sequence. + * @param[out] *pDst points to the block of output data + * @param[in] firstIndex is the first output sample to start with. + * @param[in] numPoints is the number of output points to be computed. + * @return Returns either ARM_MATH_SUCCESS if the function completed correctly or ARM_MATH_ARGUMENT_ERROR if the requested subset is not in the range [0 srcALen+srcBLen-2]. + */ + + arm_status arm_conv_partial_q31( + q31_t * pSrcA, + uint32_t srcALen, + q31_t * pSrcB, + uint32_t srcBLen, + q31_t * pDst, + uint32_t firstIndex, + uint32_t numPoints); + + + /** + * @brief Partial convolution of Q31 sequences (fast version) for Cortex-M3 and Cortex-M4 + * @param[in] *pSrcA points to the first input sequence. + * @param[in] srcALen length of the first input sequence. + * @param[in] *pSrcB points to the second input sequence. + * @param[in] srcBLen length of the second input sequence. + * @param[out] *pDst points to the block of output data + * @param[in] firstIndex is the first output sample to start with. + * @param[in] numPoints is the number of output points to be computed. + * @return Returns either ARM_MATH_SUCCESS if the function completed correctly or ARM_MATH_ARGUMENT_ERROR if the requested subset is not in the range [0 srcALen+srcBLen-2]. + */ + + arm_status arm_conv_partial_fast_q31( + q31_t * pSrcA, + uint32_t srcALen, + q31_t * pSrcB, + uint32_t srcBLen, + q31_t * pDst, + uint32_t firstIndex, + uint32_t numPoints); + + + /** + * @brief Partial convolution of Q7 sequences + * @param[in] *pSrcA points to the first input sequence. + * @param[in] srcALen length of the first input sequence. + * @param[in] *pSrcB points to the second input sequence. + * @param[in] srcBLen length of the second input sequence. + * @param[out] *pDst points to the block of output data + * @param[in] firstIndex is the first output sample to start with. + * @param[in] numPoints is the number of output points to be computed. + * @param[in] *pScratch1 points to scratch buffer(of type q15_t) of size max(srcALen, srcBLen) + 2*min(srcALen, srcBLen) - 2. + * @param[in] *pScratch2 points to scratch buffer (of type q15_t) of size min(srcALen, srcBLen). + * @return Returns either ARM_MATH_SUCCESS if the function completed correctly or ARM_MATH_ARGUMENT_ERROR if the requested subset is not in the range [0 srcALen+srcBLen-2]. + */ + + arm_status arm_conv_partial_opt_q7( + q7_t * pSrcA, + uint32_t srcALen, + q7_t * pSrcB, + uint32_t srcBLen, + q7_t * pDst, + uint32_t firstIndex, + uint32_t numPoints, + q15_t * pScratch1, + q15_t * pScratch2); + + +/** + * @brief Partial convolution of Q7 sequences. + * @param[in] *pSrcA points to the first input sequence. + * @param[in] srcALen length of the first input sequence. + * @param[in] *pSrcB points to the second input sequence. + * @param[in] srcBLen length of the second input sequence. + * @param[out] *pDst points to the block of output data + * @param[in] firstIndex is the first output sample to start with. + * @param[in] numPoints is the number of output points to be computed. + * @return Returns either ARM_MATH_SUCCESS if the function completed correctly or ARM_MATH_ARGUMENT_ERROR if the requested subset is not in the range [0 srcALen+srcBLen-2]. + */ + + arm_status arm_conv_partial_q7( + q7_t * pSrcA, + uint32_t srcALen, + q7_t * pSrcB, + uint32_t srcBLen, + q7_t * pDst, + uint32_t firstIndex, + uint32_t numPoints); + + + + /** + * @brief Instance structure for the Q15 FIR decimator. + */ + + typedef struct + { + uint8_t M; /**< decimation factor. */ + uint16_t numTaps; /**< number of coefficients in the filter. */ + q15_t *pCoeffs; /**< points to the coefficient array. The array is of length numTaps.*/ + q15_t *pState; /**< points to the state variable array. The array is of length numTaps+blockSize-1. */ + } arm_fir_decimate_instance_q15; + + /** + * @brief Instance structure for the Q31 FIR decimator. + */ + + typedef struct + { + uint8_t M; /**< decimation factor. */ + uint16_t numTaps; /**< number of coefficients in the filter. */ + q31_t *pCoeffs; /**< points to the coefficient array. The array is of length numTaps.*/ + q31_t *pState; /**< points to the state variable array. The array is of length numTaps+blockSize-1. */ + + } arm_fir_decimate_instance_q31; + + /** + * @brief Instance structure for the floating-point FIR decimator. + */ + + typedef struct + { + uint8_t M; /**< decimation factor. */ + uint16_t numTaps; /**< number of coefficients in the filter. */ + float32_t *pCoeffs; /**< points to the coefficient array. The array is of length numTaps.*/ + float32_t *pState; /**< points to the state variable array. The array is of length numTaps+blockSize-1. */ + + } arm_fir_decimate_instance_f32; + + + + /** + * @brief Processing function for the floating-point FIR decimator. + * @param[in] *S points to an instance of the floating-point FIR decimator structure. + * @param[in] *pSrc points to the block of input data. + * @param[out] *pDst points to the block of output data + * @param[in] blockSize number of input samples to process per call. + * @return none + */ + + void arm_fir_decimate_f32( + const arm_fir_decimate_instance_f32 * S, + float32_t * pSrc, + float32_t * pDst, + uint32_t blockSize); + + + /** + * @brief Initialization function for the floating-point FIR decimator. + * @param[in,out] *S points to an instance of the floating-point FIR decimator structure. + * @param[in] numTaps number of coefficients in the filter. + * @param[in] M decimation factor. + * @param[in] *pCoeffs points to the filter coefficients. + * @param[in] *pState points to the state buffer. + * @param[in] blockSize number of input samples to process per call. + * @return The function returns ARM_MATH_SUCCESS if initialization is successful or ARM_MATH_LENGTH_ERROR if + * blockSize is not a multiple of M. + */ + + arm_status arm_fir_decimate_init_f32( + arm_fir_decimate_instance_f32 * S, + uint16_t numTaps, + uint8_t M, + float32_t * pCoeffs, + float32_t * pState, + uint32_t blockSize); + + /** + * @brief Processing function for the Q15 FIR decimator. + * @param[in] *S points to an instance of the Q15 FIR decimator structure. + * @param[in] *pSrc points to the block of input data. + * @param[out] *pDst points to the block of output data + * @param[in] blockSize number of input samples to process per call. + * @return none + */ + + void arm_fir_decimate_q15( + const arm_fir_decimate_instance_q15 * S, + q15_t * pSrc, + q15_t * pDst, + uint32_t blockSize); + + /** + * @brief Processing function for the Q15 FIR decimator (fast variant) for Cortex-M3 and Cortex-M4. + * @param[in] *S points to an instance of the Q15 FIR decimator structure. + * @param[in] *pSrc points to the block of input data. + * @param[out] *pDst points to the block of output data + * @param[in] blockSize number of input samples to process per call. + * @return none + */ + + void arm_fir_decimate_fast_q15( + const arm_fir_decimate_instance_q15 * S, + q15_t * pSrc, + q15_t * pDst, + uint32_t blockSize); + + + + /** + * @brief Initialization function for the Q15 FIR decimator. + * @param[in,out] *S points to an instance of the Q15 FIR decimator structure. + * @param[in] numTaps number of coefficients in the filter. + * @param[in] M decimation factor. + * @param[in] *pCoeffs points to the filter coefficients. + * @param[in] *pState points to the state buffer. + * @param[in] blockSize number of input samples to process per call. + * @return The function returns ARM_MATH_SUCCESS if initialization is successful or ARM_MATH_LENGTH_ERROR if + * blockSize is not a multiple of M. + */ + + arm_status arm_fir_decimate_init_q15( + arm_fir_decimate_instance_q15 * S, + uint16_t numTaps, + uint8_t M, + q15_t * pCoeffs, + q15_t * pState, + uint32_t blockSize); + + /** + * @brief Processing function for the Q31 FIR decimator. + * @param[in] *S points to an instance of the Q31 FIR decimator structure. + * @param[in] *pSrc points to the block of input data. + * @param[out] *pDst points to the block of output data + * @param[in] blockSize number of input samples to process per call. + * @return none + */ + + void arm_fir_decimate_q31( + const arm_fir_decimate_instance_q31 * S, + q31_t * pSrc, + q31_t * pDst, + uint32_t blockSize); + + /** + * @brief Processing function for the Q31 FIR decimator (fast variant) for Cortex-M3 and Cortex-M4. + * @param[in] *S points to an instance of the Q31 FIR decimator structure. + * @param[in] *pSrc points to the block of input data. + * @param[out] *pDst points to the block of output data + * @param[in] blockSize number of input samples to process per call. + * @return none + */ + + void arm_fir_decimate_fast_q31( + arm_fir_decimate_instance_q31 * S, + q31_t * pSrc, + q31_t * pDst, + uint32_t blockSize); + + + /** + * @brief Initialization function for the Q31 FIR decimator. + * @param[in,out] *S points to an instance of the Q31 FIR decimator structure. + * @param[in] numTaps number of coefficients in the filter. + * @param[in] M decimation factor. + * @param[in] *pCoeffs points to the filter coefficients. + * @param[in] *pState points to the state buffer. + * @param[in] blockSize number of input samples to process per call. + * @return The function returns ARM_MATH_SUCCESS if initialization is successful or ARM_MATH_LENGTH_ERROR if + * blockSize is not a multiple of M. + */ + + arm_status arm_fir_decimate_init_q31( + arm_fir_decimate_instance_q31 * S, + uint16_t numTaps, + uint8_t M, + q31_t * pCoeffs, + q31_t * pState, + uint32_t blockSize); + + + + /** + * @brief Instance structure for the Q15 FIR interpolator. + */ + + typedef struct + { + uint8_t L; /**< upsample factor. */ + uint16_t phaseLength; /**< length of each polyphase filter component. */ + q15_t *pCoeffs; /**< points to the coefficient array. The array is of length L*phaseLength. */ + q15_t *pState; /**< points to the state variable array. The array is of length blockSize+phaseLength-1. */ + } arm_fir_interpolate_instance_q15; + + /** + * @brief Instance structure for the Q31 FIR interpolator. + */ + + typedef struct + { + uint8_t L; /**< upsample factor. */ + uint16_t phaseLength; /**< length of each polyphase filter component. */ + q31_t *pCoeffs; /**< points to the coefficient array. The array is of length L*phaseLength. */ + q31_t *pState; /**< points to the state variable array. The array is of length blockSize+phaseLength-1. */ + } arm_fir_interpolate_instance_q31; + + /** + * @brief Instance structure for the floating-point FIR interpolator. + */ + + typedef struct + { + uint8_t L; /**< upsample factor. */ + uint16_t phaseLength; /**< length of each polyphase filter component. */ + float32_t *pCoeffs; /**< points to the coefficient array. The array is of length L*phaseLength. */ + float32_t *pState; /**< points to the state variable array. The array is of length phaseLength+numTaps-1. */ + } arm_fir_interpolate_instance_f32; + + + /** + * @brief Processing function for the Q15 FIR interpolator. + * @param[in] *S points to an instance of the Q15 FIR interpolator structure. + * @param[in] *pSrc points to the block of input data. + * @param[out] *pDst points to the block of output data. + * @param[in] blockSize number of input samples to process per call. + * @return none. + */ + + void arm_fir_interpolate_q15( + const arm_fir_interpolate_instance_q15 * S, + q15_t * pSrc, + q15_t * pDst, + uint32_t blockSize); + + + /** + * @brief Initialization function for the Q15 FIR interpolator. + * @param[in,out] *S points to an instance of the Q15 FIR interpolator structure. + * @param[in] L upsample factor. + * @param[in] numTaps number of filter coefficients in the filter. + * @param[in] *pCoeffs points to the filter coefficient buffer. + * @param[in] *pState points to the state buffer. + * @param[in] blockSize number of input samples to process per call. + * @return The function returns ARM_MATH_SUCCESS if initialization is successful or ARM_MATH_LENGTH_ERROR if + * the filter length numTaps is not a multiple of the interpolation factor L. + */ + + arm_status arm_fir_interpolate_init_q15( + arm_fir_interpolate_instance_q15 * S, + uint8_t L, + uint16_t numTaps, + q15_t * pCoeffs, + q15_t * pState, + uint32_t blockSize); + + /** + * @brief Processing function for the Q31 FIR interpolator. + * @param[in] *S points to an instance of the Q15 FIR interpolator structure. + * @param[in] *pSrc points to the block of input data. + * @param[out] *pDst points to the block of output data. + * @param[in] blockSize number of input samples to process per call. + * @return none. + */ + + void arm_fir_interpolate_q31( + const arm_fir_interpolate_instance_q31 * S, + q31_t * pSrc, + q31_t * pDst, + uint32_t blockSize); + + /** + * @brief Initialization function for the Q31 FIR interpolator. + * @param[in,out] *S points to an instance of the Q31 FIR interpolator structure. + * @param[in] L upsample factor. + * @param[in] numTaps number of filter coefficients in the filter. + * @param[in] *pCoeffs points to the filter coefficient buffer. + * @param[in] *pState points to the state buffer. + * @param[in] blockSize number of input samples to process per call. + * @return The function returns ARM_MATH_SUCCESS if initialization is successful or ARM_MATH_LENGTH_ERROR if + * the filter length numTaps is not a multiple of the interpolation factor L. + */ + + arm_status arm_fir_interpolate_init_q31( + arm_fir_interpolate_instance_q31 * S, + uint8_t L, + uint16_t numTaps, + q31_t * pCoeffs, + q31_t * pState, + uint32_t blockSize); + + + /** + * @brief Processing function for the floating-point FIR interpolator. + * @param[in] *S points to an instance of the floating-point FIR interpolator structure. + * @param[in] *pSrc points to the block of input data. + * @param[out] *pDst points to the block of output data. + * @param[in] blockSize number of input samples to process per call. + * @return none. + */ + + void arm_fir_interpolate_f32( + const arm_fir_interpolate_instance_f32 * S, + float32_t * pSrc, + float32_t * pDst, + uint32_t blockSize); + + /** + * @brief Initialization function for the floating-point FIR interpolator. + * @param[in,out] *S points to an instance of the floating-point FIR interpolator structure. + * @param[in] L upsample factor. + * @param[in] numTaps number of filter coefficients in the filter. + * @param[in] *pCoeffs points to the filter coefficient buffer. + * @param[in] *pState points to the state buffer. + * @param[in] blockSize number of input samples to process per call. + * @return The function returns ARM_MATH_SUCCESS if initialization is successful or ARM_MATH_LENGTH_ERROR if + * the filter length numTaps is not a multiple of the interpolation factor L. + */ + + arm_status arm_fir_interpolate_init_f32( + arm_fir_interpolate_instance_f32 * S, + uint8_t L, + uint16_t numTaps, + float32_t * pCoeffs, + float32_t * pState, + uint32_t blockSize); + + /** + * @brief Instance structure for the high precision Q31 Biquad cascade filter. + */ + + typedef struct + { + uint8_t numStages; /**< number of 2nd order stages in the filter. Overall order is 2*numStages. */ + q63_t *pState; /**< points to the array of state coefficients. The array is of length 4*numStages. */ + q31_t *pCoeffs; /**< points to the array of coefficients. The array is of length 5*numStages. */ + uint8_t postShift; /**< additional shift, in bits, applied to each output sample. */ + + } arm_biquad_cas_df1_32x64_ins_q31; + + + /** + * @param[in] *S points to an instance of the high precision Q31 Biquad cascade filter structure. + * @param[in] *pSrc points to the block of input data. + * @param[out] *pDst points to the block of output data + * @param[in] blockSize number of samples to process. + * @return none. + */ + + void arm_biquad_cas_df1_32x64_q31( + const arm_biquad_cas_df1_32x64_ins_q31 * S, + q31_t * pSrc, + q31_t * pDst, + uint32_t blockSize); + + + /** + * @param[in,out] *S points to an instance of the high precision Q31 Biquad cascade filter structure. + * @param[in] numStages number of 2nd order stages in the filter. + * @param[in] *pCoeffs points to the filter coefficients. + * @param[in] *pState points to the state buffer. + * @param[in] postShift shift to be applied to the output. Varies according to the coefficients format + * @return none + */ + + void arm_biquad_cas_df1_32x64_init_q31( + arm_biquad_cas_df1_32x64_ins_q31 * S, + uint8_t numStages, + q31_t * pCoeffs, + q63_t * pState, + uint8_t postShift); + + + + /** + * @brief Instance structure for the floating-point transposed direct form II Biquad cascade filter. + */ + + typedef struct + { + uint8_t numStages; /**< number of 2nd order stages in the filter. Overall order is 2*numStages. */ + float32_t *pState; /**< points to the array of state coefficients. The array is of length 2*numStages. */ + float32_t *pCoeffs; /**< points to the array of coefficients. The array is of length 5*numStages. */ + } arm_biquad_cascade_df2T_instance_f32; + + + /** + * @brief Processing function for the floating-point transposed direct form II Biquad cascade filter. + * @param[in] *S points to an instance of the filter data structure. + * @param[in] *pSrc points to the block of input data. + * @param[out] *pDst points to the block of output data + * @param[in] blockSize number of samples to process. + * @return none. + */ + + void arm_biquad_cascade_df2T_f32( + const arm_biquad_cascade_df2T_instance_f32 * S, + float32_t * pSrc, + float32_t * pDst, + uint32_t blockSize); + + + /** + * @brief Initialization function for the floating-point transposed direct form II Biquad cascade filter. + * @param[in,out] *S points to an instance of the filter data structure. + * @param[in] numStages number of 2nd order stages in the filter. + * @param[in] *pCoeffs points to the filter coefficients. + * @param[in] *pState points to the state buffer. + * @return none + */ + + void arm_biquad_cascade_df2T_init_f32( + arm_biquad_cascade_df2T_instance_f32 * S, + uint8_t numStages, + float32_t * pCoeffs, + float32_t * pState); + + + + /** + * @brief Instance structure for the Q15 FIR lattice filter. + */ + + typedef struct + { + uint16_t numStages; /**< number of filter stages. */ + q15_t *pState; /**< points to the state variable array. The array is of length numStages. */ + q15_t *pCoeffs; /**< points to the coefficient array. The array is of length numStages. */ + } arm_fir_lattice_instance_q15; + + /** + * @brief Instance structure for the Q31 FIR lattice filter. + */ + + typedef struct + { + uint16_t numStages; /**< number of filter stages. */ + q31_t *pState; /**< points to the state variable array. The array is of length numStages. */ + q31_t *pCoeffs; /**< points to the coefficient array. The array is of length numStages. */ + } arm_fir_lattice_instance_q31; + + /** + * @brief Instance structure for the floating-point FIR lattice filter. + */ + + typedef struct + { + uint16_t numStages; /**< number of filter stages. */ + float32_t *pState; /**< points to the state variable array. The array is of length numStages. */ + float32_t *pCoeffs; /**< points to the coefficient array. The array is of length numStages. */ + } arm_fir_lattice_instance_f32; + + /** + * @brief Initialization function for the Q15 FIR lattice filter. + * @param[in] *S points to an instance of the Q15 FIR lattice structure. + * @param[in] numStages number of filter stages. + * @param[in] *pCoeffs points to the coefficient buffer. The array is of length numStages. + * @param[in] *pState points to the state buffer. The array is of length numStages. + * @return none. + */ + + void arm_fir_lattice_init_q15( + arm_fir_lattice_instance_q15 * S, + uint16_t numStages, + q15_t * pCoeffs, + q15_t * pState); + + + /** + * @brief Processing function for the Q15 FIR lattice filter. + * @param[in] *S points to an instance of the Q15 FIR lattice structure. + * @param[in] *pSrc points to the block of input data. + * @param[out] *pDst points to the block of output data. + * @param[in] blockSize number of samples to process. + * @return none. + */ + void arm_fir_lattice_q15( + const arm_fir_lattice_instance_q15 * S, + q15_t * pSrc, + q15_t * pDst, + uint32_t blockSize); + + /** + * @brief Initialization function for the Q31 FIR lattice filter. + * @param[in] *S points to an instance of the Q31 FIR lattice structure. + * @param[in] numStages number of filter stages. + * @param[in] *pCoeffs points to the coefficient buffer. The array is of length numStages. + * @param[in] *pState points to the state buffer. The array is of length numStages. + * @return none. + */ + + void arm_fir_lattice_init_q31( + arm_fir_lattice_instance_q31 * S, + uint16_t numStages, + q31_t * pCoeffs, + q31_t * pState); + + + /** + * @brief Processing function for the Q31 FIR lattice filter. + * @param[in] *S points to an instance of the Q31 FIR lattice structure. + * @param[in] *pSrc points to the block of input data. + * @param[out] *pDst points to the block of output data + * @param[in] blockSize number of samples to process. + * @return none. + */ + + void arm_fir_lattice_q31( + const arm_fir_lattice_instance_q31 * S, + q31_t * pSrc, + q31_t * pDst, + uint32_t blockSize); + +/** + * @brief Initialization function for the floating-point FIR lattice filter. + * @param[in] *S points to an instance of the floating-point FIR lattice structure. + * @param[in] numStages number of filter stages. + * @param[in] *pCoeffs points to the coefficient buffer. The array is of length numStages. + * @param[in] *pState points to the state buffer. The array is of length numStages. + * @return none. + */ + + void arm_fir_lattice_init_f32( + arm_fir_lattice_instance_f32 * S, + uint16_t numStages, + float32_t * pCoeffs, + float32_t * pState); + + /** + * @brief Processing function for the floating-point FIR lattice filter. + * @param[in] *S points to an instance of the floating-point FIR lattice structure. + * @param[in] *pSrc points to the block of input data. + * @param[out] *pDst points to the block of output data + * @param[in] blockSize number of samples to process. + * @return none. + */ + + void arm_fir_lattice_f32( + const arm_fir_lattice_instance_f32 * S, + float32_t * pSrc, + float32_t * pDst, + uint32_t blockSize); + + /** + * @brief Instance structure for the Q15 IIR lattice filter. + */ + typedef struct + { + uint16_t numStages; /**< number of stages in the filter. */ + q15_t *pState; /**< points to the state variable array. The array is of length numStages+blockSize. */ + q15_t *pkCoeffs; /**< points to the reflection coefficient array. The array is of length numStages. */ + q15_t *pvCoeffs; /**< points to the ladder coefficient array. The array is of length numStages+1. */ + } arm_iir_lattice_instance_q15; + + /** + * @brief Instance structure for the Q31 IIR lattice filter. + */ + typedef struct + { + uint16_t numStages; /**< number of stages in the filter. */ + q31_t *pState; /**< points to the state variable array. The array is of length numStages+blockSize. */ + q31_t *pkCoeffs; /**< points to the reflection coefficient array. The array is of length numStages. */ + q31_t *pvCoeffs; /**< points to the ladder coefficient array. The array is of length numStages+1. */ + } arm_iir_lattice_instance_q31; + + /** + * @brief Instance structure for the floating-point IIR lattice filter. + */ + typedef struct + { + uint16_t numStages; /**< number of stages in the filter. */ + float32_t *pState; /**< points to the state variable array. The array is of length numStages+blockSize. */ + float32_t *pkCoeffs; /**< points to the reflection coefficient array. The array is of length numStages. */ + float32_t *pvCoeffs; /**< points to the ladder coefficient array. The array is of length numStages+1. */ + } arm_iir_lattice_instance_f32; + + /** + * @brief Processing function for the floating-point IIR lattice filter. + * @param[in] *S points to an instance of the floating-point IIR lattice structure. + * @param[in] *pSrc points to the block of input data. + * @param[out] *pDst points to the block of output data. + * @param[in] blockSize number of samples to process. + * @return none. + */ + + void arm_iir_lattice_f32( + const arm_iir_lattice_instance_f32 * S, + float32_t * pSrc, + float32_t * pDst, + uint32_t blockSize); + + /** + * @brief Initialization function for the floating-point IIR lattice filter. + * @param[in] *S points to an instance of the floating-point IIR lattice structure. + * @param[in] numStages number of stages in the filter. + * @param[in] *pkCoeffs points to the reflection coefficient buffer. The array is of length numStages. + * @param[in] *pvCoeffs points to the ladder coefficient buffer. The array is of length numStages+1. + * @param[in] *pState points to the state buffer. The array is of length numStages+blockSize-1. + * @param[in] blockSize number of samples to process. + * @return none. + */ + + void arm_iir_lattice_init_f32( + arm_iir_lattice_instance_f32 * S, + uint16_t numStages, + float32_t * pkCoeffs, + float32_t * pvCoeffs, + float32_t * pState, + uint32_t blockSize); + + + /** + * @brief Processing function for the Q31 IIR lattice filter. + * @param[in] *S points to an instance of the Q31 IIR lattice structure. + * @param[in] *pSrc points to the block of input data. + * @param[out] *pDst points to the block of output data. + * @param[in] blockSize number of samples to process. + * @return none. + */ + + void arm_iir_lattice_q31( + const arm_iir_lattice_instance_q31 * S, + q31_t * pSrc, + q31_t * pDst, + uint32_t blockSize); + + + /** + * @brief Initialization function for the Q31 IIR lattice filter. + * @param[in] *S points to an instance of the Q31 IIR lattice structure. + * @param[in] numStages number of stages in the filter. + * @param[in] *pkCoeffs points to the reflection coefficient buffer. The array is of length numStages. + * @param[in] *pvCoeffs points to the ladder coefficient buffer. The array is of length numStages+1. + * @param[in] *pState points to the state buffer. The array is of length numStages+blockSize. + * @param[in] blockSize number of samples to process. + * @return none. + */ + + void arm_iir_lattice_init_q31( + arm_iir_lattice_instance_q31 * S, + uint16_t numStages, + q31_t * pkCoeffs, + q31_t * pvCoeffs, + q31_t * pState, + uint32_t blockSize); + + + /** + * @brief Processing function for the Q15 IIR lattice filter. + * @param[in] *S points to an instance of the Q15 IIR lattice structure. + * @param[in] *pSrc points to the block of input data. + * @param[out] *pDst points to the block of output data. + * @param[in] blockSize number of samples to process. + * @return none. + */ + + void arm_iir_lattice_q15( + const arm_iir_lattice_instance_q15 * S, + q15_t * pSrc, + q15_t * pDst, + uint32_t blockSize); + + +/** + * @brief Initialization function for the Q15 IIR lattice filter. + * @param[in] *S points to an instance of the fixed-point Q15 IIR lattice structure. + * @param[in] numStages number of stages in the filter. + * @param[in] *pkCoeffs points to reflection coefficient buffer. The array is of length numStages. + * @param[in] *pvCoeffs points to ladder coefficient buffer. The array is of length numStages+1. + * @param[in] *pState points to state buffer. The array is of length numStages+blockSize. + * @param[in] blockSize number of samples to process per call. + * @return none. + */ + + void arm_iir_lattice_init_q15( + arm_iir_lattice_instance_q15 * S, + uint16_t numStages, + q15_t * pkCoeffs, + q15_t * pvCoeffs, + q15_t * pState, + uint32_t blockSize); + + /** + * @brief Instance structure for the floating-point LMS filter. + */ + + typedef struct + { + uint16_t numTaps; /**< number of coefficients in the filter. */ + float32_t *pState; /**< points to the state variable array. The array is of length numTaps+blockSize-1. */ + float32_t *pCoeffs; /**< points to the coefficient array. The array is of length numTaps. */ + float32_t mu; /**< step size that controls filter coefficient updates. */ + } arm_lms_instance_f32; + + /** + * @brief Processing function for floating-point LMS filter. + * @param[in] *S points to an instance of the floating-point LMS filter structure. + * @param[in] *pSrc points to the block of input data. + * @param[in] *pRef points to the block of reference data. + * @param[out] *pOut points to the block of output data. + * @param[out] *pErr points to the block of error data. + * @param[in] blockSize number of samples to process. + * @return none. + */ + + void arm_lms_f32( + const arm_lms_instance_f32 * S, + float32_t * pSrc, + float32_t * pRef, + float32_t * pOut, + float32_t * pErr, + uint32_t blockSize); + + /** + * @brief Initialization function for floating-point LMS filter. + * @param[in] *S points to an instance of the floating-point LMS filter structure. + * @param[in] numTaps number of filter coefficients. + * @param[in] *pCoeffs points to the coefficient buffer. + * @param[in] *pState points to state buffer. + * @param[in] mu step size that controls filter coefficient updates. + * @param[in] blockSize number of samples to process. + * @return none. + */ + + void arm_lms_init_f32( + arm_lms_instance_f32 * S, + uint16_t numTaps, + float32_t * pCoeffs, + float32_t * pState, + float32_t mu, + uint32_t blockSize); + + /** + * @brief Instance structure for the Q15 LMS filter. + */ + + typedef struct + { + uint16_t numTaps; /**< number of coefficients in the filter. */ + q15_t *pState; /**< points to the state variable array. The array is of length numTaps+blockSize-1. */ + q15_t *pCoeffs; /**< points to the coefficient array. The array is of length numTaps. */ + q15_t mu; /**< step size that controls filter coefficient updates. */ + uint32_t postShift; /**< bit shift applied to coefficients. */ + } arm_lms_instance_q15; + + + /** + * @brief Initialization function for the Q15 LMS filter. + * @param[in] *S points to an instance of the Q15 LMS filter structure. + * @param[in] numTaps number of filter coefficients. + * @param[in] *pCoeffs points to the coefficient buffer. + * @param[in] *pState points to the state buffer. + * @param[in] mu step size that controls filter coefficient updates. + * @param[in] blockSize number of samples to process. + * @param[in] postShift bit shift applied to coefficients. + * @return none. + */ + + void arm_lms_init_q15( + arm_lms_instance_q15 * S, + uint16_t numTaps, + q15_t * pCoeffs, + q15_t * pState, + q15_t mu, + uint32_t blockSize, + uint32_t postShift); + + /** + * @brief Processing function for Q15 LMS filter. + * @param[in] *S points to an instance of the Q15 LMS filter structure. + * @param[in] *pSrc points to the block of input data. + * @param[in] *pRef points to the block of reference data. + * @param[out] *pOut points to the block of output data. + * @param[out] *pErr points to the block of error data. + * @param[in] blockSize number of samples to process. + * @return none. + */ + + void arm_lms_q15( + const arm_lms_instance_q15 * S, + q15_t * pSrc, + q15_t * pRef, + q15_t * pOut, + q15_t * pErr, + uint32_t blockSize); + + + /** + * @brief Instance structure for the Q31 LMS filter. + */ + + typedef struct + { + uint16_t numTaps; /**< number of coefficients in the filter. */ + q31_t *pState; /**< points to the state variable array. The array is of length numTaps+blockSize-1. */ + q31_t *pCoeffs; /**< points to the coefficient array. The array is of length numTaps. */ + q31_t mu; /**< step size that controls filter coefficient updates. */ + uint32_t postShift; /**< bit shift applied to coefficients. */ + + } arm_lms_instance_q31; + + /** + * @brief Processing function for Q31 LMS filter. + * @param[in] *S points to an instance of the Q15 LMS filter structure. + * @param[in] *pSrc points to the block of input data. + * @param[in] *pRef points to the block of reference data. + * @param[out] *pOut points to the block of output data. + * @param[out] *pErr points to the block of error data. + * @param[in] blockSize number of samples to process. + * @return none. + */ + + void arm_lms_q31( + const arm_lms_instance_q31 * S, + q31_t * pSrc, + q31_t * pRef, + q31_t * pOut, + q31_t * pErr, + uint32_t blockSize); + + /** + * @brief Initialization function for Q31 LMS filter. + * @param[in] *S points to an instance of the Q31 LMS filter structure. + * @param[in] numTaps number of filter coefficients. + * @param[in] *pCoeffs points to coefficient buffer. + * @param[in] *pState points to state buffer. + * @param[in] mu step size that controls filter coefficient updates. + * @param[in] blockSize number of samples to process. + * @param[in] postShift bit shift applied to coefficients. + * @return none. + */ + + void arm_lms_init_q31( + arm_lms_instance_q31 * S, + uint16_t numTaps, + q31_t * pCoeffs, + q31_t * pState, + q31_t mu, + uint32_t blockSize, + uint32_t postShift); + + /** + * @brief Instance structure for the floating-point normalized LMS filter. + */ + + typedef struct + { + uint16_t numTaps; /**< number of coefficients in the filter. */ + float32_t *pState; /**< points to the state variable array. The array is of length numTaps+blockSize-1. */ + float32_t *pCoeffs; /**< points to the coefficient array. The array is of length numTaps. */ + float32_t mu; /**< step size that control filter coefficient updates. */ + float32_t energy; /**< saves previous frame energy. */ + float32_t x0; /**< saves previous input sample. */ + } arm_lms_norm_instance_f32; + + /** + * @brief Processing function for floating-point normalized LMS filter. + * @param[in] *S points to an instance of the floating-point normalized LMS filter structure. + * @param[in] *pSrc points to the block of input data. + * @param[in] *pRef points to the block of reference data. + * @param[out] *pOut points to the block of output data. + * @param[out] *pErr points to the block of error data. + * @param[in] blockSize number of samples to process. + * @return none. + */ + + void arm_lms_norm_f32( + arm_lms_norm_instance_f32 * S, + float32_t * pSrc, + float32_t * pRef, + float32_t * pOut, + float32_t * pErr, + uint32_t blockSize); + + /** + * @brief Initialization function for floating-point normalized LMS filter. + * @param[in] *S points to an instance of the floating-point LMS filter structure. + * @param[in] numTaps number of filter coefficients. + * @param[in] *pCoeffs points to coefficient buffer. + * @param[in] *pState points to state buffer. + * @param[in] mu step size that controls filter coefficient updates. + * @param[in] blockSize number of samples to process. + * @return none. + */ + + void arm_lms_norm_init_f32( + arm_lms_norm_instance_f32 * S, + uint16_t numTaps, + float32_t * pCoeffs, + float32_t * pState, + float32_t mu, + uint32_t blockSize); + + + /** + * @brief Instance structure for the Q31 normalized LMS filter. + */ + typedef struct + { + uint16_t numTaps; /**< number of coefficients in the filter. */ + q31_t *pState; /**< points to the state variable array. The array is of length numTaps+blockSize-1. */ + q31_t *pCoeffs; /**< points to the coefficient array. The array is of length numTaps. */ + q31_t mu; /**< step size that controls filter coefficient updates. */ + uint8_t postShift; /**< bit shift applied to coefficients. */ + q31_t *recipTable; /**< points to the reciprocal initial value table. */ + q31_t energy; /**< saves previous frame energy. */ + q31_t x0; /**< saves previous input sample. */ + } arm_lms_norm_instance_q31; + + /** + * @brief Processing function for Q31 normalized LMS filter. + * @param[in] *S points to an instance of the Q31 normalized LMS filter structure. + * @param[in] *pSrc points to the block of input data. + * @param[in] *pRef points to the block of reference data. + * @param[out] *pOut points to the block of output data. + * @param[out] *pErr points to the block of error data. + * @param[in] blockSize number of samples to process. + * @return none. + */ + + void arm_lms_norm_q31( + arm_lms_norm_instance_q31 * S, + q31_t * pSrc, + q31_t * pRef, + q31_t * pOut, + q31_t * pErr, + uint32_t blockSize); + + /** + * @brief Initialization function for Q31 normalized LMS filter. + * @param[in] *S points to an instance of the Q31 normalized LMS filter structure. + * @param[in] numTaps number of filter coefficients. + * @param[in] *pCoeffs points to coefficient buffer. + * @param[in] *pState points to state buffer. + * @param[in] mu step size that controls filter coefficient updates. + * @param[in] blockSize number of samples to process. + * @param[in] postShift bit shift applied to coefficients. + * @return none. + */ + + void arm_lms_norm_init_q31( + arm_lms_norm_instance_q31 * S, + uint16_t numTaps, + q31_t * pCoeffs, + q31_t * pState, + q31_t mu, + uint32_t blockSize, + uint8_t postShift); + + /** + * @brief Instance structure for the Q15 normalized LMS filter. + */ + + typedef struct + { + uint16_t numTaps; /**< Number of coefficients in the filter. */ + q15_t *pState; /**< points to the state variable array. The array is of length numTaps+blockSize-1. */ + q15_t *pCoeffs; /**< points to the coefficient array. The array is of length numTaps. */ + q15_t mu; /**< step size that controls filter coefficient updates. */ + uint8_t postShift; /**< bit shift applied to coefficients. */ + q15_t *recipTable; /**< Points to the reciprocal initial value table. */ + q15_t energy; /**< saves previous frame energy. */ + q15_t x0; /**< saves previous input sample. */ + } arm_lms_norm_instance_q15; + + /** + * @brief Processing function for Q15 normalized LMS filter. + * @param[in] *S points to an instance of the Q15 normalized LMS filter structure. + * @param[in] *pSrc points to the block of input data. + * @param[in] *pRef points to the block of reference data. + * @param[out] *pOut points to the block of output data. + * @param[out] *pErr points to the block of error data. + * @param[in] blockSize number of samples to process. + * @return none. + */ + + void arm_lms_norm_q15( + arm_lms_norm_instance_q15 * S, + q15_t * pSrc, + q15_t * pRef, + q15_t * pOut, + q15_t * pErr, + uint32_t blockSize); + + + /** + * @brief Initialization function for Q15 normalized LMS filter. + * @param[in] *S points to an instance of the Q15 normalized LMS filter structure. + * @param[in] numTaps number of filter coefficients. + * @param[in] *pCoeffs points to coefficient buffer. + * @param[in] *pState points to state buffer. + * @param[in] mu step size that controls filter coefficient updates. + * @param[in] blockSize number of samples to process. + * @param[in] postShift bit shift applied to coefficients. + * @return none. + */ + + void arm_lms_norm_init_q15( + arm_lms_norm_instance_q15 * S, + uint16_t numTaps, + q15_t * pCoeffs, + q15_t * pState, + q15_t mu, + uint32_t blockSize, + uint8_t postShift); + + /** + * @brief Correlation of floating-point sequences. + * @param[in] *pSrcA points to the first input sequence. + * @param[in] srcALen length of the first input sequence. + * @param[in] *pSrcB points to the second input sequence. + * @param[in] srcBLen length of the second input sequence. + * @param[out] *pDst points to the block of output data Length 2 * max(srcALen, srcBLen) - 1. + * @return none. + */ + + void arm_correlate_f32( + float32_t * pSrcA, + uint32_t srcALen, + float32_t * pSrcB, + uint32_t srcBLen, + float32_t * pDst); + + + /** + * @brief Correlation of Q15 sequences + * @param[in] *pSrcA points to the first input sequence. + * @param[in] srcALen length of the first input sequence. + * @param[in] *pSrcB points to the second input sequence. + * @param[in] srcBLen length of the second input sequence. + * @param[out] *pDst points to the block of output data Length 2 * max(srcALen, srcBLen) - 1. + * @param[in] *pScratch points to scratch buffer of size max(srcALen, srcBLen) + 2*min(srcALen, srcBLen) - 2. + * @return none. + */ + void arm_correlate_opt_q15( + q15_t * pSrcA, + uint32_t srcALen, + q15_t * pSrcB, + uint32_t srcBLen, + q15_t * pDst, + q15_t * pScratch); + + + /** + * @brief Correlation of Q15 sequences. + * @param[in] *pSrcA points to the first input sequence. + * @param[in] srcALen length of the first input sequence. + * @param[in] *pSrcB points to the second input sequence. + * @param[in] srcBLen length of the second input sequence. + * @param[out] *pDst points to the block of output data Length 2 * max(srcALen, srcBLen) - 1. + * @return none. + */ + + void arm_correlate_q15( + q15_t * pSrcA, + uint32_t srcALen, + q15_t * pSrcB, + uint32_t srcBLen, + q15_t * pDst); + + /** + * @brief Correlation of Q15 sequences (fast version) for Cortex-M3 and Cortex-M4. + * @param[in] *pSrcA points to the first input sequence. + * @param[in] srcALen length of the first input sequence. + * @param[in] *pSrcB points to the second input sequence. + * @param[in] srcBLen length of the second input sequence. + * @param[out] *pDst points to the block of output data Length 2 * max(srcALen, srcBLen) - 1. + * @return none. + */ + + void arm_correlate_fast_q15( + q15_t * pSrcA, + uint32_t srcALen, + q15_t * pSrcB, + uint32_t srcBLen, + q15_t * pDst); + + + + /** + * @brief Correlation of Q15 sequences (fast version) for Cortex-M3 and Cortex-M4. + * @param[in] *pSrcA points to the first input sequence. + * @param[in] srcALen length of the first input sequence. + * @param[in] *pSrcB points to the second input sequence. + * @param[in] srcBLen length of the second input sequence. + * @param[out] *pDst points to the block of output data Length 2 * max(srcALen, srcBLen) - 1. + * @param[in] *pScratch points to scratch buffer of size max(srcALen, srcBLen) + 2*min(srcALen, srcBLen) - 2. + * @return none. + */ + + void arm_correlate_fast_opt_q15( + q15_t * pSrcA, + uint32_t srcALen, + q15_t * pSrcB, + uint32_t srcBLen, + q15_t * pDst, + q15_t * pScratch); + + /** + * @brief Correlation of Q31 sequences. + * @param[in] *pSrcA points to the first input sequence. + * @param[in] srcALen length of the first input sequence. + * @param[in] *pSrcB points to the second input sequence. + * @param[in] srcBLen length of the second input sequence. + * @param[out] *pDst points to the block of output data Length 2 * max(srcALen, srcBLen) - 1. + * @return none. + */ + + void arm_correlate_q31( + q31_t * pSrcA, + uint32_t srcALen, + q31_t * pSrcB, + uint32_t srcBLen, + q31_t * pDst); + + /** + * @brief Correlation of Q31 sequences (fast version) for Cortex-M3 and Cortex-M4 + * @param[in] *pSrcA points to the first input sequence. + * @param[in] srcALen length of the first input sequence. + * @param[in] *pSrcB points to the second input sequence. + * @param[in] srcBLen length of the second input sequence. + * @param[out] *pDst points to the block of output data Length 2 * max(srcALen, srcBLen) - 1. + * @return none. + */ + + void arm_correlate_fast_q31( + q31_t * pSrcA, + uint32_t srcALen, + q31_t * pSrcB, + uint32_t srcBLen, + q31_t * pDst); + + + + /** + * @brief Correlation of Q7 sequences. + * @param[in] *pSrcA points to the first input sequence. + * @param[in] srcALen length of the first input sequence. + * @param[in] *pSrcB points to the second input sequence. + * @param[in] srcBLen length of the second input sequence. + * @param[out] *pDst points to the block of output data Length 2 * max(srcALen, srcBLen) - 1. + * @param[in] *pScratch1 points to scratch buffer(of type q15_t) of size max(srcALen, srcBLen) + 2*min(srcALen, srcBLen) - 2. + * @param[in] *pScratch2 points to scratch buffer (of type q15_t) of size min(srcALen, srcBLen). + * @return none. + */ + + void arm_correlate_opt_q7( + q7_t * pSrcA, + uint32_t srcALen, + q7_t * pSrcB, + uint32_t srcBLen, + q7_t * pDst, + q15_t * pScratch1, + q15_t * pScratch2); + + + /** + * @brief Correlation of Q7 sequences. + * @param[in] *pSrcA points to the first input sequence. + * @param[in] srcALen length of the first input sequence. + * @param[in] *pSrcB points to the second input sequence. + * @param[in] srcBLen length of the second input sequence. + * @param[out] *pDst points to the block of output data Length 2 * max(srcALen, srcBLen) - 1. + * @return none. + */ + + void arm_correlate_q7( + q7_t * pSrcA, + uint32_t srcALen, + q7_t * pSrcB, + uint32_t srcBLen, + q7_t * pDst); + + + /** + * @brief Instance structure for the floating-point sparse FIR filter. + */ + typedef struct + { + uint16_t numTaps; /**< number of coefficients in the filter. */ + uint16_t stateIndex; /**< state buffer index. Points to the oldest sample in the state buffer. */ + float32_t *pState; /**< points to the state buffer array. The array is of length maxDelay+blockSize-1. */ + float32_t *pCoeffs; /**< points to the coefficient array. The array is of length numTaps.*/ + uint16_t maxDelay; /**< maximum offset specified by the pTapDelay array. */ + int32_t *pTapDelay; /**< points to the array of delay values. The array is of length numTaps. */ + } arm_fir_sparse_instance_f32; + + /** + * @brief Instance structure for the Q31 sparse FIR filter. + */ + + typedef struct + { + uint16_t numTaps; /**< number of coefficients in the filter. */ + uint16_t stateIndex; /**< state buffer index. Points to the oldest sample in the state buffer. */ + q31_t *pState; /**< points to the state buffer array. The array is of length maxDelay+blockSize-1. */ + q31_t *pCoeffs; /**< points to the coefficient array. The array is of length numTaps.*/ + uint16_t maxDelay; /**< maximum offset specified by the pTapDelay array. */ + int32_t *pTapDelay; /**< points to the array of delay values. The array is of length numTaps. */ + } arm_fir_sparse_instance_q31; + + /** + * @brief Instance structure for the Q15 sparse FIR filter. + */ + + typedef struct + { + uint16_t numTaps; /**< number of coefficients in the filter. */ + uint16_t stateIndex; /**< state buffer index. Points to the oldest sample in the state buffer. */ + q15_t *pState; /**< points to the state buffer array. The array is of length maxDelay+blockSize-1. */ + q15_t *pCoeffs; /**< points to the coefficient array. The array is of length numTaps.*/ + uint16_t maxDelay; /**< maximum offset specified by the pTapDelay array. */ + int32_t *pTapDelay; /**< points to the array of delay values. The array is of length numTaps. */ + } arm_fir_sparse_instance_q15; + + /** + * @brief Instance structure for the Q7 sparse FIR filter. + */ + + typedef struct + { + uint16_t numTaps; /**< number of coefficients in the filter. */ + uint16_t stateIndex; /**< state buffer index. Points to the oldest sample in the state buffer. */ + q7_t *pState; /**< points to the state buffer array. The array is of length maxDelay+blockSize-1. */ + q7_t *pCoeffs; /**< points to the coefficient array. The array is of length numTaps.*/ + uint16_t maxDelay; /**< maximum offset specified by the pTapDelay array. */ + int32_t *pTapDelay; /**< points to the array of delay values. The array is of length numTaps. */ + } arm_fir_sparse_instance_q7; + + /** + * @brief Processing function for the floating-point sparse FIR filter. + * @param[in] *S points to an instance of the floating-point sparse FIR structure. + * @param[in] *pSrc points to the block of input data. + * @param[out] *pDst points to the block of output data + * @param[in] *pScratchIn points to a temporary buffer of size blockSize. + * @param[in] blockSize number of input samples to process per call. + * @return none. + */ + + void arm_fir_sparse_f32( + arm_fir_sparse_instance_f32 * S, + float32_t * pSrc, + float32_t * pDst, + float32_t * pScratchIn, + uint32_t blockSize); + + /** + * @brief Initialization function for the floating-point sparse FIR filter. + * @param[in,out] *S points to an instance of the floating-point sparse FIR structure. + * @param[in] numTaps number of nonzero coefficients in the filter. + * @param[in] *pCoeffs points to the array of filter coefficients. + * @param[in] *pState points to the state buffer. + * @param[in] *pTapDelay points to the array of offset times. + * @param[in] maxDelay maximum offset time supported. + * @param[in] blockSize number of samples that will be processed per block. + * @return none + */ + + void arm_fir_sparse_init_f32( + arm_fir_sparse_instance_f32 * S, + uint16_t numTaps, + float32_t * pCoeffs, + float32_t * pState, + int32_t * pTapDelay, + uint16_t maxDelay, + uint32_t blockSize); + + /** + * @brief Processing function for the Q31 sparse FIR filter. + * @param[in] *S points to an instance of the Q31 sparse FIR structure. + * @param[in] *pSrc points to the block of input data. + * @param[out] *pDst points to the block of output data + * @param[in] *pScratchIn points to a temporary buffer of size blockSize. + * @param[in] blockSize number of input samples to process per call. + * @return none. + */ + + void arm_fir_sparse_q31( + arm_fir_sparse_instance_q31 * S, + q31_t * pSrc, + q31_t * pDst, + q31_t * pScratchIn, + uint32_t blockSize); + + /** + * @brief Initialization function for the Q31 sparse FIR filter. + * @param[in,out] *S points to an instance of the Q31 sparse FIR structure. + * @param[in] numTaps number of nonzero coefficients in the filter. + * @param[in] *pCoeffs points to the array of filter coefficients. + * @param[in] *pState points to the state buffer. + * @param[in] *pTapDelay points to the array of offset times. + * @param[in] maxDelay maximum offset time supported. + * @param[in] blockSize number of samples that will be processed per block. + * @return none + */ + + void arm_fir_sparse_init_q31( + arm_fir_sparse_instance_q31 * S, + uint16_t numTaps, + q31_t * pCoeffs, + q31_t * pState, + int32_t * pTapDelay, + uint16_t maxDelay, + uint32_t blockSize); + + /** + * @brief Processing function for the Q15 sparse FIR filter. + * @param[in] *S points to an instance of the Q15 sparse FIR structure. + * @param[in] *pSrc points to the block of input data. + * @param[out] *pDst points to the block of output data + * @param[in] *pScratchIn points to a temporary buffer of size blockSize. + * @param[in] *pScratchOut points to a temporary buffer of size blockSize. + * @param[in] blockSize number of input samples to process per call. + * @return none. + */ + + void arm_fir_sparse_q15( + arm_fir_sparse_instance_q15 * S, + q15_t * pSrc, + q15_t * pDst, + q15_t * pScratchIn, + q31_t * pScratchOut, + uint32_t blockSize); + + + /** + * @brief Initialization function for the Q15 sparse FIR filter. + * @param[in,out] *S points to an instance of the Q15 sparse FIR structure. + * @param[in] numTaps number of nonzero coefficients in the filter. + * @param[in] *pCoeffs points to the array of filter coefficients. + * @param[in] *pState points to the state buffer. + * @param[in] *pTapDelay points to the array of offset times. + * @param[in] maxDelay maximum offset time supported. + * @param[in] blockSize number of samples that will be processed per block. + * @return none + */ + + void arm_fir_sparse_init_q15( + arm_fir_sparse_instance_q15 * S, + uint16_t numTaps, + q15_t * pCoeffs, + q15_t * pState, + int32_t * pTapDelay, + uint16_t maxDelay, + uint32_t blockSize); + + /** + * @brief Processing function for the Q7 sparse FIR filter. + * @param[in] *S points to an instance of the Q7 sparse FIR structure. + * @param[in] *pSrc points to the block of input data. + * @param[out] *pDst points to the block of output data + * @param[in] *pScratchIn points to a temporary buffer of size blockSize. + * @param[in] *pScratchOut points to a temporary buffer of size blockSize. + * @param[in] blockSize number of input samples to process per call. + * @return none. + */ + + void arm_fir_sparse_q7( + arm_fir_sparse_instance_q7 * S, + q7_t * pSrc, + q7_t * pDst, + q7_t * pScratchIn, + q31_t * pScratchOut, + uint32_t blockSize); + + /** + * @brief Initialization function for the Q7 sparse FIR filter. + * @param[in,out] *S points to an instance of the Q7 sparse FIR structure. + * @param[in] numTaps number of nonzero coefficients in the filter. + * @param[in] *pCoeffs points to the array of filter coefficients. + * @param[in] *pState points to the state buffer. + * @param[in] *pTapDelay points to the array of offset times. + * @param[in] maxDelay maximum offset time supported. + * @param[in] blockSize number of samples that will be processed per block. + * @return none + */ + + void arm_fir_sparse_init_q7( + arm_fir_sparse_instance_q7 * S, + uint16_t numTaps, + q7_t * pCoeffs, + q7_t * pState, + int32_t * pTapDelay, + uint16_t maxDelay, + uint32_t blockSize); + + + /* + * @brief Floating-point sin_cos function. + * @param[in] theta input value in degrees + * @param[out] *pSinVal points to the processed sine output. + * @param[out] *pCosVal points to the processed cos output. + * @return none. + */ + + void arm_sin_cos_f32( + float32_t theta, + float32_t * pSinVal, + float32_t * pCcosVal); + + /* + * @brief Q31 sin_cos function. + * @param[in] theta scaled input value in degrees + * @param[out] *pSinVal points to the processed sine output. + * @param[out] *pCosVal points to the processed cosine output. + * @return none. + */ + + void arm_sin_cos_q31( + q31_t theta, + q31_t * pSinVal, + q31_t * pCosVal); + + + /** + * @brief Floating-point complex conjugate. + * @param[in] *pSrc points to the input vector + * @param[out] *pDst points to the output vector + * @param[in] numSamples number of complex samples in each vector + * @return none. + */ + + void arm_cmplx_conj_f32( + float32_t * pSrc, + float32_t * pDst, + uint32_t numSamples); + + /** + * @brief Q31 complex conjugate. + * @param[in] *pSrc points to the input vector + * @param[out] *pDst points to the output vector + * @param[in] numSamples number of complex samples in each vector + * @return none. + */ + + void arm_cmplx_conj_q31( + q31_t * pSrc, + q31_t * pDst, + uint32_t numSamples); + + /** + * @brief Q15 complex conjugate. + * @param[in] *pSrc points to the input vector + * @param[out] *pDst points to the output vector + * @param[in] numSamples number of complex samples in each vector + * @return none. + */ + + void arm_cmplx_conj_q15( + q15_t * pSrc, + q15_t * pDst, + uint32_t numSamples); + + + + /** + * @brief Floating-point complex magnitude squared + * @param[in] *pSrc points to the complex input vector + * @param[out] *pDst points to the real output vector + * @param[in] numSamples number of complex samples in the input vector + * @return none. + */ + + void arm_cmplx_mag_squared_f32( + float32_t * pSrc, + float32_t * pDst, + uint32_t numSamples); + + /** + * @brief Q31 complex magnitude squared + * @param[in] *pSrc points to the complex input vector + * @param[out] *pDst points to the real output vector + * @param[in] numSamples number of complex samples in the input vector + * @return none. + */ + + void arm_cmplx_mag_squared_q31( + q31_t * pSrc, + q31_t * pDst, + uint32_t numSamples); + + /** + * @brief Q15 complex magnitude squared + * @param[in] *pSrc points to the complex input vector + * @param[out] *pDst points to the real output vector + * @param[in] numSamples number of complex samples in the input vector + * @return none. + */ + + void arm_cmplx_mag_squared_q15( + q15_t * pSrc, + q15_t * pDst, + uint32_t numSamples); + + + /** + * @ingroup groupController + */ + + /** + * @defgroup PID PID Motor Control + * + * A Proportional Integral Derivative (PID) controller is a generic feedback control + * loop mechanism widely used in industrial control systems. + * A PID controller is the most commonly used type of feedback controller. + * + * This set of functions implements (PID) controllers + * for Q15, Q31, and floating-point data types. The functions operate on a single sample + * of data and each call to the function returns a single processed value. + * S points to an instance of the PID control data structure. in + * is the input sample value. The functions return the output value. + * + * \par Algorithm: + *
+   *    y[n] = y[n-1] + A0 * x[n] + A1 * x[n-1] + A2 * x[n-2]
+   *    A0 = Kp + Ki + Kd
+   *    A1 = (-Kp ) - (2 * Kd )
+   *    A2 = Kd  
+ * + * \par + * where \c Kp is proportional constant, \c Ki is Integral constant and \c Kd is Derivative constant + * + * \par + * \image html PID.gif "Proportional Integral Derivative Controller" + * + * \par + * The PID controller calculates an "error" value as the difference between + * the measured output and the reference input. + * The controller attempts to minimize the error by adjusting the process control inputs. + * The proportional value determines the reaction to the current error, + * the integral value determines the reaction based on the sum of recent errors, + * and the derivative value determines the reaction based on the rate at which the error has been changing. + * + * \par Instance Structure + * The Gains A0, A1, A2 and state variables for a PID controller are stored together in an instance data structure. + * A separate instance structure must be defined for each PID Controller. + * There are separate instance structure declarations for each of the 3 supported data types. + * + * \par Reset Functions + * There is also an associated reset function for each data type which clears the state array. + * + * \par Initialization Functions + * There is also an associated initialization function for each data type. + * The initialization function performs the following operations: + * - Initializes the Gains A0, A1, A2 from Kp,Ki, Kd gains. + * - Zeros out the values in the state buffer. + * + * \par + * Instance structure cannot be placed into a const data section and it is recommended to use the initialization function. + * + * \par Fixed-Point Behavior + * Care must be taken when using the fixed-point versions of the PID Controller functions. + * In particular, the overflow and saturation behavior of the accumulator used in each function must be considered. + * Refer to the function specific documentation below for usage guidelines. + */ + + /** + * @addtogroup PID + * @{ + */ + + /** + * @brief Process function for the floating-point PID Control. + * @param[in,out] *S is an instance of the floating-point PID Control structure + * @param[in] in input sample to process + * @return out processed output sample. + */ + + + static __INLINE float32_t arm_pid_f32( + arm_pid_instance_f32 * S, + float32_t in) + { + float32_t out; + + /* y[n] = y[n-1] + A0 * x[n] + A1 * x[n-1] + A2 * x[n-2] */ + out = (S->A0 * in) + + (S->A1 * S->state[0]) + (S->A2 * S->state[1]) + (S->state[2]); + + /* Update state */ + S->state[1] = S->state[0]; + S->state[0] = in; + S->state[2] = out; + + /* return to application */ + return (out); + + } + + /** + * @brief Process function for the Q31 PID Control. + * @param[in,out] *S points to an instance of the Q31 PID Control structure + * @param[in] in input sample to process + * @return out processed output sample. + * + * Scaling and Overflow Behavior: + * \par + * The function is implemented using an internal 64-bit accumulator. + * The accumulator has a 2.62 format and maintains full precision of the intermediate multiplication results but provides only a single guard bit. + * Thus, if the accumulator result overflows it wraps around rather than clip. + * In order to avoid overflows completely the input signal must be scaled down by 2 bits as there are four additions. + * After all multiply-accumulates are performed, the 2.62 accumulator is truncated to 1.32 format and then saturated to 1.31 format. + */ + + static __INLINE q31_t arm_pid_q31( + arm_pid_instance_q31 * S, + q31_t in) + { + q63_t acc; + q31_t out; + + /* acc = A0 * x[n] */ + acc = (q63_t) S->A0 * in; + + /* acc += A1 * x[n-1] */ + acc += (q63_t) S->A1 * S->state[0]; + + /* acc += A2 * x[n-2] */ + acc += (q63_t) S->A2 * S->state[1]; + + /* convert output to 1.31 format to add y[n-1] */ + out = (q31_t) (acc >> 31u); + + /* out += y[n-1] */ + out += S->state[2]; + + /* Update state */ + S->state[1] = S->state[0]; + S->state[0] = in; + S->state[2] = out; + + /* return to application */ + return (out); + + } + + /** + * @brief Process function for the Q15 PID Control. + * @param[in,out] *S points to an instance of the Q15 PID Control structure + * @param[in] in input sample to process + * @return out processed output sample. + * + * Scaling and Overflow Behavior: + * \par + * The function is implemented using a 64-bit internal accumulator. + * Both Gains and state variables are represented in 1.15 format and multiplications yield a 2.30 result. + * The 2.30 intermediate results are accumulated in a 64-bit accumulator in 34.30 format. + * There is no risk of internal overflow with this approach and the full precision of intermediate multiplications is preserved. + * After all additions have been performed, the accumulator is truncated to 34.15 format by discarding low 15 bits. + * Lastly, the accumulator is saturated to yield a result in 1.15 format. + */ + + static __INLINE q15_t arm_pid_q15( + arm_pid_instance_q15 * S, + q15_t in) + { + q63_t acc; + q15_t out; + +#ifndef ARM_MATH_CM0_FAMILY + __SIMD32_TYPE *vstate; + + /* Implementation of PID controller */ + + /* acc = A0 * x[n] */ + acc = (q31_t) __SMUAD(S->A0, in); + + /* acc += A1 * x[n-1] + A2 * x[n-2] */ + vstate = __SIMD32_CONST(S->state); + acc = __SMLALD(S->A1, (q31_t) *vstate, acc); + +#else + /* acc = A0 * x[n] */ + acc = ((q31_t) S->A0) * in; + + /* acc += A1 * x[n-1] + A2 * x[n-2] */ + acc += (q31_t) S->A1 * S->state[0]; + acc += (q31_t) S->A2 * S->state[1]; + +#endif + + /* acc += y[n-1] */ + acc += (q31_t) S->state[2] << 15; + + /* saturate the output */ + out = (q15_t) (__SSAT((acc >> 15), 16)); + + /* Update state */ + S->state[1] = S->state[0]; + S->state[0] = in; + S->state[2] = out; + + /* return to application */ + return (out); + + } + + /** + * @} end of PID group + */ + + + /** + * @brief Floating-point matrix inverse. + * @param[in] *src points to the instance of the input floating-point matrix structure. + * @param[out] *dst points to the instance of the output floating-point matrix structure. + * @return The function returns ARM_MATH_SIZE_MISMATCH, if the dimensions do not match. + * If the input matrix is singular (does not have an inverse), then the algorithm terminates and returns error status ARM_MATH_SINGULAR. + */ + + arm_status arm_mat_inverse_f32( + const arm_matrix_instance_f32 * src, + arm_matrix_instance_f32 * dst); + + + + /** + * @ingroup groupController + */ + + + /** + * @defgroup clarke Vector Clarke Transform + * Forward Clarke transform converts the instantaneous stator phases into a two-coordinate time invariant vector. + * Generally the Clarke transform uses three-phase currents Ia, Ib and Ic to calculate currents + * in the two-phase orthogonal stator axis Ialpha and Ibeta. + * When Ialpha is superposed with Ia as shown in the figure below + * \image html clarke.gif Stator current space vector and its components in (a,b). + * and Ia + Ib + Ic = 0, in this condition Ialpha and Ibeta + * can be calculated using only Ia and Ib. + * + * The function operates on a single sample of data and each call to the function returns the processed output. + * The library provides separate functions for Q31 and floating-point data types. + * \par Algorithm + * \image html clarkeFormula.gif + * where Ia and Ib are the instantaneous stator phases and + * pIalpha and pIbeta are the two coordinates of time invariant vector. + * \par Fixed-Point Behavior + * Care must be taken when using the Q31 version of the Clarke transform. + * In particular, the overflow and saturation behavior of the accumulator used must be considered. + * Refer to the function specific documentation below for usage guidelines. + */ + + /** + * @addtogroup clarke + * @{ + */ + + /** + * + * @brief Floating-point Clarke transform + * @param[in] Ia input three-phase coordinate a + * @param[in] Ib input three-phase coordinate b + * @param[out] *pIalpha points to output two-phase orthogonal vector axis alpha + * @param[out] *pIbeta points to output two-phase orthogonal vector axis beta + * @return none. + */ + + static __INLINE void arm_clarke_f32( + float32_t Ia, + float32_t Ib, + float32_t * pIalpha, + float32_t * pIbeta) + { + /* Calculate pIalpha using the equation, pIalpha = Ia */ + *pIalpha = Ia; + + /* Calculate pIbeta using the equation, pIbeta = (1/sqrt(3)) * Ia + (2/sqrt(3)) * Ib */ + *pIbeta = + ((float32_t) 0.57735026919 * Ia + (float32_t) 1.15470053838 * Ib); + + } + + /** + * @brief Clarke transform for Q31 version + * @param[in] Ia input three-phase coordinate a + * @param[in] Ib input three-phase coordinate b + * @param[out] *pIalpha points to output two-phase orthogonal vector axis alpha + * @param[out] *pIbeta points to output two-phase orthogonal vector axis beta + * @return none. + * + * Scaling and Overflow Behavior: + * \par + * The function is implemented using an internal 32-bit accumulator. + * The accumulator maintains 1.31 format by truncating lower 31 bits of the intermediate multiplication in 2.62 format. + * There is saturation on the addition, hence there is no risk of overflow. + */ + + static __INLINE void arm_clarke_q31( + q31_t Ia, + q31_t Ib, + q31_t * pIalpha, + q31_t * pIbeta) + { + q31_t product1, product2; /* Temporary variables used to store intermediate results */ + + /* Calculating pIalpha from Ia by equation pIalpha = Ia */ + *pIalpha = Ia; + + /* Intermediate product is calculated by (1/(sqrt(3)) * Ia) */ + product1 = (q31_t) (((q63_t) Ia * 0x24F34E8B) >> 30); + + /* Intermediate product is calculated by (2/sqrt(3) * Ib) */ + product2 = (q31_t) (((q63_t) Ib * 0x49E69D16) >> 30); + + /* pIbeta is calculated by adding the intermediate products */ + *pIbeta = __QADD(product1, product2); + } + + /** + * @} end of clarke group + */ + + /** + * @brief Converts the elements of the Q7 vector to Q31 vector. + * @param[in] *pSrc input pointer + * @param[out] *pDst output pointer + * @param[in] blockSize number of samples to process + * @return none. + */ + void arm_q7_to_q31( + q7_t * pSrc, + q31_t * pDst, + uint32_t blockSize); + + + + + /** + * @ingroup groupController + */ + + /** + * @defgroup inv_clarke Vector Inverse Clarke Transform + * Inverse Clarke transform converts the two-coordinate time invariant vector into instantaneous stator phases. + * + * The function operates on a single sample of data and each call to the function returns the processed output. + * The library provides separate functions for Q31 and floating-point data types. + * \par Algorithm + * \image html clarkeInvFormula.gif + * where pIa and pIb are the instantaneous stator phases and + * Ialpha and Ibeta are the two coordinates of time invariant vector. + * \par Fixed-Point Behavior + * Care must be taken when using the Q31 version of the Clarke transform. + * In particular, the overflow and saturation behavior of the accumulator used must be considered. + * Refer to the function specific documentation below for usage guidelines. + */ + + /** + * @addtogroup inv_clarke + * @{ + */ + + /** + * @brief Floating-point Inverse Clarke transform + * @param[in] Ialpha input two-phase orthogonal vector axis alpha + * @param[in] Ibeta input two-phase orthogonal vector axis beta + * @param[out] *pIa points to output three-phase coordinate a + * @param[out] *pIb points to output three-phase coordinate b + * @return none. + */ + + + static __INLINE void arm_inv_clarke_f32( + float32_t Ialpha, + float32_t Ibeta, + float32_t * pIa, + float32_t * pIb) + { + /* Calculating pIa from Ialpha by equation pIa = Ialpha */ + *pIa = Ialpha; + + /* Calculating pIb from Ialpha and Ibeta by equation pIb = -(1/2) * Ialpha + (sqrt(3)/2) * Ibeta */ + *pIb = -0.5 * Ialpha + (float32_t) 0.8660254039 *Ibeta; + + } + + /** + * @brief Inverse Clarke transform for Q31 version + * @param[in] Ialpha input two-phase orthogonal vector axis alpha + * @param[in] Ibeta input two-phase orthogonal vector axis beta + * @param[out] *pIa points to output three-phase coordinate a + * @param[out] *pIb points to output three-phase coordinate b + * @return none. + * + * Scaling and Overflow Behavior: + * \par + * The function is implemented using an internal 32-bit accumulator. + * The accumulator maintains 1.31 format by truncating lower 31 bits of the intermediate multiplication in 2.62 format. + * There is saturation on the subtraction, hence there is no risk of overflow. + */ + + static __INLINE void arm_inv_clarke_q31( + q31_t Ialpha, + q31_t Ibeta, + q31_t * pIa, + q31_t * pIb) + { + q31_t product1, product2; /* Temporary variables used to store intermediate results */ + + /* Calculating pIa from Ialpha by equation pIa = Ialpha */ + *pIa = Ialpha; + + /* Intermediate product is calculated by (1/(2*sqrt(3)) * Ia) */ + product1 = (q31_t) (((q63_t) (Ialpha) * (0x40000000)) >> 31); + + /* Intermediate product is calculated by (1/sqrt(3) * pIb) */ + product2 = (q31_t) (((q63_t) (Ibeta) * (0x6ED9EBA1)) >> 31); + + /* pIb is calculated by subtracting the products */ + *pIb = __QSUB(product2, product1); + + } + + /** + * @} end of inv_clarke group + */ + + /** + * @brief Converts the elements of the Q7 vector to Q15 vector. + * @param[in] *pSrc input pointer + * @param[out] *pDst output pointer + * @param[in] blockSize number of samples to process + * @return none. + */ + void arm_q7_to_q15( + q7_t * pSrc, + q15_t * pDst, + uint32_t blockSize); + + + + /** + * @ingroup groupController + */ + + /** + * @defgroup park Vector Park Transform + * + * Forward Park transform converts the input two-coordinate vector to flux and torque components. + * The Park transform can be used to realize the transformation of the Ialpha and the Ibeta currents + * from the stationary to the moving reference frame and control the spatial relationship between + * the stator vector current and rotor flux vector. + * If we consider the d axis aligned with the rotor flux, the diagram below shows the + * current vector and the relationship from the two reference frames: + * \image html park.gif "Stator current space vector and its component in (a,b) and in the d,q rotating reference frame" + * + * The function operates on a single sample of data and each call to the function returns the processed output. + * The library provides separate functions for Q31 and floating-point data types. + * \par Algorithm + * \image html parkFormula.gif + * where Ialpha and Ibeta are the stator vector components, + * pId and pIq are rotor vector components and cosVal and sinVal are the + * cosine and sine values of theta (rotor flux position). + * \par Fixed-Point Behavior + * Care must be taken when using the Q31 version of the Park transform. + * In particular, the overflow and saturation behavior of the accumulator used must be considered. + * Refer to the function specific documentation below for usage guidelines. + */ + + /** + * @addtogroup park + * @{ + */ + + /** + * @brief Floating-point Park transform + * @param[in] Ialpha input two-phase vector coordinate alpha + * @param[in] Ibeta input two-phase vector coordinate beta + * @param[out] *pId points to output rotor reference frame d + * @param[out] *pIq points to output rotor reference frame q + * @param[in] sinVal sine value of rotation angle theta + * @param[in] cosVal cosine value of rotation angle theta + * @return none. + * + * The function implements the forward Park transform. + * + */ + + static __INLINE void arm_park_f32( + float32_t Ialpha, + float32_t Ibeta, + float32_t * pId, + float32_t * pIq, + float32_t sinVal, + float32_t cosVal) + { + /* Calculate pId using the equation, pId = Ialpha * cosVal + Ibeta * sinVal */ + *pId = Ialpha * cosVal + Ibeta * sinVal; + + /* Calculate pIq using the equation, pIq = - Ialpha * sinVal + Ibeta * cosVal */ + *pIq = -Ialpha * sinVal + Ibeta * cosVal; + + } + + /** + * @brief Park transform for Q31 version + * @param[in] Ialpha input two-phase vector coordinate alpha + * @param[in] Ibeta input two-phase vector coordinate beta + * @param[out] *pId points to output rotor reference frame d + * @param[out] *pIq points to output rotor reference frame q + * @param[in] sinVal sine value of rotation angle theta + * @param[in] cosVal cosine value of rotation angle theta + * @return none. + * + * Scaling and Overflow Behavior: + * \par + * The function is implemented using an internal 32-bit accumulator. + * The accumulator maintains 1.31 format by truncating lower 31 bits of the intermediate multiplication in 2.62 format. + * There is saturation on the addition and subtraction, hence there is no risk of overflow. + */ + + + static __INLINE void arm_park_q31( + q31_t Ialpha, + q31_t Ibeta, + q31_t * pId, + q31_t * pIq, + q31_t sinVal, + q31_t cosVal) + { + q31_t product1, product2; /* Temporary variables used to store intermediate results */ + q31_t product3, product4; /* Temporary variables used to store intermediate results */ + + /* Intermediate product is calculated by (Ialpha * cosVal) */ + product1 = (q31_t) (((q63_t) (Ialpha) * (cosVal)) >> 31); + + /* Intermediate product is calculated by (Ibeta * sinVal) */ + product2 = (q31_t) (((q63_t) (Ibeta) * (sinVal)) >> 31); + + + /* Intermediate product is calculated by (Ialpha * sinVal) */ + product3 = (q31_t) (((q63_t) (Ialpha) * (sinVal)) >> 31); + + /* Intermediate product is calculated by (Ibeta * cosVal) */ + product4 = (q31_t) (((q63_t) (Ibeta) * (cosVal)) >> 31); + + /* Calculate pId by adding the two intermediate products 1 and 2 */ + *pId = __QADD(product1, product2); + + /* Calculate pIq by subtracting the two intermediate products 3 from 4 */ + *pIq = __QSUB(product4, product3); + } + + /** + * @} end of park group + */ + + /** + * @brief Converts the elements of the Q7 vector to floating-point vector. + * @param[in] *pSrc is input pointer + * @param[out] *pDst is output pointer + * @param[in] blockSize is the number of samples to process + * @return none. + */ + void arm_q7_to_float( + q7_t * pSrc, + float32_t * pDst, + uint32_t blockSize); + + + /** + * @ingroup groupController + */ + + /** + * @defgroup inv_park Vector Inverse Park transform + * Inverse Park transform converts the input flux and torque components to two-coordinate vector. + * + * The function operates on a single sample of data and each call to the function returns the processed output. + * The library provides separate functions for Q31 and floating-point data types. + * \par Algorithm + * \image html parkInvFormula.gif + * where pIalpha and pIbeta are the stator vector components, + * Id and Iq are rotor vector components and cosVal and sinVal are the + * cosine and sine values of theta (rotor flux position). + * \par Fixed-Point Behavior + * Care must be taken when using the Q31 version of the Park transform. + * In particular, the overflow and saturation behavior of the accumulator used must be considered. + * Refer to the function specific documentation below for usage guidelines. + */ + + /** + * @addtogroup inv_park + * @{ + */ + + /** + * @brief Floating-point Inverse Park transform + * @param[in] Id input coordinate of rotor reference frame d + * @param[in] Iq input coordinate of rotor reference frame q + * @param[out] *pIalpha points to output two-phase orthogonal vector axis alpha + * @param[out] *pIbeta points to output two-phase orthogonal vector axis beta + * @param[in] sinVal sine value of rotation angle theta + * @param[in] cosVal cosine value of rotation angle theta + * @return none. + */ + + static __INLINE void arm_inv_park_f32( + float32_t Id, + float32_t Iq, + float32_t * pIalpha, + float32_t * pIbeta, + float32_t sinVal, + float32_t cosVal) + { + /* Calculate pIalpha using the equation, pIalpha = Id * cosVal - Iq * sinVal */ + *pIalpha = Id * cosVal - Iq * sinVal; + + /* Calculate pIbeta using the equation, pIbeta = Id * sinVal + Iq * cosVal */ + *pIbeta = Id * sinVal + Iq * cosVal; + + } + + + /** + * @brief Inverse Park transform for Q31 version + * @param[in] Id input coordinate of rotor reference frame d + * @param[in] Iq input coordinate of rotor reference frame q + * @param[out] *pIalpha points to output two-phase orthogonal vector axis alpha + * @param[out] *pIbeta points to output two-phase orthogonal vector axis beta + * @param[in] sinVal sine value of rotation angle theta + * @param[in] cosVal cosine value of rotation angle theta + * @return none. + * + * Scaling and Overflow Behavior: + * \par + * The function is implemented using an internal 32-bit accumulator. + * The accumulator maintains 1.31 format by truncating lower 31 bits of the intermediate multiplication in 2.62 format. + * There is saturation on the addition, hence there is no risk of overflow. + */ + + + static __INLINE void arm_inv_park_q31( + q31_t Id, + q31_t Iq, + q31_t * pIalpha, + q31_t * pIbeta, + q31_t sinVal, + q31_t cosVal) + { + q31_t product1, product2; /* Temporary variables used to store intermediate results */ + q31_t product3, product4; /* Temporary variables used to store intermediate results */ + + /* Intermediate product is calculated by (Id * cosVal) */ + product1 = (q31_t) (((q63_t) (Id) * (cosVal)) >> 31); + + /* Intermediate product is calculated by (Iq * sinVal) */ + product2 = (q31_t) (((q63_t) (Iq) * (sinVal)) >> 31); + + + /* Intermediate product is calculated by (Id * sinVal) */ + product3 = (q31_t) (((q63_t) (Id) * (sinVal)) >> 31); + + /* Intermediate product is calculated by (Iq * cosVal) */ + product4 = (q31_t) (((q63_t) (Iq) * (cosVal)) >> 31); + + /* Calculate pIalpha by using the two intermediate products 1 and 2 */ + *pIalpha = __QSUB(product1, product2); + + /* Calculate pIbeta by using the two intermediate products 3 and 4 */ + *pIbeta = __QADD(product4, product3); + + } + + /** + * @} end of Inverse park group + */ + + + /** + * @brief Converts the elements of the Q31 vector to floating-point vector. + * @param[in] *pSrc is input pointer + * @param[out] *pDst is output pointer + * @param[in] blockSize is the number of samples to process + * @return none. + */ + void arm_q31_to_float( + q31_t * pSrc, + float32_t * pDst, + uint32_t blockSize); + + /** + * @ingroup groupInterpolation + */ + + /** + * @defgroup LinearInterpolate Linear Interpolation + * + * Linear interpolation is a method of curve fitting using linear polynomials. + * Linear interpolation works by effectively drawing a straight line between two neighboring samples and returning the appropriate point along that line + * + * \par + * \image html LinearInterp.gif "Linear interpolation" + * + * \par + * A Linear Interpolate function calculates an output value(y), for the input(x) + * using linear interpolation of the input values x0, x1( nearest input values) and the output values y0 and y1(nearest output values) + * + * \par Algorithm: + *
+   *       y = y0 + (x - x0) * ((y1 - y0)/(x1-x0))
+   *       where x0, x1 are nearest values of input x
+   *             y0, y1 are nearest values to output y
+   * 
+ * + * \par + * This set of functions implements Linear interpolation process + * for Q7, Q15, Q31, and floating-point data types. The functions operate on a single + * sample of data and each call to the function returns a single processed value. + * S points to an instance of the Linear Interpolate function data structure. + * x is the input sample value. The functions returns the output value. + * + * \par + * if x is outside of the table boundary, Linear interpolation returns first value of the table + * if x is below input range and returns last value of table if x is above range. + */ + + /** + * @addtogroup LinearInterpolate + * @{ + */ + + /** + * @brief Process function for the floating-point Linear Interpolation Function. + * @param[in,out] *S is an instance of the floating-point Linear Interpolation structure + * @param[in] x input sample to process + * @return y processed output sample. + * + */ + + static __INLINE float32_t arm_linear_interp_f32( + arm_linear_interp_instance_f32 * S, + float32_t x) + { + + float32_t y; + float32_t x0, x1; /* Nearest input values */ + float32_t y0, y1; /* Nearest output values */ + float32_t xSpacing = S->xSpacing; /* spacing between input values */ + int32_t i; /* Index variable */ + float32_t *pYData = S->pYData; /* pointer to output table */ + + /* Calculation of index */ + i = (int32_t) ((x - S->x1) / xSpacing); + + if(i < 0) + { + /* Iniatilize output for below specified range as least output value of table */ + y = pYData[0]; + } + else if((uint32_t)i >= S->nValues) + { + /* Iniatilize output for above specified range as last output value of table */ + y = pYData[S->nValues - 1]; + } + else + { + /* Calculation of nearest input values */ + x0 = S->x1 + i * xSpacing; + x1 = S->x1 + (i + 1) * xSpacing; + + /* Read of nearest output values */ + y0 = pYData[i]; + y1 = pYData[i + 1]; + + /* Calculation of output */ + y = y0 + (x - x0) * ((y1 - y0) / (x1 - x0)); + + } + + /* returns output value */ + return (y); + } + + /** + * + * @brief Process function for the Q31 Linear Interpolation Function. + * @param[in] *pYData pointer to Q31 Linear Interpolation table + * @param[in] x input sample to process + * @param[in] nValues number of table values + * @return y processed output sample. + * + * \par + * Input sample x is in 12.20 format which contains 12 bits for table index and 20 bits for fractional part. + * This function can support maximum of table size 2^12. + * + */ + + + static __INLINE q31_t arm_linear_interp_q31( + q31_t * pYData, + q31_t x, + uint32_t nValues) + { + q31_t y; /* output */ + q31_t y0, y1; /* Nearest output values */ + q31_t fract; /* fractional part */ + int32_t index; /* Index to read nearest output values */ + + /* Input is in 12.20 format */ + /* 12 bits for the table index */ + /* Index value calculation */ + index = ((x & 0xFFF00000) >> 20); + + if(index >= (int32_t)(nValues - 1)) + { + return (pYData[nValues - 1]); + } + else if(index < 0) + { + return (pYData[0]); + } + else + { + + /* 20 bits for the fractional part */ + /* shift left by 11 to keep fract in 1.31 format */ + fract = (x & 0x000FFFFF) << 11; + + /* Read two nearest output values from the index in 1.31(q31) format */ + y0 = pYData[index]; + y1 = pYData[index + 1u]; + + /* Calculation of y0 * (1-fract) and y is in 2.30 format */ + y = ((q31_t) ((q63_t) y0 * (0x7FFFFFFF - fract) >> 32)); + + /* Calculation of y0 * (1-fract) + y1 *fract and y is in 2.30 format */ + y += ((q31_t) (((q63_t) y1 * fract) >> 32)); + + /* Convert y to 1.31 format */ + return (y << 1u); + + } + + } + + /** + * + * @brief Process function for the Q15 Linear Interpolation Function. + * @param[in] *pYData pointer to Q15 Linear Interpolation table + * @param[in] x input sample to process + * @param[in] nValues number of table values + * @return y processed output sample. + * + * \par + * Input sample x is in 12.20 format which contains 12 bits for table index and 20 bits for fractional part. + * This function can support maximum of table size 2^12. + * + */ + + + static __INLINE q15_t arm_linear_interp_q15( + q15_t * pYData, + q31_t x, + uint32_t nValues) + { + q63_t y; /* output */ + q15_t y0, y1; /* Nearest output values */ + q31_t fract; /* fractional part */ + int32_t index; /* Index to read nearest output values */ + + /* Input is in 12.20 format */ + /* 12 bits for the table index */ + /* Index value calculation */ + index = ((x & 0xFFF00000) >> 20u); + + if(index >= (int32_t)(nValues - 1)) + { + return (pYData[nValues - 1]); + } + else if(index < 0) + { + return (pYData[0]); + } + else + { + /* 20 bits for the fractional part */ + /* fract is in 12.20 format */ + fract = (x & 0x000FFFFF); + + /* Read two nearest output values from the index */ + y0 = pYData[index]; + y1 = pYData[index + 1u]; + + /* Calculation of y0 * (1-fract) and y is in 13.35 format */ + y = ((q63_t) y0 * (0xFFFFF - fract)); + + /* Calculation of (y0 * (1-fract) + y1 * fract) and y is in 13.35 format */ + y += ((q63_t) y1 * (fract)); + + /* convert y to 1.15 format */ + return (y >> 20); + } + + + } + + /** + * + * @brief Process function for the Q7 Linear Interpolation Function. + * @param[in] *pYData pointer to Q7 Linear Interpolation table + * @param[in] x input sample to process + * @param[in] nValues number of table values + * @return y processed output sample. + * + * \par + * Input sample x is in 12.20 format which contains 12 bits for table index and 20 bits for fractional part. + * This function can support maximum of table size 2^12. + */ + + + static __INLINE q7_t arm_linear_interp_q7( + q7_t * pYData, + q31_t x, + uint32_t nValues) + { + q31_t y; /* output */ + q7_t y0, y1; /* Nearest output values */ + q31_t fract; /* fractional part */ + uint32_t index; /* Index to read nearest output values */ + + /* Input is in 12.20 format */ + /* 12 bits for the table index */ + /* Index value calculation */ + if (x < 0) + { + return (pYData[0]); + } + index = (x >> 20) & 0xfff; + + + if(index >= (nValues - 1)) + { + return (pYData[nValues - 1]); + } + else + { + + /* 20 bits for the fractional part */ + /* fract is in 12.20 format */ + fract = (x & 0x000FFFFF); + + /* Read two nearest output values from the index and are in 1.7(q7) format */ + y0 = pYData[index]; + y1 = pYData[index + 1u]; + + /* Calculation of y0 * (1-fract ) and y is in 13.27(q27) format */ + y = ((y0 * (0xFFFFF - fract))); + + /* Calculation of y1 * fract + y0 * (1-fract) and y is in 13.27(q27) format */ + y += (y1 * fract); + + /* convert y to 1.7(q7) format */ + return (y >> 20u); + + } + + } + /** + * @} end of LinearInterpolate group + */ + + /** + * @brief Fast approximation to the trigonometric sine function for floating-point data. + * @param[in] x input value in radians. + * @return sin(x). + */ + + float32_t arm_sin_f32( + float32_t x); + + /** + * @brief Fast approximation to the trigonometric sine function for Q31 data. + * @param[in] x Scaled input value in radians. + * @return sin(x). + */ + + q31_t arm_sin_q31( + q31_t x); + + /** + * @brief Fast approximation to the trigonometric sine function for Q15 data. + * @param[in] x Scaled input value in radians. + * @return sin(x). + */ + + q15_t arm_sin_q15( + q15_t x); + + /** + * @brief Fast approximation to the trigonometric cosine function for floating-point data. + * @param[in] x input value in radians. + * @return cos(x). + */ + + float32_t arm_cos_f32( + float32_t x); + + /** + * @brief Fast approximation to the trigonometric cosine function for Q31 data. + * @param[in] x Scaled input value in radians. + * @return cos(x). + */ + + q31_t arm_cos_q31( + q31_t x); + + /** + * @brief Fast approximation to the trigonometric cosine function for Q15 data. + * @param[in] x Scaled input value in radians. + * @return cos(x). + */ + + q15_t arm_cos_q15( + q15_t x); + + + /** + * @ingroup groupFastMath + */ + + + /** + * @defgroup SQRT Square Root + * + * Computes the square root of a number. + * There are separate functions for Q15, Q31, and floating-point data types. + * The square root function is computed using the Newton-Raphson algorithm. + * This is an iterative algorithm of the form: + *
+   *      x1 = x0 - f(x0)/f'(x0)
+   * 
+ * where x1 is the current estimate, + * x0 is the previous estimate, and + * f'(x0) is the derivative of f() evaluated at x0. + * For the square root function, the algorithm reduces to: + *
+   *     x0 = in/2                         [initial guess]
+   *     x1 = 1/2 * ( x0 + in / x0)        [each iteration]
+   * 
+ */ + + + /** + * @addtogroup SQRT + * @{ + */ + + /** + * @brief Floating-point square root function. + * @param[in] in input value. + * @param[out] *pOut square root of input value. + * @return The function returns ARM_MATH_SUCCESS if input value is positive value or ARM_MATH_ARGUMENT_ERROR if + * in is negative value and returns zero output for negative values. + */ + + static __INLINE arm_status arm_sqrt_f32( + float32_t in, + float32_t * pOut) + { + if(in > 0) + { + +// #if __FPU_USED +#if (__FPU_USED == 1) && defined ( __CC_ARM ) + *pOut = __sqrtf(in); +#else + *pOut = sqrtf(in); +#endif + + return (ARM_MATH_SUCCESS); + } + else + { + *pOut = 0.0f; + return (ARM_MATH_ARGUMENT_ERROR); + } + + } + + + /** + * @brief Q31 square root function. + * @param[in] in input value. The range of the input value is [0 +1) or 0x00000000 to 0x7FFFFFFF. + * @param[out] *pOut square root of input value. + * @return The function returns ARM_MATH_SUCCESS if input value is positive value or ARM_MATH_ARGUMENT_ERROR if + * in is negative value and returns zero output for negative values. + */ + arm_status arm_sqrt_q31( + q31_t in, + q31_t * pOut); + + /** + * @brief Q15 square root function. + * @param[in] in input value. The range of the input value is [0 +1) or 0x0000 to 0x7FFF. + * @param[out] *pOut square root of input value. + * @return The function returns ARM_MATH_SUCCESS if input value is positive value or ARM_MATH_ARGUMENT_ERROR if + * in is negative value and returns zero output for negative values. + */ + arm_status arm_sqrt_q15( + q15_t in, + q15_t * pOut); + + /** + * @} end of SQRT group + */ + + + + + + + /** + * @brief floating-point Circular write function. + */ + + static __INLINE void arm_circularWrite_f32( + int32_t * circBuffer, + int32_t L, + uint16_t * writeOffset, + int32_t bufferInc, + const int32_t * src, + int32_t srcInc, + uint32_t blockSize) + { + uint32_t i = 0u; + int32_t wOffset; + + /* Copy the value of Index pointer that points + * to the current location where the input samples to be copied */ + wOffset = *writeOffset; + + /* Loop over the blockSize */ + i = blockSize; + + while(i > 0u) + { + /* copy the input sample to the circular buffer */ + circBuffer[wOffset] = *src; + + /* Update the input pointer */ + src += srcInc; + + /* Circularly update wOffset. Watch out for positive and negative value */ + wOffset += bufferInc; + if(wOffset >= L) + wOffset -= L; + + /* Decrement the loop counter */ + i--; + } + + /* Update the index pointer */ + *writeOffset = wOffset; + } + + + + /** + * @brief floating-point Circular Read function. + */ + static __INLINE void arm_circularRead_f32( + int32_t * circBuffer, + int32_t L, + int32_t * readOffset, + int32_t bufferInc, + int32_t * dst, + int32_t * dst_base, + int32_t dst_length, + int32_t dstInc, + uint32_t blockSize) + { + uint32_t i = 0u; + int32_t rOffset, dst_end; + + /* Copy the value of Index pointer that points + * to the current location from where the input samples to be read */ + rOffset = *readOffset; + dst_end = (int32_t) (dst_base + dst_length); + + /* Loop over the blockSize */ + i = blockSize; + + while(i > 0u) + { + /* copy the sample from the circular buffer to the destination buffer */ + *dst = circBuffer[rOffset]; + + /* Update the input pointer */ + dst += dstInc; + + if(dst == (int32_t *) dst_end) + { + dst = dst_base; + } + + /* Circularly update rOffset. Watch out for positive and negative value */ + rOffset += bufferInc; + + if(rOffset >= L) + { + rOffset -= L; + } + + /* Decrement the loop counter */ + i--; + } + + /* Update the index pointer */ + *readOffset = rOffset; + } + + /** + * @brief Q15 Circular write function. + */ + + static __INLINE void arm_circularWrite_q15( + q15_t * circBuffer, + int32_t L, + uint16_t * writeOffset, + int32_t bufferInc, + const q15_t * src, + int32_t srcInc, + uint32_t blockSize) + { + uint32_t i = 0u; + int32_t wOffset; + + /* Copy the value of Index pointer that points + * to the current location where the input samples to be copied */ + wOffset = *writeOffset; + + /* Loop over the blockSize */ + i = blockSize; + + while(i > 0u) + { + /* copy the input sample to the circular buffer */ + circBuffer[wOffset] = *src; + + /* Update the input pointer */ + src += srcInc; + + /* Circularly update wOffset. Watch out for positive and negative value */ + wOffset += bufferInc; + if(wOffset >= L) + wOffset -= L; + + /* Decrement the loop counter */ + i--; + } + + /* Update the index pointer */ + *writeOffset = wOffset; + } + + + + /** + * @brief Q15 Circular Read function. + */ + static __INLINE void arm_circularRead_q15( + q15_t * circBuffer, + int32_t L, + int32_t * readOffset, + int32_t bufferInc, + q15_t * dst, + q15_t * dst_base, + int32_t dst_length, + int32_t dstInc, + uint32_t blockSize) + { + uint32_t i = 0; + int32_t rOffset, dst_end; + + /* Copy the value of Index pointer that points + * to the current location from where the input samples to be read */ + rOffset = *readOffset; + + dst_end = (int32_t) (dst_base + dst_length); + + /* Loop over the blockSize */ + i = blockSize; + + while(i > 0u) + { + /* copy the sample from the circular buffer to the destination buffer */ + *dst = circBuffer[rOffset]; + + /* Update the input pointer */ + dst += dstInc; + + if(dst == (q15_t *) dst_end) + { + dst = dst_base; + } + + /* Circularly update wOffset. Watch out for positive and negative value */ + rOffset += bufferInc; + + if(rOffset >= L) + { + rOffset -= L; + } + + /* Decrement the loop counter */ + i--; + } + + /* Update the index pointer */ + *readOffset = rOffset; + } + + + /** + * @brief Q7 Circular write function. + */ + + static __INLINE void arm_circularWrite_q7( + q7_t * circBuffer, + int32_t L, + uint16_t * writeOffset, + int32_t bufferInc, + const q7_t * src, + int32_t srcInc, + uint32_t blockSize) + { + uint32_t i = 0u; + int32_t wOffset; + + /* Copy the value of Index pointer that points + * to the current location where the input samples to be copied */ + wOffset = *writeOffset; + + /* Loop over the blockSize */ + i = blockSize; + + while(i > 0u) + { + /* copy the input sample to the circular buffer */ + circBuffer[wOffset] = *src; + + /* Update the input pointer */ + src += srcInc; + + /* Circularly update wOffset. Watch out for positive and negative value */ + wOffset += bufferInc; + if(wOffset >= L) + wOffset -= L; + + /* Decrement the loop counter */ + i--; + } + + /* Update the index pointer */ + *writeOffset = wOffset; + } + + + + /** + * @brief Q7 Circular Read function. + */ + static __INLINE void arm_circularRead_q7( + q7_t * circBuffer, + int32_t L, + int32_t * readOffset, + int32_t bufferInc, + q7_t * dst, + q7_t * dst_base, + int32_t dst_length, + int32_t dstInc, + uint32_t blockSize) + { + uint32_t i = 0; + int32_t rOffset, dst_end; + + /* Copy the value of Index pointer that points + * to the current location from where the input samples to be read */ + rOffset = *readOffset; + + dst_end = (int32_t) (dst_base + dst_length); + + /* Loop over the blockSize */ + i = blockSize; + + while(i > 0u) + { + /* copy the sample from the circular buffer to the destination buffer */ + *dst = circBuffer[rOffset]; + + /* Update the input pointer */ + dst += dstInc; + + if(dst == (q7_t *) dst_end) + { + dst = dst_base; + } + + /* Circularly update rOffset. Watch out for positive and negative value */ + rOffset += bufferInc; + + if(rOffset >= L) + { + rOffset -= L; + } + + /* Decrement the loop counter */ + i--; + } + + /* Update the index pointer */ + *readOffset = rOffset; + } + + + /** + * @brief Sum of the squares of the elements of a Q31 vector. + * @param[in] *pSrc is input pointer + * @param[in] blockSize is the number of samples to process + * @param[out] *pResult is output value. + * @return none. + */ + + void arm_power_q31( + q31_t * pSrc, + uint32_t blockSize, + q63_t * pResult); + + /** + * @brief Sum of the squares of the elements of a floating-point vector. + * @param[in] *pSrc is input pointer + * @param[in] blockSize is the number of samples to process + * @param[out] *pResult is output value. + * @return none. + */ + + void arm_power_f32( + float32_t * pSrc, + uint32_t blockSize, + float32_t * pResult); + + /** + * @brief Sum of the squares of the elements of a Q15 vector. + * @param[in] *pSrc is input pointer + * @param[in] blockSize is the number of samples to process + * @param[out] *pResult is output value. + * @return none. + */ + + void arm_power_q15( + q15_t * pSrc, + uint32_t blockSize, + q63_t * pResult); + + /** + * @brief Sum of the squares of the elements of a Q7 vector. + * @param[in] *pSrc is input pointer + * @param[in] blockSize is the number of samples to process + * @param[out] *pResult is output value. + * @return none. + */ + + void arm_power_q7( + q7_t * pSrc, + uint32_t blockSize, + q31_t * pResult); + + /** + * @brief Mean value of a Q7 vector. + * @param[in] *pSrc is input pointer + * @param[in] blockSize is the number of samples to process + * @param[out] *pResult is output value. + * @return none. + */ + + void arm_mean_q7( + q7_t * pSrc, + uint32_t blockSize, + q7_t * pResult); + + /** + * @brief Mean value of a Q15 vector. + * @param[in] *pSrc is input pointer + * @param[in] blockSize is the number of samples to process + * @param[out] *pResult is output value. + * @return none. + */ + void arm_mean_q15( + q15_t * pSrc, + uint32_t blockSize, + q15_t * pResult); + + /** + * @brief Mean value of a Q31 vector. + * @param[in] *pSrc is input pointer + * @param[in] blockSize is the number of samples to process + * @param[out] *pResult is output value. + * @return none. + */ + void arm_mean_q31( + q31_t * pSrc, + uint32_t blockSize, + q31_t * pResult); + + /** + * @brief Mean value of a floating-point vector. + * @param[in] *pSrc is input pointer + * @param[in] blockSize is the number of samples to process + * @param[out] *pResult is output value. + * @return none. + */ + void arm_mean_f32( + float32_t * pSrc, + uint32_t blockSize, + float32_t * pResult); + + /** + * @brief Variance of the elements of a floating-point vector. + * @param[in] *pSrc is input pointer + * @param[in] blockSize is the number of samples to process + * @param[out] *pResult is output value. + * @return none. + */ + + void arm_var_f32( + float32_t * pSrc, + uint32_t blockSize, + float32_t * pResult); + + /** + * @brief Variance of the elements of a Q31 vector. + * @param[in] *pSrc is input pointer + * @param[in] blockSize is the number of samples to process + * @param[out] *pResult is output value. + * @return none. + */ + + void arm_var_q31( + q31_t * pSrc, + uint32_t blockSize, + q63_t * pResult); + + /** + * @brief Variance of the elements of a Q15 vector. + * @param[in] *pSrc is input pointer + * @param[in] blockSize is the number of samples to process + * @param[out] *pResult is output value. + * @return none. + */ + + void arm_var_q15( + q15_t * pSrc, + uint32_t blockSize, + q31_t * pResult); + + /** + * @brief Root Mean Square of the elements of a floating-point vector. + * @param[in] *pSrc is input pointer + * @param[in] blockSize is the number of samples to process + * @param[out] *pResult is output value. + * @return none. + */ + + void arm_rms_f32( + float32_t * pSrc, + uint32_t blockSize, + float32_t * pResult); + + /** + * @brief Root Mean Square of the elements of a Q31 vector. + * @param[in] *pSrc is input pointer + * @param[in] blockSize is the number of samples to process + * @param[out] *pResult is output value. + * @return none. + */ + + void arm_rms_q31( + q31_t * pSrc, + uint32_t blockSize, + q31_t * pResult); + + /** + * @brief Root Mean Square of the elements of a Q15 vector. + * @param[in] *pSrc is input pointer + * @param[in] blockSize is the number of samples to process + * @param[out] *pResult is output value. + * @return none. + */ + + void arm_rms_q15( + q15_t * pSrc, + uint32_t blockSize, + q15_t * pResult); + + /** + * @brief Standard deviation of the elements of a floating-point vector. + * @param[in] *pSrc is input pointer + * @param[in] blockSize is the number of samples to process + * @param[out] *pResult is output value. + * @return none. + */ + + void arm_std_f32( + float32_t * pSrc, + uint32_t blockSize, + float32_t * pResult); + + /** + * @brief Standard deviation of the elements of a Q31 vector. + * @param[in] *pSrc is input pointer + * @param[in] blockSize is the number of samples to process + * @param[out] *pResult is output value. + * @return none. + */ + + void arm_std_q31( + q31_t * pSrc, + uint32_t blockSize, + q31_t * pResult); + + /** + * @brief Standard deviation of the elements of a Q15 vector. + * @param[in] *pSrc is input pointer + * @param[in] blockSize is the number of samples to process + * @param[out] *pResult is output value. + * @return none. + */ + + void arm_std_q15( + q15_t * pSrc, + uint32_t blockSize, + q15_t * pResult); + + /** + * @brief Floating-point complex magnitude + * @param[in] *pSrc points to the complex input vector + * @param[out] *pDst points to the real output vector + * @param[in] numSamples number of complex samples in the input vector + * @return none. + */ + + void arm_cmplx_mag_f32( + float32_t * pSrc, + float32_t * pDst, + uint32_t numSamples); + + /** + * @brief Q31 complex magnitude + * @param[in] *pSrc points to the complex input vector + * @param[out] *pDst points to the real output vector + * @param[in] numSamples number of complex samples in the input vector + * @return none. + */ + + void arm_cmplx_mag_q31( + q31_t * pSrc, + q31_t * pDst, + uint32_t numSamples); + + /** + * @brief Q15 complex magnitude + * @param[in] *pSrc points to the complex input vector + * @param[out] *pDst points to the real output vector + * @param[in] numSamples number of complex samples in the input vector + * @return none. + */ + + void arm_cmplx_mag_q15( + q15_t * pSrc, + q15_t * pDst, + uint32_t numSamples); + + /** + * @brief Q15 complex dot product + * @param[in] *pSrcA points to the first input vector + * @param[in] *pSrcB points to the second input vector + * @param[in] numSamples number of complex samples in each vector + * @param[out] *realResult real part of the result returned here + * @param[out] *imagResult imaginary part of the result returned here + * @return none. + */ + + void arm_cmplx_dot_prod_q15( + q15_t * pSrcA, + q15_t * pSrcB, + uint32_t numSamples, + q31_t * realResult, + q31_t * imagResult); + + /** + * @brief Q31 complex dot product + * @param[in] *pSrcA points to the first input vector + * @param[in] *pSrcB points to the second input vector + * @param[in] numSamples number of complex samples in each vector + * @param[out] *realResult real part of the result returned here + * @param[out] *imagResult imaginary part of the result returned here + * @return none. + */ + + void arm_cmplx_dot_prod_q31( + q31_t * pSrcA, + q31_t * pSrcB, + uint32_t numSamples, + q63_t * realResult, + q63_t * imagResult); + + /** + * @brief Floating-point complex dot product + * @param[in] *pSrcA points to the first input vector + * @param[in] *pSrcB points to the second input vector + * @param[in] numSamples number of complex samples in each vector + * @param[out] *realResult real part of the result returned here + * @param[out] *imagResult imaginary part of the result returned here + * @return none. + */ + + void arm_cmplx_dot_prod_f32( + float32_t * pSrcA, + float32_t * pSrcB, + uint32_t numSamples, + float32_t * realResult, + float32_t * imagResult); + + /** + * @brief Q15 complex-by-real multiplication + * @param[in] *pSrcCmplx points to the complex input vector + * @param[in] *pSrcReal points to the real input vector + * @param[out] *pCmplxDst points to the complex output vector + * @param[in] numSamples number of samples in each vector + * @return none. + */ + + void arm_cmplx_mult_real_q15( + q15_t * pSrcCmplx, + q15_t * pSrcReal, + q15_t * pCmplxDst, + uint32_t numSamples); + + /** + * @brief Q31 complex-by-real multiplication + * @param[in] *pSrcCmplx points to the complex input vector + * @param[in] *pSrcReal points to the real input vector + * @param[out] *pCmplxDst points to the complex output vector + * @param[in] numSamples number of samples in each vector + * @return none. + */ + + void arm_cmplx_mult_real_q31( + q31_t * pSrcCmplx, + q31_t * pSrcReal, + q31_t * pCmplxDst, + uint32_t numSamples); + + /** + * @brief Floating-point complex-by-real multiplication + * @param[in] *pSrcCmplx points to the complex input vector + * @param[in] *pSrcReal points to the real input vector + * @param[out] *pCmplxDst points to the complex output vector + * @param[in] numSamples number of samples in each vector + * @return none. + */ + + void arm_cmplx_mult_real_f32( + float32_t * pSrcCmplx, + float32_t * pSrcReal, + float32_t * pCmplxDst, + uint32_t numSamples); + + /** + * @brief Minimum value of a Q7 vector. + * @param[in] *pSrc is input pointer + * @param[in] blockSize is the number of samples to process + * @param[out] *result is output pointer + * @param[in] index is the array index of the minimum value in the input buffer. + * @return none. + */ + + void arm_min_q7( + q7_t * pSrc, + uint32_t blockSize, + q7_t * result, + uint32_t * index); + + /** + * @brief Minimum value of a Q15 vector. + * @param[in] *pSrc is input pointer + * @param[in] blockSize is the number of samples to process + * @param[out] *pResult is output pointer + * @param[in] *pIndex is the array index of the minimum value in the input buffer. + * @return none. + */ + + void arm_min_q15( + q15_t * pSrc, + uint32_t blockSize, + q15_t * pResult, + uint32_t * pIndex); + + /** + * @brief Minimum value of a Q31 vector. + * @param[in] *pSrc is input pointer + * @param[in] blockSize is the number of samples to process + * @param[out] *pResult is output pointer + * @param[out] *pIndex is the array index of the minimum value in the input buffer. + * @return none. + */ + void arm_min_q31( + q31_t * pSrc, + uint32_t blockSize, + q31_t * pResult, + uint32_t * pIndex); + + /** + * @brief Minimum value of a floating-point vector. + * @param[in] *pSrc is input pointer + * @param[in] blockSize is the number of samples to process + * @param[out] *pResult is output pointer + * @param[out] *pIndex is the array index of the minimum value in the input buffer. + * @return none. + */ + + void arm_min_f32( + float32_t * pSrc, + uint32_t blockSize, + float32_t * pResult, + uint32_t * pIndex); + +/** + * @brief Maximum value of a Q7 vector. + * @param[in] *pSrc points to the input buffer + * @param[in] blockSize length of the input vector + * @param[out] *pResult maximum value returned here + * @param[out] *pIndex index of maximum value returned here + * @return none. + */ + + void arm_max_q7( + q7_t * pSrc, + uint32_t blockSize, + q7_t * pResult, + uint32_t * pIndex); + +/** + * @brief Maximum value of a Q15 vector. + * @param[in] *pSrc points to the input buffer + * @param[in] blockSize length of the input vector + * @param[out] *pResult maximum value returned here + * @param[out] *pIndex index of maximum value returned here + * @return none. + */ + + void arm_max_q15( + q15_t * pSrc, + uint32_t blockSize, + q15_t * pResult, + uint32_t * pIndex); + +/** + * @brief Maximum value of a Q31 vector. + * @param[in] *pSrc points to the input buffer + * @param[in] blockSize length of the input vector + * @param[out] *pResult maximum value returned here + * @param[out] *pIndex index of maximum value returned here + * @return none. + */ + + void arm_max_q31( + q31_t * pSrc, + uint32_t blockSize, + q31_t * pResult, + uint32_t * pIndex); + +/** + * @brief Maximum value of a floating-point vector. + * @param[in] *pSrc points to the input buffer + * @param[in] blockSize length of the input vector + * @param[out] *pResult maximum value returned here + * @param[out] *pIndex index of maximum value returned here + * @return none. + */ + + void arm_max_f32( + float32_t * pSrc, + uint32_t blockSize, + float32_t * pResult, + uint32_t * pIndex); + + /** + * @brief Q15 complex-by-complex multiplication + * @param[in] *pSrcA points to the first input vector + * @param[in] *pSrcB points to the second input vector + * @param[out] *pDst points to the output vector + * @param[in] numSamples number of complex samples in each vector + * @return none. + */ + + void arm_cmplx_mult_cmplx_q15( + q15_t * pSrcA, + q15_t * pSrcB, + q15_t * pDst, + uint32_t numSamples); + + /** + * @brief Q31 complex-by-complex multiplication + * @param[in] *pSrcA points to the first input vector + * @param[in] *pSrcB points to the second input vector + * @param[out] *pDst points to the output vector + * @param[in] numSamples number of complex samples in each vector + * @return none. + */ + + void arm_cmplx_mult_cmplx_q31( + q31_t * pSrcA, + q31_t * pSrcB, + q31_t * pDst, + uint32_t numSamples); + + /** + * @brief Floating-point complex-by-complex multiplication + * @param[in] *pSrcA points to the first input vector + * @param[in] *pSrcB points to the second input vector + * @param[out] *pDst points to the output vector + * @param[in] numSamples number of complex samples in each vector + * @return none. + */ + + void arm_cmplx_mult_cmplx_f32( + float32_t * pSrcA, + float32_t * pSrcB, + float32_t * pDst, + uint32_t numSamples); + + /** + * @brief Converts the elements of the floating-point vector to Q31 vector. + * @param[in] *pSrc points to the floating-point input vector + * @param[out] *pDst points to the Q31 output vector + * @param[in] blockSize length of the input vector + * @return none. + */ + void arm_float_to_q31( + float32_t * pSrc, + q31_t * pDst, + uint32_t blockSize); + + /** + * @brief Converts the elements of the floating-point vector to Q15 vector. + * @param[in] *pSrc points to the floating-point input vector + * @param[out] *pDst points to the Q15 output vector + * @param[in] blockSize length of the input vector + * @return none + */ + void arm_float_to_q15( + float32_t * pSrc, + q15_t * pDst, + uint32_t blockSize); + + /** + * @brief Converts the elements of the floating-point vector to Q7 vector. + * @param[in] *pSrc points to the floating-point input vector + * @param[out] *pDst points to the Q7 output vector + * @param[in] blockSize length of the input vector + * @return none + */ + void arm_float_to_q7( + float32_t * pSrc, + q7_t * pDst, + uint32_t blockSize); + + + /** + * @brief Converts the elements of the Q31 vector to Q15 vector. + * @param[in] *pSrc is input pointer + * @param[out] *pDst is output pointer + * @param[in] blockSize is the number of samples to process + * @return none. + */ + void arm_q31_to_q15( + q31_t * pSrc, + q15_t * pDst, + uint32_t blockSize); + + /** + * @brief Converts the elements of the Q31 vector to Q7 vector. + * @param[in] *pSrc is input pointer + * @param[out] *pDst is output pointer + * @param[in] blockSize is the number of samples to process + * @return none. + */ + void arm_q31_to_q7( + q31_t * pSrc, + q7_t * pDst, + uint32_t blockSize); + + /** + * @brief Converts the elements of the Q15 vector to floating-point vector. + * @param[in] *pSrc is input pointer + * @param[out] *pDst is output pointer + * @param[in] blockSize is the number of samples to process + * @return none. + */ + void arm_q15_to_float( + q15_t * pSrc, + float32_t * pDst, + uint32_t blockSize); + + + /** + * @brief Converts the elements of the Q15 vector to Q31 vector. + * @param[in] *pSrc is input pointer + * @param[out] *pDst is output pointer + * @param[in] blockSize is the number of samples to process + * @return none. + */ + void arm_q15_to_q31( + q15_t * pSrc, + q31_t * pDst, + uint32_t blockSize); + + + /** + * @brief Converts the elements of the Q15 vector to Q7 vector. + * @param[in] *pSrc is input pointer + * @param[out] *pDst is output pointer + * @param[in] blockSize is the number of samples to process + * @return none. + */ + void arm_q15_to_q7( + q15_t * pSrc, + q7_t * pDst, + uint32_t blockSize); + + + /** + * @ingroup groupInterpolation + */ + + /** + * @defgroup BilinearInterpolate Bilinear Interpolation + * + * Bilinear interpolation is an extension of linear interpolation applied to a two dimensional grid. + * The underlying function f(x, y) is sampled on a regular grid and the interpolation process + * determines values between the grid points. + * Bilinear interpolation is equivalent to two step linear interpolation, first in the x-dimension and then in the y-dimension. + * Bilinear interpolation is often used in image processing to rescale images. + * The CMSIS DSP library provides bilinear interpolation functions for Q7, Q15, Q31, and floating-point data types. + * + * Algorithm + * \par + * The instance structure used by the bilinear interpolation functions describes a two dimensional data table. + * For floating-point, the instance structure is defined as: + *
+   *   typedef struct
+   *   {
+   *     uint16_t numRows;
+   *     uint16_t numCols;
+   *     float32_t *pData;
+   * } arm_bilinear_interp_instance_f32;
+   * 
+ * + * \par + * where numRows specifies the number of rows in the table; + * numCols specifies the number of columns in the table; + * and pData points to an array of size numRows*numCols values. + * The data table pTable is organized in row order and the supplied data values fall on integer indexes. + * That is, table element (x,y) is located at pTable[x + y*numCols] where x and y are integers. + * + * \par + * Let (x, y) specify the desired interpolation point. Then define: + *
+   *     XF = floor(x)
+   *     YF = floor(y)
+   * 
+ * \par + * The interpolated output point is computed as: + *
+   *  f(x, y) = f(XF, YF) * (1-(x-XF)) * (1-(y-YF))
+   *           + f(XF+1, YF) * (x-XF)*(1-(y-YF))
+   *           + f(XF, YF+1) * (1-(x-XF))*(y-YF)
+   *           + f(XF+1, YF+1) * (x-XF)*(y-YF)
+   * 
+ * Note that the coordinates (x, y) contain integer and fractional components. + * The integer components specify which portion of the table to use while the + * fractional components control the interpolation processor. + * + * \par + * if (x,y) are outside of the table boundary, Bilinear interpolation returns zero output. + */ + + /** + * @addtogroup BilinearInterpolate + * @{ + */ + + /** + * + * @brief Floating-point bilinear interpolation. + * @param[in,out] *S points to an instance of the interpolation structure. + * @param[in] X interpolation coordinate. + * @param[in] Y interpolation coordinate. + * @return out interpolated value. + */ + + + static __INLINE float32_t arm_bilinear_interp_f32( + const arm_bilinear_interp_instance_f32 * S, + float32_t X, + float32_t Y) + { + float32_t out; + float32_t f00, f01, f10, f11; + float32_t *pData = S->pData; + int32_t xIndex, yIndex, index; + float32_t xdiff, ydiff; + float32_t b1, b2, b3, b4; + + xIndex = (int32_t) X; + yIndex = (int32_t) Y; + + /* Care taken for table outside boundary */ + /* Returns zero output when values are outside table boundary */ + if(xIndex < 0 || xIndex > (S->numRows - 1) || yIndex < 0 + || yIndex > (S->numCols - 1)) + { + return (0); + } + + /* Calculation of index for two nearest points in X-direction */ + index = (xIndex - 1) + (yIndex - 1) * S->numCols; + + + /* Read two nearest points in X-direction */ + f00 = pData[index]; + f01 = pData[index + 1]; + + /* Calculation of index for two nearest points in Y-direction */ + index = (xIndex - 1) + (yIndex) * S->numCols; + + + /* Read two nearest points in Y-direction */ + f10 = pData[index]; + f11 = pData[index + 1]; + + /* Calculation of intermediate values */ + b1 = f00; + b2 = f01 - f00; + b3 = f10 - f00; + b4 = f00 - f01 - f10 + f11; + + /* Calculation of fractional part in X */ + xdiff = X - xIndex; + + /* Calculation of fractional part in Y */ + ydiff = Y - yIndex; + + /* Calculation of bi-linear interpolated output */ + out = b1 + b2 * xdiff + b3 * ydiff + b4 * xdiff * ydiff; + + /* return to application */ + return (out); + + } + + /** + * + * @brief Q31 bilinear interpolation. + * @param[in,out] *S points to an instance of the interpolation structure. + * @param[in] X interpolation coordinate in 12.20 format. + * @param[in] Y interpolation coordinate in 12.20 format. + * @return out interpolated value. + */ + + static __INLINE q31_t arm_bilinear_interp_q31( + arm_bilinear_interp_instance_q31 * S, + q31_t X, + q31_t Y) + { + q31_t out; /* Temporary output */ + q31_t acc = 0; /* output */ + q31_t xfract, yfract; /* X, Y fractional parts */ + q31_t x1, x2, y1, y2; /* Nearest output values */ + int32_t rI, cI; /* Row and column indices */ + q31_t *pYData = S->pData; /* pointer to output table values */ + uint32_t nCols = S->numCols; /* num of rows */ + + + /* Input is in 12.20 format */ + /* 12 bits for the table index */ + /* Index value calculation */ + rI = ((X & 0xFFF00000) >> 20u); + + /* Input is in 12.20 format */ + /* 12 bits for the table index */ + /* Index value calculation */ + cI = ((Y & 0xFFF00000) >> 20u); + + /* Care taken for table outside boundary */ + /* Returns zero output when values are outside table boundary */ + if(rI < 0 || rI > (S->numRows - 1) || cI < 0 || cI > (S->numCols - 1)) + { + return (0); + } + + /* 20 bits for the fractional part */ + /* shift left xfract by 11 to keep 1.31 format */ + xfract = (X & 0x000FFFFF) << 11u; + + /* Read two nearest output values from the index */ + x1 = pYData[(rI) + nCols * (cI)]; + x2 = pYData[(rI) + nCols * (cI) + 1u]; + + /* 20 bits for the fractional part */ + /* shift left yfract by 11 to keep 1.31 format */ + yfract = (Y & 0x000FFFFF) << 11u; + + /* Read two nearest output values from the index */ + y1 = pYData[(rI) + nCols * (cI + 1)]; + y2 = pYData[(rI) + nCols * (cI + 1) + 1u]; + + /* Calculation of x1 * (1-xfract ) * (1-yfract) and acc is in 3.29(q29) format */ + out = ((q31_t) (((q63_t) x1 * (0x7FFFFFFF - xfract)) >> 32)); + acc = ((q31_t) (((q63_t) out * (0x7FFFFFFF - yfract)) >> 32)); + + /* x2 * (xfract) * (1-yfract) in 3.29(q29) and adding to acc */ + out = ((q31_t) ((q63_t) x2 * (0x7FFFFFFF - yfract) >> 32)); + acc += ((q31_t) ((q63_t) out * (xfract) >> 32)); + + /* y1 * (1 - xfract) * (yfract) in 3.29(q29) and adding to acc */ + out = ((q31_t) ((q63_t) y1 * (0x7FFFFFFF - xfract) >> 32)); + acc += ((q31_t) ((q63_t) out * (yfract) >> 32)); + + /* y2 * (xfract) * (yfract) in 3.29(q29) and adding to acc */ + out = ((q31_t) ((q63_t) y2 * (xfract) >> 32)); + acc += ((q31_t) ((q63_t) out * (yfract) >> 32)); + + /* Convert acc to 1.31(q31) format */ + return (acc << 2u); + + } + + /** + * @brief Q15 bilinear interpolation. + * @param[in,out] *S points to an instance of the interpolation structure. + * @param[in] X interpolation coordinate in 12.20 format. + * @param[in] Y interpolation coordinate in 12.20 format. + * @return out interpolated value. + */ + + static __INLINE q15_t arm_bilinear_interp_q15( + arm_bilinear_interp_instance_q15 * S, + q31_t X, + q31_t Y) + { + q63_t acc = 0; /* output */ + q31_t out; /* Temporary output */ + q15_t x1, x2, y1, y2; /* Nearest output values */ + q31_t xfract, yfract; /* X, Y fractional parts */ + int32_t rI, cI; /* Row and column indices */ + q15_t *pYData = S->pData; /* pointer to output table values */ + uint32_t nCols = S->numCols; /* num of rows */ + + /* Input is in 12.20 format */ + /* 12 bits for the table index */ + /* Index value calculation */ + rI = ((X & 0xFFF00000) >> 20); + + /* Input is in 12.20 format */ + /* 12 bits for the table index */ + /* Index value calculation */ + cI = ((Y & 0xFFF00000) >> 20); + + /* Care taken for table outside boundary */ + /* Returns zero output when values are outside table boundary */ + if(rI < 0 || rI > (S->numRows - 1) || cI < 0 || cI > (S->numCols - 1)) + { + return (0); + } + + /* 20 bits for the fractional part */ + /* xfract should be in 12.20 format */ + xfract = (X & 0x000FFFFF); + + /* Read two nearest output values from the index */ + x1 = pYData[(rI) + nCols * (cI)]; + x2 = pYData[(rI) + nCols * (cI) + 1u]; + + + /* 20 bits for the fractional part */ + /* yfract should be in 12.20 format */ + yfract = (Y & 0x000FFFFF); + + /* Read two nearest output values from the index */ + y1 = pYData[(rI) + nCols * (cI + 1)]; + y2 = pYData[(rI) + nCols * (cI + 1) + 1u]; + + /* Calculation of x1 * (1-xfract ) * (1-yfract) and acc is in 13.51 format */ + + /* x1 is in 1.15(q15), xfract in 12.20 format and out is in 13.35 format */ + /* convert 13.35 to 13.31 by right shifting and out is in 1.31 */ + out = (q31_t) (((q63_t) x1 * (0xFFFFF - xfract)) >> 4u); + acc = ((q63_t) out * (0xFFFFF - yfract)); + + /* x2 * (xfract) * (1-yfract) in 1.51 and adding to acc */ + out = (q31_t) (((q63_t) x2 * (0xFFFFF - yfract)) >> 4u); + acc += ((q63_t) out * (xfract)); + + /* y1 * (1 - xfract) * (yfract) in 1.51 and adding to acc */ + out = (q31_t) (((q63_t) y1 * (0xFFFFF - xfract)) >> 4u); + acc += ((q63_t) out * (yfract)); + + /* y2 * (xfract) * (yfract) in 1.51 and adding to acc */ + out = (q31_t) (((q63_t) y2 * (xfract)) >> 4u); + acc += ((q63_t) out * (yfract)); + + /* acc is in 13.51 format and down shift acc by 36 times */ + /* Convert out to 1.15 format */ + return (acc >> 36); + + } + + /** + * @brief Q7 bilinear interpolation. + * @param[in,out] *S points to an instance of the interpolation structure. + * @param[in] X interpolation coordinate in 12.20 format. + * @param[in] Y interpolation coordinate in 12.20 format. + * @return out interpolated value. + */ + + static __INLINE q7_t arm_bilinear_interp_q7( + arm_bilinear_interp_instance_q7 * S, + q31_t X, + q31_t Y) + { + q63_t acc = 0; /* output */ + q31_t out; /* Temporary output */ + q31_t xfract, yfract; /* X, Y fractional parts */ + q7_t x1, x2, y1, y2; /* Nearest output values */ + int32_t rI, cI; /* Row and column indices */ + q7_t *pYData = S->pData; /* pointer to output table values */ + uint32_t nCols = S->numCols; /* num of rows */ + + /* Input is in 12.20 format */ + /* 12 bits for the table index */ + /* Index value calculation */ + rI = ((X & 0xFFF00000) >> 20); + + /* Input is in 12.20 format */ + /* 12 bits for the table index */ + /* Index value calculation */ + cI = ((Y & 0xFFF00000) >> 20); + + /* Care taken for table outside boundary */ + /* Returns zero output when values are outside table boundary */ + if(rI < 0 || rI > (S->numRows - 1) || cI < 0 || cI > (S->numCols - 1)) + { + return (0); + } + + /* 20 bits for the fractional part */ + /* xfract should be in 12.20 format */ + xfract = (X & 0x000FFFFF); + + /* Read two nearest output values from the index */ + x1 = pYData[(rI) + nCols * (cI)]; + x2 = pYData[(rI) + nCols * (cI) + 1u]; + + + /* 20 bits for the fractional part */ + /* yfract should be in 12.20 format */ + yfract = (Y & 0x000FFFFF); + + /* Read two nearest output values from the index */ + y1 = pYData[(rI) + nCols * (cI + 1)]; + y2 = pYData[(rI) + nCols * (cI + 1) + 1u]; + + /* Calculation of x1 * (1-xfract ) * (1-yfract) and acc is in 16.47 format */ + out = ((x1 * (0xFFFFF - xfract))); + acc = (((q63_t) out * (0xFFFFF - yfract))); + + /* x2 * (xfract) * (1-yfract) in 2.22 and adding to acc */ + out = ((x2 * (0xFFFFF - yfract))); + acc += (((q63_t) out * (xfract))); + + /* y1 * (1 - xfract) * (yfract) in 2.22 and adding to acc */ + out = ((y1 * (0xFFFFF - xfract))); + acc += (((q63_t) out * (yfract))); + + /* y2 * (xfract) * (yfract) in 2.22 and adding to acc */ + out = ((y2 * (yfract))); + acc += (((q63_t) out * (xfract))); + + /* acc in 16.47 format and down shift by 40 to convert to 1.7 format */ + return (acc >> 40); + + } + + /** + * @} end of BilinearInterpolate group + */ + + +#if defined ( __CC_ARM ) //Keil +//SMMLAR + #define multAcc_32x32_keep32_R(a, x, y) \ + a = (q31_t) (((((q63_t) a) << 32) + ((q63_t) x * y) + 0x80000000LL ) >> 32) + +//SMMLSR + #define multSub_32x32_keep32_R(a, x, y) \ + a = (q31_t) (((((q63_t) a) << 32) - ((q63_t) x * y) + 0x80000000LL ) >> 32) + +//SMMULR + #define mult_32x32_keep32_R(a, x, y) \ + a = (q31_t) (((q63_t) x * y + 0x80000000LL ) >> 32) + +//Enter low optimization region - place directly above function definition + #define LOW_OPTIMIZATION_ENTER \ + _Pragma ("push") \ + _Pragma ("O1") + +//Exit low optimization region - place directly after end of function definition + #define LOW_OPTIMIZATION_EXIT \ + _Pragma ("pop") + +//Enter low optimization region - place directly above function definition + #define IAR_ONLY_LOW_OPTIMIZATION_ENTER + +//Exit low optimization region - place directly after end of function definition + #define IAR_ONLY_LOW_OPTIMIZATION_EXIT + +#elif defined(__ICCARM__) //IAR + //SMMLA + #define multAcc_32x32_keep32_R(a, x, y) \ + a += (q31_t) (((q63_t) x * y) >> 32) + + //SMMLS + #define multSub_32x32_keep32_R(a, x, y) \ + a -= (q31_t) (((q63_t) x * y) >> 32) + +//SMMUL + #define mult_32x32_keep32_R(a, x, y) \ + a = (q31_t) (((q63_t) x * y ) >> 32) + +//Enter low optimization region - place directly above function definition + #define LOW_OPTIMIZATION_ENTER \ + _Pragma ("optimize=low") + +//Exit low optimization region - place directly after end of function definition + #define LOW_OPTIMIZATION_EXIT + +//Enter low optimization region - place directly above function definition + #define IAR_ONLY_LOW_OPTIMIZATION_ENTER \ + _Pragma ("optimize=low") + +//Exit low optimization region - place directly after end of function definition + #define IAR_ONLY_LOW_OPTIMIZATION_EXIT + +#elif defined(__GNUC__) + //SMMLA + #define multAcc_32x32_keep32_R(a, x, y) \ + a += (q31_t) (((q63_t) x * y) >> 32) + + //SMMLS + #define multSub_32x32_keep32_R(a, x, y) \ + a -= (q31_t) (((q63_t) x * y) >> 32) + +//SMMUL + #define mult_32x32_keep32_R(a, x, y) \ + a = (q31_t) (((q63_t) x * y ) >> 32) + + #define LOW_OPTIMIZATION_ENTER __attribute__(( optimize("-O1") )) + + #define LOW_OPTIMIZATION_EXIT + + #define IAR_ONLY_LOW_OPTIMIZATION_ENTER + + #define IAR_ONLY_LOW_OPTIMIZATION_EXIT + +#endif + + + + + +#ifdef __cplusplus +} +#endif + + +#endif /* _ARM_MATH_H */ + + +/** + * + * End of file. + */ diff --git a/mathlib/libarm_cortexM4lf_math.a b/mathlib/libarm_cortexM4lf_math.a new file mode 100644 index 0000000000000000000000000000000000000000..94525528e899e9ecbaa54024dda111731fdc354f GIT binary patch literal 2989192 zcmc$`d3;<|`9FS|b+R+bMLwL zp8K5Vc`uV!)6l%HNspar8T;R?IrC>Pm^XLUtZIv;YIsxV|1FjUv*wL7Sn6Yin6g-i ziaY*)`wQ#7BEp{ai?F_a5%%to2>bUH5uW&f2rrr|!WUUY_~s8p_`xO-{wX2C?=gw+ zpZ1IJXP*|~uk?uUKL-B&&?zFKyG2CWt0JPLP()OJAR;#0Dk7XmMZ}H$BI4^;MT82p z2aX@{-USgEdqqU%*+k_0TScU!T0|bcDk3j*ibzklh`i4%B6~Cu`Jxb!Z(2p<`#VKc zxKl)>SVdH6wTP25q02J5p{Fm@4mq2hXVT;H6rTgn?=;C`$g2CUqt;|1plIo zZWY15Q*FX@t4qWbxJB%uz~2r2(LYa(h{fNNUJ?7YO~gg_iK%(@B4P2{Lfg_Uw9|f} z{b)__Z+hI22>x}~iRsVV#Pr`+i0PjM{^h^K)1Qdo-;W$3k^hpCg-DtmFOnQ)k>qS0 z{kz{PlJNIWn@IZ4Bf-Dqd?At->=(&vyG8PTt4O~2Ws&^t`$Y2N9+CXZDX%DY!Y%22&X%^Vb|3tkne>wF^hoL!_o z;1H>Q>=J3Ec9FKYPNc0pD$;g$h_uhRMcN(9McQ|SNc+jlBCT&gn9Xa1dGpi4+}JA2 zrwfJorpvSL; z$haq7WL!0ij8Eo@%y@^$%&HTa*9no?x<+K)ANYH$Rb*bdM`XV7fXJG9Rb=H@MOKwp zWZ8y9)&`5nY8w<;Uc1Qp#4fV4eIk3)eIolnhsZvAtH{3fsK|co6On!Os>sP`6*-%d zMb61+QSfbxD0tH=3TNIZ3Qz49g}tweqH3oo`ut{5y}%EwWUf}?~E7LpLPrDFKUGKotH(aFp1JkmndDhT$HYT zTa@mfD@re15TzXzqV$LTqV##ID1GY_QTlPAC`)yUvIPU8Y*V}_I}jnt&R!5@w+H?n zwTrTs*NC#ec8T(7LX?+W5#@_)qI|1Ml%Los%0J^3<#*MH@`o*={JDNn{yV!U|BFjh zL?0CuR)?rq+9fJ(xGE}+cZ!Oeouc9gb45jOji`A25mE8aK2aHeMO0dri%OeCR354m zmA4#iJ#%RP{-ce{PBb3a(|q7S>z=t)3+Iaot+T70LKJ#Uhu z&YC^RLFZ3$$T_n`L-Vnvhgvr_o?dx;e`DL`hP_7{hle^z`|w~VX&)Z$B<(cdNt$WM zlQh$yCut50dy>}42R>`~z#AL)9dZs|$c8zysB=ieta+igaKpH-f!#x&(1b@e;b~2H zP~)G`_{TH;$&7m_fy)XVz{vHCJf7hTqN~|+a?Y$htta-J3=BT>>w?g42acX-XdP*o zHE($Dky}B%hQIROBi};yUNC0ok#9KB@VC)@hd+hxKH9)NMjJ*>ci_;`qcr^CfsK5@ zp2J&0_Bt@~g!k+_;XE7cGV+DHjC>jCGW;1`PB%0MdklZ(4)huOw6yLI?lb(E_o2_& zr}z=UlRk97*kS*^ z*15sUANj(UGW=!CrG&Ih*k{b~@TIw7|Dm?(J$p~Jwl+2&IC?fXFNQbF4cQQU0MsLN z!=dA+8=G4igG1a$kAu5kvlC|Jgwq6%#^WDzv`N~=Tz=@zV;<;)4P&l9^lGlT0RzJh zp@&4<@YT$|)=dlDfTQ6CjUH`w@J3EN8eji}4Z)*LxIuxVP11Ian>Kr7a$mE@H81m; z8!#~J5PF#t+p71TogJKjqq_#0=Z zr?t7^_-Hf?Y2n*A+Jd7~OUtRf!N(T-9@2xhkJ*1;!_m<lOK6|rme5|uP8}T$ zgw$!IA*9c6!*H0wbq04EX`Qey-!|H9{KFb)3kl+*4Izgao=c&lY}h}#!cvdn?;#!N zJN7>sveFKJ=KbjN@e?B{&v4E#+Aw~PF>^V%+nBe1Y-{MbhtCn(FyUwu4m8{l+6A8v z9ctclw4t^2(7w?pJEo0C9@;kMbQ3pRtH)%gMB9PDFj|}qp%FQ>i4PgtG?EmAw2T?m zg!YL?7}j>=y+g- zbe;%o8hyMplrilg2M=wZFr4db?4vrp(KgMB6pcifiAj)nv6JJHK0vHRe7|a{MuOZL;mblnZw&aHnPk60x@Vb0@jvkd0%mY~L~G(YBFPrnxb6 zwvKPdgdNw8D-1c#$j9KcAML;!CLU>I!?;5=9Ng0qxU}ZR(S(Li61rvV!9uo7JlePs z1@^_`A3g5aUi(k9?r}Ds7(al~<}qgvHjh0>;JrT_d>|ud8fhBSdAJE3TTV6yLL37& zBVTxD0tkW|=yOOrdWQlE!=G`Y0MLMaLR049&o~aH(j!qNG;3~YIuwEe@HccB*~Xp5 zq}G8Km=bO5IPxuYM-1o0fdio+2flJgd>!e@-_Z4V z2X>*Oi<#r8!m-5Rgl}_a&6zvWSRYG)b>E3&$4(sI(@JP`%Zw8tEo1i@#WJzmC|EgX*2K2alaB7U0J{M#3yg4lQ{Z->DFiVa-7lnZ^c16w z$Asny}xv3*Za)uxZjmjr6+a@g^VZxKXRFQRt@0203y2|D*qZ8P$YMBY;ZiOc)u$_)`qS zDid}acQ_PVq5Gl03T+F57~^izX#3dH2iqsRJ>xe1x1QsM8|*pRxW{e0#$iK_I0|rt zTvbTp=n+C1gD=8p8yD=jU*k4R(qa7QM|+IF_v5x)^Gsu|Dg?k7b77(Fq1P7L9x~dH z<_YH=ziskv6R$I*-^5EDzwy7Fb^HZSw(oG;*pW>-qDeov*Y$47^2?egCTIsV2?a+LA6ak5=T6PQW11|gdNa=|0} zjK6HgDX3BfSc79s*c3AJM-iCeULkiNq}RyD&=+a68*iKRkR#hB9y0`rnRw99jT4R< zvT@SGPI|Zi$T03!1P(Kyd$1UvaE#F{;|~Lc9&H=#IocMCCc!2i`b<_914;;LkpnnFs0w*jRn`3Y z^DUNH^XFF2AKp+O+kV}e6;q~65%_P4u!_-dLTo-8Mw=q%4)0(U(?tUB>XI){dw$W? z|Fp~JrmbB3P~hJuU$|@Wy1>7moA&(Tr~ln9t2TYSI4SV&wii-s7XGVUhW%w`&B9OG zr6*x!&Ge7krKs9l^W;C<<=b)?mB*+PTX$-+ixLcE>(nv+QcSy#MZB*Yv#8F3*?!z9#Zd?XvRm_iD=DZkLa( z{J5s{&A@rChS!SM+vUu;v9%BWwq5p|*J>BM(k?50mRj5Kt9I#*&942$OYQQ?hJxDP zztAo}>?p0h@?5)&?w?uv#hti*w-*~)Te(8~=weS8UaJ-N0wbLGI zmk%ykUpw`IcA4PbQv25Z?Q+eFJ8Hl5&34(8ytmeTPrKZ^y{WeCuE2G596*x3tUF^7FMnxUpRx?Qzu}yVNdYfA^VMQ(L>d<6k$`orQxx?-9@Y36A zukLG?kL>rnw`;#XGjRVm-d}rjNxOW!<$JX&bK7P4oe$K$o!Ty4KYg&aE}>mM@yf%s_eHkL z-wyqx_W4gP$=A{!t9|Zom*meDU9SE5yO-o`J5=qOH!sPB7oMtp`ISrZ*?XR;o%`Y? zIltFed+g~;@}}2bsBM4zl6>@EFV-IFz9fCwzpSmi|B`&H_Sdz~f9;a|+^)Xb+Am#_ z3oiby_VYJgl270BdhJ8!FUc8~->mI+UXrJO_jawj@shmzqd(PFZx8&N{^!~!*9F?E z->WTH7T9m=|JCkZa7lVkeNfw6eo0o`@sHZwxtCB^{Hu%d_`^~9t z|F$bmulmMCx$0`Xe%y0We*Rp7{@G7ol#iM;y|wkCymHrcz4pLG`SZdg{q?OE<--pr z>)Tddl%KJs>JKcsC~IF#)BDOV%Kjbc`fFJi<&k$X^vhE(%GXb4=`H`hAgd#C^zgr4 zkmtXYs~>&ig8WWSp8lhkF36w$AYXr8U64PlF4TJ;ydZCVrbxf#-V1WW#u9zj7ca<9 zUbpJqmoCWLj+E)9;}>MaP`SQn*9F;dW2L@)%?0@_ZKiHrd_g{cPnG_M(hKrs>umj; z`GS1R@x}W8JaAs7 zd{m=vy8FD;Kdb8x-+W%K)NJ~@t>@)}uPxC(X*e&Vi z-FjX=_009Uk$hg79V_*ODd*+-->%XZ{NbCXz3*G1B z|4rGTZ@cH5{M_d^>QCN$PEJYLtcSInlXc(NqG#95T>C%>|A zyMANNIeGZ09ePB}IhnC~m%jUNXJyx~cI#hy?W|1NS+9TnxwCTT+YS0>9y%*Ob9A4+ z>}zM`O&{#nU%m0HJaFNFzV7&0nIF-l-@Wy$eEjo=bg%8KoSAe)SEXlV``t(Nj>NO_ z2YJW!RUfy>hr3Sb|NB;(ykq7`eesnxx#6eH`uRuNfsFX`I zIU~J8F8xvCj9m4^r}Pcq34Fi!Y5kec2e#WjqbD_=kyWqWFX>-7c1qr{`^)-Y9H->G+}ri+xu>Lh z<959y?UbC=d50eNQLDVI{!ab*S6k&TEqCdARjU+l-lhNgo2@eKn_toMZ)}yR4ITQ* z1FiDkmapoo?XB{~{;%pKWv%k2&b#&3;#y@%{nzy4e`%2q=H8?K=EW9idE*{Ex4T8Q zedFu8{8EeT+4T*5VRMUoBkNv0VN;8I?|1j=#+(-Uhr2uV>yumLQ`^3&Kkz}byxIIM z{m(Bq%Q>%nOON-6!SKH+@gv`o)v-#PaX!A0Im@r-c1LKey(j zJfnV~zg%`wzI@>U-4t_DrY!oQp7tlFtog?e^{{80a^)jG(x3g7Q!YCBpnkyRl-i7L z{morY`NF&1`hvwydE~nf=?BeD`PY39>n(pjA?qwZ*0=xig#6B{Kh`rJJR$$L;}N~* z^C#rh%|Fqrnoh_+YCqLKv;2ho*_EH_-If#bM)#xo{KRhb8oPSD> z_8*nY=lx87=6gqF%3pt`@BYkD>Fau0e|hIoxpVI`dg1(|@|T&<>W*ngW$CY<)$0b1 z$h}{9PG9`w5qaTypZ@VzkH|CPdHv4jBXZMY&+GB4k4UZg=lbq~Bl1UOFX*>^d{|bz z^@4uquMW%4f9;BX{s)KU&o}*#Uh|p5^4+)>_1|m{{QI*P^^LOw?dM<8zZ-K{-ZICp zztVq5mi^hUzy0VT`TcMGLcj8bLo#OjFZC}q9+LLyztU&d9FhlpztVq{cu4MVe_4-y zr%5iE|7*QQHOYTn{k6X4&L;WQZ~w2p@JN$P+Wv|jzNASW(fahR)F%1uXZ!TBs|V#{ z7k;DP{NzFT!`Z*ppSll(&icmh^q<=f$|pCys(&@*pqvr&ntt882jp4f zHT{ZlKz^&`b-n8L1M+*;H}sPS56IhIdqe-i;sbL1m-}`1v;*?J6>sXh-)fYHKYml! z9&MCQKlppS^Oi>W(Z09zxZRC1!Th$qc~+zR_X}_9XCoTr3+;c<&%d%?o|^MVecSi< z%a8x~M?LxCe!1)JKj{yw-!Jc3JD}&~@0X8?cl4GI_Q@ap_#OS5&+e0-I`FRkK*v5= zmHub_&cplU6EFN(-(Is%=3Tm~e=>ES+*kD%{rnqyrR(>9(O>D_E6?8Xp00g*uUxa@ zuX^#Oz4GIK{8i5=+$%r(y+Qrm4;tjV+y77Rc&0&?#=o!U-_;Su`tK}zWd4sn)<5;v-LhoY zCwio@TSmnHOFww)Zu!LH|I+W@zFV$3^l$xV*4^^qwEyVFhr8t8p81ddm8W;fs6|4q z{qipPACHi4?%5^Z{*#cKDtF1B=u_mkKiVlBA`bL-#g0usT}avuT^0|IKK5IA@zY@nN(~d#6r*d9_J?^T&1ao1G>Zcd1T(?e8YJ z$zCVhSH;M)iFI<_y)m-=)vYq-gBZE*{;l$d_E=f$+$w9o9xI<)xK%#-f3eaYzEx(e zh?C#Fa)Uf_cbxpgoj1tuycZ|K_S_(!Um7p}U2=nb<*s=7o53y8|8Bgz{jn|b$NE%R z@#!t{Q(vAc?_0G+R{wFT{5Wxo{O!U7IqP?uW#erL^7?OYmcQ#ykc*FOmW$_3lhfvG zmN(u!P5$hoO|s{=)8v+?HpyRCYVx_yZIZ9LG-=+jN&e5TG`Z5eNq)C@y4?20MtS7y zbh+~WjWYepbZI`eQFiAf%4g?nlvO7a<>rqz$h&`*D4+6fkng1=N$uthvaBgd)~?+k z9gio;RY@Dw#e-|LVIyOZUE`yDbOEJZFZbI39vc+$f+P<}NT3)*RSMgeT$I0|StY0I=Q|a>TkJiX7 zi5b$=yhh%>H$%3~TO)fO&XCUyt(MP*Wy+x^R?8*TM(3gp85*U8n60$E&mo&4U{3*_J5S}xyvw?Ka9 z+skD}b)j6aZ@H}aY@z%?{&HFL%R(uBzf4Bt7Rl;wE|V`DDUuuNm&r3dMRHB_d{0sy)W40l7Cs{&NVjKV=tA1F*ccUN2xscoRnMND3#tD zrF4~*$xoI@`Gr$uGV?#W{Ioal?`2)?h%1->Y13uq4dwEgdAd}cQi8>{8X!C7)ye6{?3^=!H2*#+`B*KE1UwLm`an=K0#EszgtbL1O;n=jAR&5`Xt zoG(i|=g5eI^X26~&5=hf^X1%`b7jwO=E*Ofo-6eP476p>-5gk zyH7EIVgbbjiVYMaC{|F+px8k%gklNB6pAerV<^^8%%RvrF^FOj#UzSN6r(6sQOu&) zMKO$G8O1b;Z4~1u)=|u(*hevtVj;yuij5Q_DOOUV=2~B%%#{% zF_>a8#bk=j6r(9tQ_QB=O);EeImL8}?G)oF)>F)<*iUN!tp&6u(Aq$21g#acX3*L} zYY43+w5HJ7LTe1IHMHi?+Cys)twppZ(b`076s=XXX3^S3YZ$F%w5HM8Mr$0cb+qQu z+DB_3t%bBE(%MLCB(0USX42Y8YbdRyw5HP9N^2~wwY28a+DmINt;Mt^)7ngHG_BRN zX4BeDYdEdtw5HSAPHQ}^^|a>G+D|zE!tldX)1~?ngNw<${zGQf^2&BISydGg9tIIV9zhlv7e}NjWCvnv`==?nyZ)<)W06 zQf^8)D&?w_vr_I#IV|O}l+#jfOF1s(x|H)$?n^l^<-(K`Q*KN-GUdvYGgIzNIW*Hi+Q*KT?ryQPgdCKW2x2GJRa(&AADfg!u zfNBA%38*%p8i8sBsu`$upc;Z|392cmwxAk=Y7MG6sP>>5glZA0NvJlV8ii^Vs#&OZ zp&Ev28LDZhwxJq_Y8|S1sP>^6h-x9KiKsTB8i{Hps+p*Eq8f^7DXOWcwxSw~YAvd{ zsP>{7jA}8e$*4A?8jWf-s@bS^qZ*ECIjZTXwxb%4YCWp?sP>~8kZM7y38^-u8j)&6 zsu`(vq#BZHNvbKSwxk-9YE7y+srIBAlxk6`NvSra8kK5Qs#&Rar5cuMS*mHNwxt@E zYF(;%srIEBm}+6FiHB?BK#febGS$pfJ5vo!wKUb#R9jPxO|>@F+*Es04NkQ<)#Oy0 zQ;klwI@Rn{yHgEMwLI1IRNGUHPqjYP{8alB20&NT^VG@K*5Jo{*1z{G1T@Z#rSO#Glgl!PUL0AW29)x`m20~Z} zVIqW$5Jo~+31KFLoe+jXSPEe(gsl+9LRbr7E`+@h218g3VKRix5Jp2-4PiEf-4KRD zSPo%2gzXTSx*2n zBkYVYwA=ueMwl95YlN{8)<&2cVQ+-N5f(?79AR^W(GgZhm>pqvgy9jEN0=UAdxY^3 z)<>8hVSj`H5*A39AYp@q5fWBNm?2?@gdq}^NSGpFi-a)})<~EmVUL7C5*A6ABw>?; zQ4&^3m?dGCgkchvNth;Kn}l%^)=8KrVV{J75*A9BC}E?7krGx)m?>eWgrO3aN|-8P ztAw!<)=HQwVXuV25*ACCEMc>R(Gpfmm@Q$qgy9mFOPDTUyM*x))=QW#VZVd{6BbOE zFk!=l5ffHSm@#3;gdr1_OqeoZ%Y-o#)=Zc)Vb6p?6BbRFG-1<(Q4>~8m^ES7gkckw zO_(-e+k|lw)=iix8ir)=ro^Vef>& z6BbXHJYn;M(Gylrm_1?lgy9pGPnbSo`-Jfm)=!u}VgJMd5Enq40C5Av5fE2EoB?qM z#32xuK%4?`3&b%H*Fc;DaSy~n5Ent51aT9@Q4m)_oCR?g#9BXaZkiS5f??A6me6;Q4v=~oE33b#9J6@ z2T5EcagxMM5=VJ+fU6|VlDJFaFp0|~PLsGz;y8)xB+iq#PvSt;mJv>rxKZLri7O?} zl(D+=PL;S-;#i4mCC-(&SK?raizQB$xLM+8+X7rIakl1rMmSvJa*5L=ZkIS- z;(CemCGM9vVB&&_6DDq$IN~xj!Wk2HOdRr+0GCXhGI7hqF%#EJoHKFH#6c4mO`J4w z)5K8|S52HXao5CQ6PHb#HgVg;aTC`~oHudb#DNnRPMkP#K5_ZP=@Yk496xdW#Q6*1k|YA) z?~n8LCwTuSxc?J;yeEkJ4;=q8pYJlC|1yu~GLP>vkM}Z<|1w|CWxl@4e7%?X`Y-eS zxXkzGGT*PueE%-<{p{uY+spU6m+yZsKaXC1KE3?BdinYF^7HKF=iAHAyO*DTFVBZw zo*%tDUwV1|^zwY_<@wdi^R1WXUoX$cUY?)5JYRcx{`T^G?&bO2%k#aL=YKE1554?; z^z!@C%kNJwzfZmVe)aPE*30i-FTany{C@WG``XLzZ!f>kz5IUn^84P)?|(1HgI*BgV^AA{E;gV!g6*DHh9FN4=JgV#5M*E@sPKZDmpgV#rc*Gq%fPlMM} zgV$Gs*IR?vUxU|UgV$$+*K32H-qyzgY!Fs^F4#}KZEl@gY!d!^F@R6M}zZ8gY!#+^G$>EPlNMO zgY#2^^HqcMSA+9egY#R1^Ie1UUxV{ugY#p9^JRncXM^);gY#>H^KFCkZ-eu3gY$EP z^L2yscZ2hJgY$cX^L>N!e}n4*gX;r>>ji`B2ZQSggX;@}>kWhJ4}lK6R z7lZ2=gXm7sZAA{>5gX<%M>m`HhCxh!LgX=4U>n(%pFN5nbgX=Sc>otSxH-qar zgX=qk>pg?(KZEN*gX=?s>qUd>M}zB0gX>F!>rI2}PlM}GgX>d+>s5p6SA*+WgX>#^ z>s^EEUxVvmgX?31>t%!MXM^i$gX?R9>urPUZ-eV`gX?pH>veP>wSak ze}nM=gYf}_@dAVK1B3AdgYgA}@dktO2ZQklgYgN2@d|_S3xn|tgYgZ6@eYIW4}R{{Vhy zFrH{IzGyJsXfXb0Fdk_zK4~ysX)u0iFrH~JzG*PtX)yk2Fdk|!K58&tYA}9kFrI2K zzN#2+RgAwX#$y%Zvx@Or#rUmaJXbNks~GQ9jQ=XegB9b$it%E___1O7;jdL zKP$$g730&2@oL5RwPHM5F}|%B?^cX|E5^eWe+$Vmw_jzOEQ=SB$?a#^V*^ z^NR6$#rVBqJYO-suNd!FjQ=a<0~GTEiunS?{DERVK{3Ce%p-h*V*WufAEB6^P|Q~- z<}VcU8H)K0#e9ci{zEYzqL?31%$F$UPZaYhiuo1Ae2ZfKMKK?vn4eM1*C^(16!STX z`5nc4k7E8uF(0IuA5zR0Ddvw9^GS;NCB=M`V*W`nAElU|Qp{H==C2gD%$F+W zPZjg2iuqN=e5+#qRWTo{n4eX|{RjA3#r&;eK36fntC;Uq%>OFpgBA0`iuq#2{IOy_ zSuww?m~U3hKP%>=74y@I`D(@dwPHS7F~6;t?^euzE9S!$^W%#7a>e|)Vm@6lzpj{X zSIoaF=HnIf^NRU;#r(ZuK3_4vubA&w%>OIa11Q!9DAo%o)(q@1t1%qgW55SRbTVFQiyMq*za+SYM=AZ=_g%q*#xnSf8X=ucTPNq*%|S zSl^^r@1$7&q*xE7SRbWWFQr&NrC3j;SYM@BZ>3m&rC5)pSf8a>uccVOrC86USl^{s z@1Cs(rdW@rSf8d?uclbPrdZFWSl^~t@1|J) zrdSWBSRbcYFQ-^Pr&v#?SYM}DZ>Ly)r&y1tSf8g@ucuhQr&!OYSl_2u@26P*r&tfD zSRbfZFQ`~Qs8~;^SYN1EZ>U&*s92AvSf3aGj)ye>iuH?%^^A)3jf(Y-iuI3*^^l77 zk&5+_iuIF<^^}VBm5TM2iuIR@^_YtFnTqwAiuId{^_+_Jor?9IiuIq0^`MINp^EjQ ziuI$4^`wgRrHb{YiuI?8^{9&VsfzWgiuJ3C^{k5Zt%~)oiuJFG^{|Tdv5NJwiuJRK z^|XrhwTkt&iuJdO^|*@lxr+6=iuJpS^}LGpy^8g|iuJ#W^}vet!HV_5iuJ>a^~8$x z#ftUDiuK2e^~j3#$%^&LiuKEi^~{R(&5HHTiuKQm_0Wp-(TerbiuKcq_0)>>)r$4j ziuKou_1KE_*^2eriuK!y_1uc}-HP?ziuK=$_27#2;fnR*iuL1)_2i26<%;#@iuLD; z_2`QA>5BF0iuLP?_3VoE?TYp8iuLb`_3(=I@rw2GiuLn~_4JDM^@{cOiuL!3_4tbQ z`HJ=WiuL=7_56zU{fhPeiuM1B{Q!#n0gC+sin#v(hM?F_px9ra*l(cNf1ucppxB?F z*sq}2zo6L9pxED_*zcg&|Df0pq1Ydx*e{{jKcU!9q1a!c*l(fOf1%iq5y;zz{TYh= z8jAfJiv1jl{T+(^9*X@Riv1vp{UM6|B8vSZiv1*t{UwV1CW`$hiv1{x{V9t5DvJFp ziv28#{Vj_9E{gpxiv2K({V}R%#4n@RKcm=Bqu5`g*l(lQf1}usqu8IL*sr75zoXdC zquAf0*zcp*|D)Isq}U&%*e|5mKcv`Cq}X4i*l(oRf27!tq}ZRN*srA6zogjDq=@?u zV4RBmPKy0cin#v(CaTyUrHK0vV5W-wQ;Pjmiv3lJ{Z@+oSBm{uiv3xN{aT9sTZ;W$ ziv3-R{a%XwUyA);iv3}V{bGv!V~YJ`iv4AZxc>m=tk{31*pH@&`ww8$iv4Pe{cDQ- zY>NGDiv4bi{cno>aEkqLiv4nm{d0=_bc+3Tiv4zq{dbD}c#8dbiv4j ziv50y{eO!6fQtQriv5C${ez1Ago?QT0LP%%Z>ZRRsMwFF*q^A_uc+9+sMyb_*x#tw z@2J@SsMrsw*dM9bFR9o+sn}1c*k7sGZ>iXSsfhaza59ShnTq|Iiv634{hW&Zor?XQ ziv6F8{h*5dp^E*Yiv6RC{iKThrHcKgiv6dG{iurlsfzuoiv6pK{j7@pt&07wiv6#O z{jiGtv5Nh&iv6>Sxc>mBrr2Mr*l(-Yf2-J!tJt5b*srVDzpL2KtJvSG*zc>@|Et&! ztk@r{i2Dz4hKl{eiv7fj{l$v?#)|#Niv7rn{mF{`%8LEViv7%r{mqK~&Wiodiv7@v z{n3j3(u)1liv84z{nd*7){6btiv8G%{n?8B+KT<#iv8S*{oRWF-io;Y00*qt53blB zuGlZG*gvk=Pp;TsuGnv`*nh6rkFMCCuGp`x*uSpW&#u_tuGsIc*#EBB53krCuh=iI z*gvm``wwvHiv9J9{q~Bu{{RQC*pIK+pRd@juh_q@*w3%n->=y3uSR|Vz!miU!|xBD zKOaBn>xZ8oK7Jm5(6x7>ZK2G>I;oF2?6FyD& zGZ{Av`Z3|dg#Qx0OZY9}vxL7AzDoEh;iH6q623|JCE=5VKN7x3_#xqgg#Qt~NBAA# zbA-PUzDD>N;bVk<5xzzE72#8aKM}q}_z~elg#Qq}L--BhGlahozC!p3;Uk295WYe9 z1>qBfKM=k^_yOSqg#Qn|KluIN^Mk(+zCQT*;NydT557J4_2AQkKM%e<`0?PwgZ~b` zJNWJ3vxC15zB>5n;G=_o4!$|~<=~TpKMuY)_~GD#gZ~Y_H~8J)bA!K)dAFdS4L&yb z*Wg=&UkyGr_|xD^gC7k(H2BZpJA>a0J~Q~s;46cl3_ddW$KV@-UkpAm_`~1}gC7h& zF!;aV`-0yKJ}>yY;Ol~)3qCIRx8U1?Ukg4h__N^4f*%V$EcmbByMo^eJ}daE;H!e4 zigf}({}g;v@Jqob1%Fh~7sY-k_@Ll_g6|1_C-|J;Z-TE0ekS;s;9r7o34SH`l;BT- zFA07m_>kZ~g6{}^BlwKqFM_WKej@mY;2(l-2!0{>gy0W?F9?1h_<-R5f$s-?ANYLW z?}4ueejfOE;NO972Ywy+bl}f{F9&`c_;BFAf$s)>8~AMCuYs=yej4~_;GcnS27Vd% zWZ;j1F9v=X_+a3Ff$s%=7x-M@Z-K7`eiryx;9r4n1%4IyRNzm6F9m)S_)y?Kf$s!< z6ZlNvFM+QFeiHad;2(i+1bz|tMBopBF9d!N_(0(QfbRo-5BNOb?|`oZeh&CJ;NO66 z1AYzoG~myGF9UuI_%PtVfbRl+3-~PHuYj)tehT;~;GckR0)7eBAqV{t@I}B60UreX z5AZ#}?*N|z{0;Cmz|R051N;l{Ex@k;p91^|@Fl>H03QPU2k;%hZvdYG`~~n8z)t`l z0sI5-4Ztq|pFq$bz`g+Z0pJ6G{vW!3=>4JdhrS=We(3q3hQ1iOV(5vXBZht$x?$*rp%aEa7`kBSfuRG2{ujDm z=zXE{g}xWMUg&wDNaiPP7{ua7h=xw31g}xTLTIgw^qlJDJ zx>@LDp_7F^7P?sIVWESC{uR1c=v|?6g}xQKR_IxwV}*Vdx>e{^p;LuE6}nXDQK3VH z{uH`X=uM$Bg}xNJQs_ycBZYnxx>4vwp%aBZ6uMC8L7@YM{u8=S=sltHguWBHPUtzI zhR{t~)N=q;hMguW8GO6Vz}qlA7Ex=H9Ip_7C@61qs} zA)$kW{t>!I=pCVRguW5FM(7!#V}yPYx<%*}p;LrDF{n!%`8}DS4iWl8=nkPbgw7E9 zLg)&iCxng=`a$Rhp%;Ws5c)vq0-*DMhgU%27KIrIn`Zeg*pjU%V4f-_b(x69!4h{M<=+2-wgU$^4GU&>nCxea*`Z4In zpcjKq4EiwW!k`C(4h;G)=)R!$g3b&2F6g?T=Yoz4`Yq_Tpx1&<3;HbRvY^L;4h#A# z=&qo*g3b#1D(I@9r-F_O`YGt9pqGM93i>GMqM(O@4hs4w=$@c=g3by0Cg_@=XM&Ci z`X%U=pjU!U3Hl`HlAuR|4hi}r=#HQ_g3bu~BIt^sCxVVBs2>{nUCp3g2s$C?gP;q7 z9tb)h=zpO5f!+r?ALx6a>w%sJIv(hEpxc372Ra?-bD+zC9tS!c=x?CAf!+o>8|Z7G ztAU;dIvVI_pqqhS209t&W1x$H9tJuX=wG0Ff!+l=7wB7{Yk{5xIu__xpj&}n1v(Yz zQ=m(M9tAoS=uewumEIu7VJpxc0613C@pGoZ_W9s@cI=r5qVfZhT+3+OALtAL&YItu6~pqmKl zB?7;Z5UAOL`UvPEpof4C0{RE&9-w!C&H?%c=o+ABfQ|wB1?U!_SAb3d`UL0_phtiX z0r~^z4xl%H&H(xX=n9}GfQ|tA0q6#x7l2Lx`T*zxpa*~s0Q^6AfAIa_`N8jl*9V^u z9v}QYc>5q*%4;~);J9u~S?cmwLuY*?ypAH@!{5g1Y{2n`aa{RtJ zcyaLI_+51H-{8H$cZ25!zYSg+zc&sZ8~k;Ux8~mi2Tu)t8o%QWJ{mkU_-F9W;G4lS zb9@W_4mS8?@W|kg!5f1w22Tur7`!m}VDP};f5H2L?*-2beiyth_+0R~`2A$?w%}{Q z(}JG`FAF{vJS={H7`!X^R`9IgSHY`-PX&(({uI0^_)_qs;77rWf)51`3jPzkC-_eA zoZvUXYl6?j@5+L|1aAqx5N0$cypsC4vV7{{`L)d>42w@LS-uz-NKS0)GYG3VaoK zD*V15cq#Bvj57p(e-FG9_$Kg7;FrKFflmUD1pWxT5%?nTMBs%fPVn*0KNe{ z1Na5-3g8pKBY-~uZvegkJOTIt@B-iizykpP2ksBNA2>hoec<}Q^MT_7zXxs)ydF3` z@Oj|!z~h0#1AhnZ4!j*WJMeYj>cG>1qXRz&ZVtR0I63ff;Nrl;frA782JQ{K8#p)c zZQ$C#vw>p+zXom%yc#$)@M+-Ez@vdf1AhkY47?dQGw@~L%D|I>BLhDMZVbE_I5F^H z;KIOzfdd2o1?~&H7dS8QUEsRFbAjUmzXfg!ycReu@LAxpz+-{K0)GYW3cM9KEAUm| zs=!l$qXIt#ZVJ2C2iy;MA86gG7jQ1%Tfnt|X933oeg)hLcolFe;8Vb*fJXs`0{#Tt33wB5Cg4lJm4GJ! zM*@BX+z5CPa3bJC@R0!z0uBWH2e=RL9^gE{cYx~v&jF4D{06uU@EYJWz-NHV0FMC< z1N;TJ3-A`;EWlTQs{l^{jspAyxC!tQ;3U9DfQtYR0S*HE1Goq94&WTXH-KvZ&j5}A z`~tWI@Cx7*z$bu9z^?%u0{8=P2jC6B8GtVUR{)*>90B+Na0B24zzKj402crr02~1I zKk9ze`>69#-=nTaJ&!sb^*icz)a$6zQJQ~gQs8>;^qCQ1kih2}vDC$qt zov1faXQIADU5R=UbtLLX)QzYYQ7584L|uq_5OpBxKh%Aw_fY4dzC&GydJc6Q>NnJF zsMk=Zp*}-hhI$OTMAToXyMpx=|E@dgE7Vn}r%*>hzlXXB^%CkN)JLd`P!FLFLj8lf z2lWo>9Mm_cYf#UijzRr`x&`$L>J-!`s7p|fpbkO(fw}|r2I>sd7pN;xPoR!K{eZdw z^#bYy)CZ^wP!FIEK>m-sANfA=eB}4Y>yghRk4OHFydC*E@^s|q$jgzBBM(RZjl3KA zHu7xb*T}1pPa}^;{*1gC`7-ik z@>Jxf$V-urA`eCWiM$i}Ch|<=m&hxTPa=;*{)oI0`6BW}yXbOk3;^3ybbvp@-*aU$jgwAArC|Tg}e*-7V<3QSIDc7Pa%&&{)D^<`4aLZ z29OO61Ymm<%k3s%|yao9R@)YDJ$V-rqAP+(QfxH9x2J#H# z7sxA+Pauy#{(!sz`2z9;Ho?;!v`iXTD>m}AntdCe1u^wU_#QKMI59=M)IjnD3 z*RY;p9mD#Cbqnhi)+ww{SeLLKVI9KygLMb%4b~Z~FIZQwo?sop`hj%=>jl;ctPfZh zupVF?K>SDCN4!UzM|?+IM?6OyNBlZ_(ogVri2Q8=XSzZ4;u|B}9ZpB9#S~#OO%Y~o zim;leh-%9eVYf~ZbyZVDQ}qGwiN?mwi^b+YzKzIY^O!I&14Ft=W^?0_eHqo_eZ!^3`DqY7>sZo7>aP67LhKKDbi)u zB3)K9SiRU3FEFuBPfpSDP);6>E=lWjG>TWp$CRMfH)cRZWqu?aoNo;kHQE zIaj1B-W}=6@p-bh!1FVdCck95uGi*)Jzk*;+E zk*?i?k*?!Ik*-UoD6ghPc`fEBZ!Q4~>!Z9& zo1(lMol)MsZBgE4ca%5T6Xh-Ji1N6%I8nN4Dr#Uz$kO=3fp zNiLs}Ceh+Hi4>1X6m^)yyiSw2uFE90belwD zk4c>JnM9i3Bue^BVnM%2Tt8qETL(?z;E+k2F~x{te*>`WUggDMsvY#)u_^~8va-WV~>7b9~0F=A$4jF9~?V*Nmj*fSU-P7K9}c2lfvx)y88GsoIySz>KV ztg*HYRk5~)>R8)Jd#o+V5o;@`i?z+EkF_mpinVQW#@hC^#oAijv9=UXtgWac);6y* z)^=T2tZhqotgW#p)^^GlYfJOT+DiIjZ43HiZPyRP+O`hH+71rI+Rm8bZ0TB@t<)T6 zTWE>1t+dA3wpGR14pqn5&f4Q_nT|MHd0m`saebU^byJ*ehcnK0q%F>N-W6w?>W;Hz zd*W=B9dWkW&N$oJt~lGS?l{}Ao;ce@Z=7wKFV2?hkF(9}i?hl8INSPxINP4VINOP# zI9t0Z-Zfo|cjcMmU9&9lt|iuZ*M_QiS3`BY>!dy2mE?$b71YJM=G4c#mNmt@HaX*6 z``Y4NE$(<%iYMMx)DiER*BS4+t}EWPr90l$*c0zM<%@Tv`Qu$BeetdZ{qe5r2jX2@ z2jg7_hvHplOjBLy+EiDmd8%unWvXkXb*gJy)l}D^>Zz`?_NlH+$5dB&-Bj1&`l+tf zO;cSvoKsy#+NQeByQjLcJyTtk9aCMkol{+FyQaE!bx(C2>zV4h=$-1C=9}uu^-p!p z?3?P6{Zn1*2d29A3{G{O7@F#8HzjzdYYE;wbAor4CBeJIn&90~mEditPVks1n;t@1n(wif_GnAg15z;;7#!)c#Ap`yz@E}yw`Olc(-&XcpG~Xyr+B# z-ZX!Lx1=w@yP!Y8d;LIyck5t+_ux>1_l#+pH(i_NEj3T`F0@SZuCz|`ZmXK+Jybo- zd)7Y9o9US5Ew7vAU0grSySizbcZYMD_ek3`?|Jt$Z?7? z-eWz}ycd1byt)2q-kE*Vyt03qcm2RL@1DVF-V;O9yzQE1vY0hfl|?hzteVMDrJ3rh zHIvh>nGzkEDZfrL&92u>OPe&)MyF=l+oqYC-I^)cqnQdjG}GKp&9uBrGi~nHO#6E@ zQ>#xirTR5fai3;cg3}~hs1~t=xA85JSbd%jW-BedK-PBY) z-PC5EZpv^>H81<5>82e2bkmH!=_b8@x@q0Obkpv^>89gD(@mGOM7zbDXs@y)+HKZEyQ3=6 zUSFMPcRCX7`E`l*+4YI`rA>+Ujm|{--nK-0vpdnA>`Am2b|l*8b|%`FcO}|4cPHBS z_axd|eTnu|f1~&R1 z_NMA2dz(GUp5aKcm(?ZN7u6@(S2ZQsw>y*Uhuf0u=iEv5EKicXq9e&()0t#n)0Jf3 z*_~uR+LL6z;7hXS_>=52`jYH=f0BLOK$3m;V3Pg#P?G(Umh85eligL8WVg+l>~>To zyX&iy-A+fcJHIa3J-a^Hy|gLWz0sNM-rJV!ZuTU*3p7c{+^d>W+}oWg?!#>|zNlW!v%&ERAORCRiP4zjdQhoK+ zsXnJ8)t6tF>YH7k>RZ~B>f7i{_3dp-^)-7^eT5yVzPX*LzU5u1zRlgKzWqI^zE*## zuedMOH@`pCw_+gGcf(++@4!&1@3bk+XV%huR&$!K+LGq8Thn}XRcXGa>NH=QJqZq?;-`(oMCU>87<^>84%X>84{n>86XmbW^TB-88c=-6Z?d zP3s5JO?w8@O(%xZP3>BSJ%zxCmk8~g1QX*ocav=vZf6C zCTE6yUt5N~#gkz#>d3Iq>&&oU*Og)4(w$*%?8&g7@@Lpf`ZDYb`ZMg;4`kT44rbU7 z4rSQSXqom>bEbWvCDXprnrYuwm1#dzooPSo$h4Q&W!e|lXWCacW!iT*Gwny(GVSNx znf7c?roFNw(_Y(|XpwCDOW?KAr_?Xo}9zJ4InzGpDgeqt!o z-mYc2^UPW9S(YsK5^I)wLsgc$p*qWb(vjsZsLOKCsn2pRYszwOa%Q>rwPm?mJX!9d zjx6`Q&MfzJU0Loe-C6F&o-Fq%f0nzXFU!54Kg)gnK$d&!V3zyfP?r0QmhCPzXS)|# zvfV4K+3sys+3rKt+3vHBYT-N@>T`U{nsR)boH@RIZ8^RcPmZssBgZ$dGskybSB`H>caE>I zC&zcnpW`d(%keGf&+%P9kmK7rnBzM*l;b<2<@!p^xxR&#T;ED-u5VjauJ2HFuJ5cP z*H>Pb>swr(>s#HF>)YYX^&M%;^_}7`r?ouI zYR=QDEqR*Vny1xO8wT?o2Zr(;r?moy)m-4HwiGz*)&fUeRe__ay1>!qC~%b36*v~v7dTcm z6*#s#3mk{r3LNJ=1&)f20!K|}fn!Zqfn#TPf#YaTf#ZU|z%iq*z@hgSIMxjmICc*f zIF1h$I4+qBJyn)MkIh=>aa0w0>Z=Pq&bmU+?D|5_(xyVsMrWaCZ(E_KxueiCx3kc* zysOZ&xx3J_zo*dC>M!&Z_Z52P_ZNCr3>1297%cQ07%KFf)`~nx%ue>x=zM zn~MD#oyGpWZN>iPj$;4Z&SL-au44b@?qdJ`o??G%U$K9Ff3bhXK(YUZ!D9b`p<@4O zt;BCNm-wqKC4RfL#9voc;%}-h@wYij{AG0|{zdgA{#8vS{_V~Z|KYY0|2a>IzoMhW zU(;FQU(;3M-`QQ_KiX5`zu+(N&*&@h>-{DEbps{--Ge3m<3lC>OJ=J!%VO1*SgqQI zDy!B|ZPiZJS+zO!R&806RompWYWv!(T1$sjo7ZX8uIsXDTe_`UV~Mf9ce4o z&U;F=%8pX4wzE`Q+f}OV>Mqre^^|HC{iWK>zEVy0mul+=O0_+MrP_(1Qmx%w=9pzE zb1bozIW|<4IU1_V94G6_9CPZ+9Lt)@9GjeFj(u%qj+Txx$Gpxm$8}w0jxF6~j>euc z$Em(D$AbPc$Mpkcj;(`bj)OyGjx*+R$3jcFW2Lp+v8}4yaj3f7akj49vADk6vAU_; zvBO#JIMP<`IPWQURCbg*YCFpvYrD!FySmFA$9l>g7yadqnSJFB*kBtQDRORTZ9w>I%=vx(d&n`U=morV7s{XN6~9TZN~kqrx+l^)q&=~+Ke z>De<_={Ye}>1j95@XxZ$@Gr5>@NcM^;cuv(;Xhe7!#}5fhJRVp4F4wQ4FA5i8UB`z z8UA^lGyKqqV_XYDVK!UeI5_}ku;A=1h6g~tDF$5er1Ohb#5;p|r z{199hhTwrX1RqO7@SQdUGkpkd8bk2V9D?W85d7c`!NMPc+rbb#3WwmcXb677k2#t+ z=2-HW6L zU+5$46=S5mX^ylf)=2xx8)>KhNV^)0w70^M_F**Az6N8B!p9mzj5UrNYXUXaByOyo z^JDG0FxDQ3W9?&UtbM1AwV6KFZW?3lp*hx`TVw49Z>%l+v35HcYmdUQ_E|L6e!@>Z znmF}X^3>z0Q%~eiz01O>_qurMeIT8BU+AaaE5@n!rg`c;u};0O{8R60aO%Ako_Zff zr{34#%%ku#k0H)Hjy&@O>dce4Gw+-~^R5eL-UIQ>`&c^jzSGXUnSSQoG|s$-=9%~0 zI`e+;&b)v*C*hXF#+$I6Y!}u0Y7>Zu=FS3PA~!Qg%j{1nt%)ZoV!Gvb9c#e?tSW<`YVamNDPPtE{DfhiL<>va7yJbwdcg-pHsWs((^rqa>pK^DCDfeDD~sWsDH`ZMiXFw^db zGwoS4)4svy+H1sIdz+kVA5nAdTYj$H5a!xD;#~Vgnrq+dbM2Nf*WNYf+NaiB`_Y?g zOMkB23Fg{+;aqzW&9w`B;awsY-d%Fxy-zK?&-sOSMOb)mhzswrwD7*v7v8JJ!n3u{ky>I!YcSBfu?}$t96KUyvuP?n@ z#?pJ&Tza2cOYcX2>D>vI-h1KFdl4J5siP1U&0yU63&sAaDlpnOZ+ALx^M}9AYQ^hmM-Dn z>6h@E#wGlrc?o}RUBZ9xFX6X?OZcPk68>3q3IB<>%(LWWo~JJJqHvjiUA)YHAYJCa z&@c0^7?=4s&CC1~>oWh9f0@4;T;|^jFY_Npm-(;pD?CG7;W_dOFHl!_iNC^M7q0LR z#4G&A(iQ$Y{R)56xWYd)ukg>UEBp`s75;W`g?|)Y;XjM6@IMi+=q&k)&Qq`GqVS6T zy7-Fzf%J<0h4G61rumBg#Ck>l%6~<_8oZ*v6~3Z>7`>u@jlZfh#H%_-zN!nK{w5>fhNky7^@rxG`g7}5{RjV5{dVxG{wRD^|15e{|B1Njv*cBu zr>^>VJ)2^BLlr&ym-Bfx6~P z{5Ai&aLs=pUh_YeuKC~T*ZiBtHUFV`&3|rP^MCNK`L}~>{-f}k|5`4gW-X4gX$$4Zmf)hQDjRhJR|khX3flhTjQZ!`};E!(T+N;TObp{w{f)f1kR} ze=c0--w?0!kEQGUm&SGeo_U>rYF+1F2G{xf;dTC5be;c(xWT_o-rzr?Zt&j7Piq z^zV&Z`n%>W{Zs3f{-b|OzZ2Zj-wSW)FQQxe1##QIOWyY1r*8Y73%C6@#M}O3>9+r+ zaofLV-u9nbxBZvFZU26F+kY0__P-(S_-~VU{Ew(R{Elc$fHCx=Va#+$A2GcZuiLUE+t}F7YV5OMDjHC4M4b7kKJ* zK@?vXK9F7)zA#=F-ZWnqo>;F7Uj?rVZ-uW5A4abWUlVT#9QlSIP;Us5@P_a}d_(wH zdPDfmctdz-z9Brf-VlBW-Vh#zZwQ}7ZwNn;ZyG%HrXh-N8Xrh+8ef=i8c(b@jjw_? zjkm%#jSr(YjjxG&21njA1nQn43HOW#;yvSI>7MbOanE>Y-ZP$C_lzHcd&Z;ip7B|9 z&-jUaE8wZO0#SS`_&|Cq_`-ZEcw)U3d=FkId`;XBIP!iVQ1=5#xF0+a?*|`C z_k-_@`@uu=e(>D7AN&y94<3d0gU_P-!A~Sdyiak&=ORZumN?=|lOvv59Pu*bh-VQ; zd_%rXd_=uXd@H<7yd%C%d?LL~d~du>ylcKqd}_T-{207VycfPryolZ=F31PM`_u#B zbMb-jSb89QX+991S`UPm;RE4W^g#HAd`I|*dPn$Hd`I|1dPn%)ct?2Gd`I}ydPn#% zct?0Id`EZ@y(3(Z4~_S!hsNjPL*udZ(D>4PXgswZ8ZX0##b>Aw@x9;^>Am24^S$6x>%HK|@V($g^j>g5@uVp7#_Jl_*ncbdMy4#JuyY;iTQ=~#QZ9J zVtyDsF~26CngaFIl*Fgz$I?^tJM*de+MTfenY(ozm;Bu-&-%jAEOuHg(Om6SR(aRBvM~fpHq_bIrW|OIrT&IIrWqEh4fYQ zh4eM`r6fsTO5a&uNkQ=UEadAPp{GBIM~37>!{u8V50&#A9@vh|v`?Mz2vZ`k0Q@_N3AJYjt$t3I=o3J;yggxRDc3Mc- z4KZPFNeTN@PS~iDuz{Me2`yn$dcw{b3A=43>|HBipW6w$;3Vv>o3Qu2ggx^Ub}2~M z{V-u4MhSa?CXp2^iL8PoGQ^Wefk+}%GKs8HN#ul1A}J<`)Y&Am$t967pF}c35^0J_ zWLruiXL1tBDoLcJCXpR2iA?k)k~fk_$4nx7RuY-oNu=l`k)E4G4!k5X_mfCDNFswU zi5x{qWQnHe6)Z)sf)qW(Q%I3W(N!`E;=c?=cecbFGbJ&6kQHd^dL;pM^TDi zB54{$)A9mCDL-0Ov~$3T0WuEbe2iWbv7+;a%p+Yr{#>0mYZT)-j>qx znVgohN?LBIX?aIW%M(2<=Z&=7G1Ky%m6oS=S}rNj6K95XD2dtmCV@dRK`A`Gjx&3*mX8zZ*m!X%xCP3kg=O$#@?1P z_L-cqvr5KpsTq4m%h(e=W9N;G-7z!vo|UnucE&C`8N26Z>;o@j&;5*D4l?#2%-Ba! z#$F;Qf}tpi!5t1zl*CaPBT%$LqUaihqQ^Ak_6&;FSQOphP;|tj@``|>4G~4RBosZB zVO|AAfr_GphN6^?qB#Ra+a`+cS}1yMqiDfF(XNZ4`yPtUd=xDODB2HE^e{rv1(HQ- zXqLgSEQ5nAL*iMvMr4@^nPt|fEOSg}kvfxQYHXI-;Ihn!&&qWn%QVC+vn6GjQ#p&) zlq>_(EJJ8nhSIZ4&d4%tGt2B+S?1i%G6g5gbloho?`4^ppJhrxmg$FC<}k`K3nYgC zG^b!#PQgJ=A@Q6Hh@4U(bIKZ(Q;z8z(qeK-jm;?=TuvGBIk_d|l!lm7wxpbLD(6t3 zln&!YPHCGtW!K6n=XOphI60;3=9GOer_B7EQVMcPKg=nIQBGMPd8CWx z9SqAmILJFBo|n5s-l>pzXN}4`$8;X)F?pxP=A8{L?~M4o+!OLnL(Dr{Qr8Gx$drR3GYE^!QB-7>NQnk$ zNr8-Cfs9}IIpYUJNvV=0Wt}Q1Cv=H!F(swWmXuAdq>TBJ-4aSlQ!FXlQb{?JOAJs- zN=q#%J6cJZ=p_djC8cAQls&7YOzjfWa!N|iEhz_HNtyd4r5u!$L0D3bqLQ*i%5)bk zJ1bb(Sp{Whh?ngyQFf|i*;%K`&Iw(ndraA>vt?(KD?4Kza(`d)4({svB&n-I#UfG%ZFdmehL0EQ0U>J#GGEQJvg~YHm3d4?R3|VC`tj1#428UrI9+OuE3~PuOwk2WMsSN!G6bu6@ zh7lTuQ97pJ28Ojw4BNFZ?A*rCRR_bmE{5%U7&h}UWi`OCeu!a*5r!?06=Va}z71@J z#lZ?o;w$n7vBFl!6?TnUVUOt*WRqE8YwQZU!L6_(ens9CR@jEP!fr_`?5VthZYV1( zP*+$&TVW}EMcFV`*tWUC?piDCxxIpJIxB3~U19gV6?W#YD4W3w+YeXR!)S$FAQgl_ zD;R-QROl<9LSF%yAS!Bwtf*^LMLnh~$PQCcYivc`;412fugE(>MQw-`bxW$Kr*Z`) zl!^+}ib`k|mC`E;VN}$%Sy6Ybih6EW&>g3ucHN4)?^V>9Ur}~~irNn=>S0t-7f2P^ zN2}OAR&{YubxFJ`?-NyZpRBrTRMkDEtH=RUb!%+Z-QcS3h_A{ALe*`ERd-9Ox~Fm# z-B+qEP^&JXRb5K2D*Hy&ZJSkh*Q&bbb`?Evs&3b704b*hG)&^3CMsbO`thHY{+Y|Pi}RiTD8#TvFP)vzhuO$XE)#-RzaN|;&pq2sJk0von5Eu>#7^WP>{XUBZq-W2L=Q>?SwQk^}M z>&%8yXIpBW-O=joM6Wv=MxE`Lb#~9Hvs1gyY&vze=hoQ+ug=c>y0aP7*+E!mkD@xe zL>e@KHdq2{sH>o%4)KOf5Dk|g8|pgMP*3Ovy~8xv9k!uvat(FNH|!mup*F>ax-B)- zGr7SKN<(d_4RuFrs1v>65Jp4om<@H$YN%7Y!R$BG}J-ZP>-U9 zx27jOcg#2K1EJ|Q#iqM0 zHQh70$?Pjlx1~1S9j)n3bm*&KG~JHbboZ>LJGGn4fzxz*Zqq&Rn(o|hItM}19fVEy zC~CS(1Rx{|FcJd*2LO;bkVyilBniM81;8;4kRb!GAq&6;2f&C2@=yTkPy}F00^n2z zD5=1FDgcBA0Hp(kGyrIu0PI=-oZHX`!U3S`0xXUaFluWHq=U@R4mQI& z9u7JliFf1~(NSk)$6KR1-Z9-l=1d2hvmI}P>v$u+BhQ77Iu|?Mmelc1vp_-uj9@9jxrBAUO()3hf&8{AYGb7yDW)y z0rZUk&^N*+iLOhMU9e7d!3o`^hfJ3pvR$yrb-|eL+C!o14#h6mmb&0f?lPp(-=v{|2x*lnE!JgFxQ@hIyoi6CPU2x!a!QAgU!=MWWVHX@lU9d!Y^a$;-Bdo`* zf*v=-d-jOvxg)a2ty4YjgznK}rpJ!i9=FN$xG~?e$3o8?i#={z>Tzdsj~OXFZlw0O z9j(Vr^qw;^dfv$FaeG#eo7z2Q?7)0(k2~;s+}!UuweQlHLYh%7|PlUcZ5&PP<)Ys1BK0_&ej#B&D zj@H*Edf%apzDJpTZO`g!Q@hVhoIW>k``Urm*XDlTnFM`p5cajBsIM)N0X;(p>X^?71*-=iIZ{W>+=&KRHFdTSC(ZE}xxQt^sjsqMg z30x*f9ABew{FugJzmMBEi{l#{j*ocgiy`1PA>#O!gyW|QE|V&b6B>?FI*yVCE>k9s z?^-y1ZsV}e$8FNZ@qG`+XFiS&1Kg%U96yY3e1WXOK7UnS#a6Kmu*#G8D!xIi$~)vL zzecU{$Mh=f^H=Rvc9q}YR{0UX%5Dg&_Kvv9Z%M2Csk{pN{8f3VuJVMo%2WC(Ix<$} ziMh(}TC4oIy$bvMReR{J^84N@Kl4}7ajhSa)$Os_-Dt=mI(-QVEW{Sm*;4uy4RM_l)}q;>yPUI!b>x-wMPeL`FJ zDSaJ`jCEyVuKT;zx_@r3gH31M8M^EKzPIkr{BeQ`_QmbUaWc?%yYTgph?(s#5ieWGu1q_L&U%q@M-+R~@? z7C&;foRPbwA9!2(+~4Ac!Im=%xAdcEOJ5?}$Qa$0$JjPH2HXA+-^RwowsJsjgE6)3 zpU~T|J=?a&>^3vzw*4``&5ng_=Rn-{x20|WOy0)F%C<6AxBVS$+n?y$+{oBg=H|A) zXKnjadz&9S+s@eC_7A*mf9`K{<6zsFhui*9wCyiZ0uJ8^nE(Vq5`+qO3PBOXF`cA2$eDkV%H*wbDO~S9l|ADg4p*6 zV&)TIKOkHxB#6U^AQs3D9KY_M``8Y)4|W6+-@*5Z9d(D?aY<@NIHq^#eP)N>XLsy< zZbumLJIubYcrd;cC8)Z+}>gLogH`R z?g;zdjxh6gxcy+qorF8WVYDMGkX_h+@8T4;D^paI#@y9TB20?OEh{WsjKUE|!|#b(Z~ zN4mSlzPD@4{9P~$c0DTGH4dX)V}b0!{$r1)usxdsdjW~>F%+>UP~@INQG3BLy+_ZO zJ$}aS*)wi081Z||OxP1<;+`{;_JUJ+kEN77ZK&?Kl(rX8`W{Cad)ma@^C)XCIJfuM znX~5&-MwJn+Y4s?9ybg2yh*qh97cP=0^P^=v3+?T>=Q#`AI=+~&kVIsoY4Dl-ms4! zu>0}>w@-}uee^)sclX78op?jM4AaOlm#L*pnqG?vH_ zJwcE733g;pz)>*7kC+K@BuvO7XF?qXC-f2QkB|5{dt}eKqhQP*F>~Qan2SfwTsjKQ zkR!R!ONqxEB^{fm@-a>+$2z4R6O?vrQu;A`{$qnOkD*qN&2#&hr<`M- za*qYdJ2q$ju}B5S0Tmu=ljzu7ASbYHoyZgH1f75rWrCkz6XHajkSAb5ooEyK1djDi z>WuxdHx}o?*q?`EXC95kC2|VqAE)vRJ4I*URGHzY z*o-)Z^N&+7qfWIMeG1#JQ+vjqGBfVfnenIWOgMFC;wd+iPR%p<6rU-l`b<3~X4laca!WQ`ml;np69fpE;-g%smxm-l;kFPsLeq8qC5|ZxWrFOXLihqi6CQJ45H- zOqt_n*qk_1=j0ifQ)k+oK7)EYv*+v?Gw05nIe*5^g)=z*J>%xmS$HO&;dA9opQ~rY zTssRV`WZPl&WyPU{g|w?aB83NbLY&TyJy1OI}7Lj8GQb;U>=@9y`6obJHh50^ljoN_*|I4wrfJprHMC_ zC-O|0C^L1U&a{a(gQJfbtX&gpBAuHPV`fj}xieAb?gX}76KMuV2Xk1vCecJ%gcD2qYtoMTh=9G`OM`r^2~970>l4EPblLbQ(-& zz|B5Kr_MQ;y642yJGW;3xiSsTwP|>6OrvvaflQGFI>i>)6kmWTxxlCLf|#lca;h(= zskxx1$dZ|2OLmGcxhc8ir}9#ms!MUIFQuuul&9!InSzBnB^KJ0TIf?{VNA7!IW-p6 z)LPh6bm>gN(w!1ZZyL?~sj>{F+A^FP%V-)cs2Qx6Gib{hwB-!iat3WVgSMPOTh5>@ zXWAUrz?n5O7xoO=YzA#MgEpH{bAJYHHVfg;m`5{eNzJvnH23DP#>}m`xr8-ijxOXy zG?$hb48cNK;4mZ>@cCezT4)P;0iSPyFWH5>-3ui$t-G#dJ7Ut4lfMu|tmf=EMqDy%hEvzL5L$FkqI1GuUx+GzoT53yX$-*DM zU6Spkp%1#ALw6 z6M}EO?krgbCBtRUg;&CKJ#F07~M>13#erby%StgFO*f^5s z;z);&BSj&O^u#z)mg30Z&-pFAqQ>cj7N@IvoK6{Wx^Bklj1{MwcAU;Sak}Nk>AV-G zJARxl264I<#_4htrw2%ahU=*16)YhqKtitK2{}b1zZ z?(hk@C?w>bn2^g-LLSI}&Tm)Lgq_e5c2!TuC_Y!u; zPuRsEVfVs>U5*m=07)VknndARYGef@(FzXZL=vr$Nims2!QQVymnj-jn>IDnxWEYlTM?M<)SS%jpn&D+Tqh^QAndb zF^!g`G&+#eGEmaYiVAtImS(DY8nV1JQ#aF0#!53yJI!RBG}Cg^Ox{Z~9Y4(!gEZ3% z(@Z%^GXo@JV`xVCIm=xE8KsJ69E`{)buy!5sEpF2GfI}pC@nUl0KjRdGjMED< zPC3drgP&tuxQr78C|1ECw~BB(I@tMgIlEWowY(<}H+M*f5`ivcN^zoQJY)A7u*x%63DPEk!8XN3$yAw{jL_ z)d~*RT@zWgMrP#}l~o&%-@>)lDqyo}j?1cTKC2dltlAZ`YDvnfeK||#l&p)XSvs#} z-HQGTe(TmCzs*}&w_#`5oRf8dn{{(u)@}P)w-99AZkTmTQP%CFIcx>wuqvLTSBM-| zCv)}+mBX4$4r{SFEYIbz4xht{LJsSRIjk(@uz`|eSJa%G*K%xChjAmv)*-LWTRFCA z!}Cs#ZMiu%@8#HzpJR(bj_rjxwjAZy0h(7=KwholaLqN5SLh}*n^*H( zUhVLCwJ7A(o|sq5QeGV>d3Qz4+j%YTR`opFGV*R6^4YwVcbiV$ZFzaO% zQ~-UsfV7nYhp7dmqZPP{UO?MMfvcI2%~}PnVHdErQ{aGGz&c)mYx}T_puly*0#}L( zTpx1T3R=`KtVmZuQLErZra~088VT7fRn!`Ek*zRA4X{PF$`!RXU*sx6QR|9Dtt1t- zzFef+O3}mABHhu7UPUi5ZKLSbOvq-fqSvsCY}+Y%z%8;JujsY?BG(RzUN-Z(D9hA6USmMf2i5nng zyMmTA$Yt#+C~H-`>{N)dRwp5wrOH~9F1r<`thLy(Tjk1Hhc9~-p{(`9vR0PL+CVPb zZKdq3sBq1;R`#lp%eIZOS2rP>waQ-8F1u}~?6uso+wsa?$1i*BpzQTR7>~-{0L5@9 zW*P$+ULi2NMq&y^VR(bakQD~Q0gKTq9EP`fxK3NZ@UDm{6$!)pGKN$XcuvLWiiYtO z9p*PMzGlL>h4Bp=L#htO0~e#K9>%wQ3{(P)?}nIGi7>v8uHY4H1-9QSdIeuW0kNXj z$Q1=pD|&-j(E+!jw|Tf$TUgP%;)>FiR`fpX@hZv+sHl+JYAb$4Uje{a@oVOa2CNmo zVXt6SXT=AQ!B)K$zwNJpcCg}i!xgO^t@wSUf&jDvDO809pn_NN3J!=0UMDL&pelHi zt{^R@g16WT-Qp^Ehlg>Yg7?IVQ;{n8K&~KdrGmEA3fuB+eU@2n-#uoRrsb| zK{`%_Z@Cq^<5l>M56cKDd@qFYsKO7BDhALhfNQu}0IGTwuQGtB>UFZ}0II4t=_=M@ zs(Op9vMsKvcX+s_Td3+ivFfy?sy>jbSX-%rwpwM|TGfXoaKNbgb+hULtLiuHD%NqT ze#@=Gx?c4=ewAwnRlgTjy>?Xf2WSniVKt-d08&BJR# zjp&LsP?u^%U#=ltrG|Ia8q(8hcu%jPT?1Y>YiQ4^2@Si3b)A|3T*zL%n$Y%Zpc~YL zZde1os3!E$I$p=>bRE=r7$X2tC%R;vsZ({M!PE`F)r~e^C)z^Y=!!5d)s4Pfr@Km> zhwHoPo>u33dY$PSb)jq4nVwY-8qiCr>(m1PS!>U$2W`L3b%T1)4eMMlst0|ffppOZ z+Qk}l7c`hI-oU#=1Kzj6cc})^q#H<&X%H>8LHD=@(cv3-PiPQ5vBCGG1~HHua#v~S zU9}R&IX$URIT6o7puDnL3K;9EL?br=wkqv9P4@I4z~Z3m`x0oL(=*!E%m z0EpcXaP0_)eYAzQK#Ok^aE&+FQd(3?=`k(5!?nyd57&eXEwd}Ol#bLg`*MqJD=nt2 zw&;%5;yXIbXS6`igmJ5-_3ReghRn3>wqSj2g>AnDIzcP!hApiVwZcBt7Q0-V>RHYLf%CZMU_y(>B|F+iC~!NbWdorQ^2kj@K4Dep~MZZLtSS>qKpFK)^NJRLAKt z9lpbL%#P48dt%4wNF8&acI=J@<3@+;nH|4lb-bR}2|Gb2>_we$fOhdN=#pKkD|DGI z-{rbuSL#xIxr_9bF5XwW$Uy7j1HFs(jV{qQyXe5`5(B%7^_?!+ce~iY>yiV%3;F@f z2U+AG>PmgIhxb5_>`^_V$MpCf*Asg}j~GZjt1tKHzS86SYL6ahJ$|6~n7+{y`eu(A zSUq82_t?JE6Z>wD9e6!);P<$G(3AQhWQ|cT>Z5(U5Bg-E>GOTAFZQKAHBkC`AC7cH!p10P-s;CaXk2T@-dpaXmW2IPPl@B?lj4y1uKPzL%y z9oPeH;1A&XYj`Grdw<{!psnEF%);P25WqPg4(E3Socob*-bcYX9|PxkES%$UaDKQQRqE2{Z)~Xa-N9St5bv$pl)Y5@?xCFexs7r$IK?R8ltB_p<4Fu9l30#R zVg)LRmAE9E=94TcB-xypWD8P~Eon(LttVB~NUAwAsTQoHTJn-^+E2P@kaTll(k(mlZNxUd(VsDZ`cZjFvSrTHefPMJuC~ z{fw6lGG0E+c*Q8=l?fEjktklEP`o6dd`?99f`sxV1J!dTsuwI&F9oQd3sJuip?-7P5R^%<@Gk%a@I;o;S04(aP%OAnWJDtY3_>ewoY>1u93B#GFu&aze?> z83ik6l)_w4h;l)R%o9Z_Pn5;HP?YjQ*~}Y7D{qv;d{B(?L76I$aJ(&+tbz&0&0&cu zl4YqVmaU>$j*4OV-_#$C5Kwa@)EoshMm`$|)i4#>Kdsl;Un$hT2x*SVE0sDJ_m=pk|Xs zoK2WX^@f9VH&o$X)R4=jI^FH(+d2aB4+F~ zo^jx@nWhH-BB8D*s4E)kiGg}zp^iAHBOdBUfck-Yi$T35WSCb$ zP}uJw2@PT5I*c2L5;qYCu@EP2BPi4x3iZasJcLR3hywMdK)pG!2yqf<4A%4*9Ro2o zjzet`G4JEsoyq$I3(5Vzs66DNMIr-T<55FysSV#1^w6l zOdKL#zxbmUE}lf@t3e0=Ap z?g#JB{)qoO|F`?zuiXEQNB`GUfA>Fn{8t|Sfu_9k!1$F1zsD;K+AkzU)jewX>z-yAUa8-Mv)PyWNJ|M=JM zUj2t~W%B>=JFBn0^0$BWm48wA(<{>d`25P>{r%s&y!Fbx%fI;#e&^DE_cyLyTK`MG zMaO@MrV|&{ABW#R`d0hKe>wW<*S`2O@#`0V^66iA-uUD*bmlr$ne{u0n{QtZ7)p&Ga z$N%}oKa2m##YWkT>PWd|8~(x|NRRl{l8v(m;RqG{?qjDUi|Or|LI~S z^FLf%$v77;Gymf#oy2THx~u;zrI+Y|HXxf{>H_xqksG2e?kAVi*)usxuCLt z>*AB_-?;b>viil}%l@^CSWdh6|5-W@c&hvN|KANOD`hlLBCBL(7g5NTP4?bfX=Mv6+QNRq4)B4rgRlCo0&>-zrwkNa^Rk9**p^Z8uw>-BuSUdNs6mR!PZ zOD1uCOB&I>C5c$u5=TfdlL<%WXd;_AjA&sFB7QUb5~3^~gbj-`k2&6CrBZ_7M|oyaX3JH=)hGm55^BLR7ME zB1YKP$?RK~$j7$MlS8)7kgshWC--k1Av1FHla)ET$i5se$i*B_$Xy)w$s4xSk!814 zk=?eHlJm9|kzZ`fBd=~dOFpnYiEOu>AZKikBtO|6NM6|PNfzRCB%5!uOmGR4Yq12xOcOYw0F~yB6qLGR_vaO9ojt+%fdStdxZCO ztUvG5*h{?kV!L^(VmI)W#7gs>i*@EpiOu07$F}eV$Nu7Tj}_*(iM8Z6j7{d(h;87P zkDcZhkKMJ0KUQxKM{LXa6_+ZPkC_j$~vy^S&5d#hqL2waMh z7RZiq7D$N67Kn^_Cg2_OQ@}1pXrFP6**=Y!_FT0!Vuw1-f6biPnQ^b4W%=w%^NwCH~SXsi8>(aHNwq8s*WM1R>Y z8@)qVC|XCDD>_n`KDu0ZDQZCYa}=Y(L@!v`cISq}0?svKmA^gg&2apB-}#EXLi5laW3 zM+i&Yi7=PA5)m(v8Br%eju?~hjo>(B6QO=cCnDgGd_?gf!H8FfI3m^#{S6nFoDH{@ z91KsAYzx0Dc_(~Q@^bigsk7l4QZeCyQl8HS_qdyScry#Lr9>4Zb*@WT*ylW{*WaF#*qDr3&E!phl2^l z*5E3|+rfj17lP@PXu+~dzQK-4=D}x_)PnCTi3WdG;t1ZZyc(pgJRany{3@tGxjyK* za&gd4<)om!DuF@zDpo;JD#wCKRm6jORkj8FRap%bR~-+uRP6|iQ>_cUty&m3tQr@{ zc*G}A?ucoi;}Mm>^do|S4M&&*Cyp!xY&|*@pnCLafalSQfZU^*0nJAv17?pp1n{V7 z1!$^C1O%vY1{A2R`9D`1^Z%jN?$4)Q?XRPL-ak~GGAJA-N*ZUR*yIM2%RYMF*-r>i8|r# zbM=ItPv;3KpQRI=J_1_H-g;Ui-eFo#yf0~$dbew(c>mP$_vX_+<*ltP?;WVU%ez2( z-K$0Wlh-%xRxci%3NLk?bT4n6K(8DfQ?Di+1+OWcU0&=b*FBX^e)M!c+2WaYvdr_& z$yCpglYXA`y2hT8x-yIS3`rYp8`nB$!`Z?}d`eE*k`j+mW^p)J140gLq8~kyzHu&I1HE4FLFu3g2 zV?c9TF>rMgFg)RQ(oonf$dJJ;-*DQs+3=<77sE`1tVWqdm{ta1fxT)H;vd` z-x$rgtQmE?2pU(r=o)9a1Q`dp{MOf|`NE;k8w z?lL*${L4hfnfDZjv*xKEPF|;aoH9>UJKa5%=`?&Qz-g1Ip_7>DAtxhKR;Mu2S;s=t z4#y{^Hyl5krZ}>ic{<9PX**h*2|E&IbdJ}|CLCUxJ$0BjyX?SaPH|8%w|8(fS9M4< z-|bLozGB~P{?7il`Ca?n7P%_v6!)2v3Oy}Z&_-mX&Gnd zVd-R-Zh6$M#*)|Wt>wz;Rm*p$`K|7p*0jnx?O_#gI^9bDbhVY(={HvNrJaA*v7v<_{neJ8LHu#(%~6B;F&ey74TcVgIBY1`YpV&8hC~2 z@Jc=46>GvP=eK+T?_$R84ZNe<@Xk`<9lF6gRfl)H+u|;~`*&~^R_rU`iX_67afBH03 zcPSI@RyEwU9=LlyoHXH1@}81`yLt-lZZO>CY`ELCaMyd`?k_mAnrwq zDanFqsfMZPhUuAec>|Ml6DBGFCd(csOaUg1-KY|#?j=m$v}-<0Vh~K^NtjFlLsytm z8cgeDnA&ET-VZRvf83;DqL~boV7e_~%EMsVb71OgVfwq_6nt}^gA>sPC!-inNEn8!W z0WD708d9)5^k9p)!#1J8Rw;t*(g0hg54O#BpHkR7F|dJ*VG{`-w}Gt`2HPnEw$u&S zRxPl#Mqzuc_^!bw>xPY13Y#q&Hk=V`Iw6fb*m~8l{a(TroPcfk*Utbpqrfp~*pj-i zEnQ)2l3;tDhb>wS+q50F>KJU-HU9$Gv;nYjHDUAes5!tEj)ZNT30t`Ww)0ci(nGMV z7Xl_=b2q>SPlrwJ2pe4vHap{yIN0)quvzER9|sj!4g3p=&PoL z3&Hyp89~MPLCxeq)pSAK96;s5K<&~%^-4hf?t%(-fEs=RRs0>YS)LhGQUKIa9#m5g z)YB1EGz`=<1yr>d)U^&&whh#F7*uyYbQBc029!7s6xkeW z0!{o4Ujwpu1%y!yq!9qbp$_E1amW`agbXy22~=_g=;RJi%5$KV0ic#?pqI4>Zy*^J zAR3l~l0Y}=KshErJ1#&yp+G+gKtbn#hOPq@-2*y$0hBZVv@{LWv=Vs@2r3jv>I4uK zmzXlpl`c@0Ezp)XP**h2S1M3g0npeDpt44wvv#1g0idmIv|0t!+6eUe94NLAXm%8+b{6P%C8i2U zHwK7T56E}d9&w;xd7xnppkhOyV;i7kccA59pk^}Aa|%%OIiTqhpz121>w7@iPl2{y z19cAqeNO;|&jF3E#zq2}YXhNk@7@Kpz8|Pv66jqSDE>ImydhA%70|s4P`)3~egsfI z73e<|TtF_kfnsn4W#A5Kz$M%Vx6lHvp%dIgKe&jG;3lTQRV;wJSR+M%(>MW+gOi&J z+y_6n5Mgj5lHf`dz@4apOVI(hVhpau3fzk$xEN1xGlAf0BEj7d;Bu0{?VJVIlLzjn z2wYGpxS=X=MRnkg?t@Eu0&eLAxTY>}PyOJcM!-#tgR7bWcQp?#YYE)eI(Y<~S0y;G zC~#uh;K;bxdBL6S1D7TOZcPGQn=H6DMR0LP!Odxct2+tq&JbLlDY!i=aD8^*{+z)D zdVm}B1y>jZ?l25oVl=o#GPuS#aF0pgBGbT4W`e8C1$UVbF7qO|%@S~(SHXRjfeWn! zH+lN#-NKfq-#fZJXK*Zm9J_iu3FOW?+r z!IiIoJ6{Etz6Ngn54iSqaPR-;;ty^3KUa_L9$h}VeRTck{$Kz5M-;-t3myvapo2&6 z-+x5O-@k-EJXHU#6HI^q5bxI4h|={{B4mAqP+wmr*w&Yb;Xl8L8-IQg5q}nm6Mq(n z?SFm{pVsDxTWjBl*tJKI|P`jOyU9U*2`-V+TggGAy= zKVi1gN9|6_M$`<&odco+V$MO(F-) z5@fa6NHW`OAbI$!C%N*gBRT4;6a?123veon&NqBmJ^y|wsspZQ@QuddAlGB$?lH`{b(%;YbNu8f-NQIxvNZy}| zA#=+mF?>!X^-obrrBe~4kSRZs#*_<*W6Fy3ang`_*H}qx@z}Xozp<29 z)iH7`^H^}~&?ooUicdDNk)I4>bv|jt@_dqyo%$#qTmO+iHsK>jtl3ApSiz4gF$<%! zF;7QFW3op3Vw^^w$4HGf#{BzG71Q=^$K2{A`LM8>dw@QxWCv5To1F^-8H z(TLF-k&D?qA`&w*yffzhFmp`m@OrfM@LaUm@TcgN_kGdr?^~lUyuTOi`Mx|_@qIxw zu&X7s;jv+_@24$lg28E)N2f3oH2I-?k2bZFj2R=u=7#N7k zA83#A8fc7C8n_X~G*A#V_%1c-#=GdK=y%>xy6+y|f?y-r; z>d}dC?vam>>k*8g>*0v#@BSNJ);${@)jb%l+uatvyZcV~x30_KkGjr=XLiMeJ9T-6 z%XXQEZ|>3v?|*$LyzKSv@Tk{};d-wZ!+2kR3j5yK8P?p{7?$06JV;aqln)hqDG>UnojLS%`|ps;?VmzI+B-tD+V6(!XfFx*`XVjl(TlK<%oh$J zE-!RL(;Lhi?;F9OQ!6DDhgSDQk z1@C+=8vL!5Be=PBH7KWbJjlKERgiLPeGqGFanQ$>q@X)3fk8c8c&uK(yGY5%%MJpRd#{`%QHn(&i(^wN*vQMKQ2Q=VT~la8NM(;+{)CQiShhbz9fACCGaJZ$l`d3eKD@?nNA-NP{7p$9g;)eqEs6CMcp z+CE_Nm3r{qhyFpI&+z>QpPKtcK1ug!K6dxrePr+J`7qs=@)>R9^r>%L_D*XY@pfu_ z;;qnF>do4i;yvEr@7>sN$~&_`-rK!lm$z!ey4UuGPhQjaTD_X@Re0syOZW1-7wDyV z&(v$zJq52HcXxTU-Cg%AzWdQL>~4#v{@pT9!MmxRtMz`KJ@v+(<@GY2L_L?MMg6je zME#HlU44_s@SPHmx;r$Fv^%aI&Udsull#yS;A&cZ$n{_~yDMGwoXg1VZkM~at6ef~XSsOZ4stnm z+r(wpZ5fxJx3;;wy0ze3daKu&xK-v;rO#M#j&%})3K~l+mTu+ z?0CA8&QZQ{!eMLWQ-`lNE<3c|pg5G=uy=^Qq3U3MW4D9UjTQSXH{RJ#R@}93uE@17 ztO&LbuQ0YZsW@bRu!6;&p<>2vto(&tQ+cV~h4MJN&~hg`qw=G62g-Tv=*w45kCnYU z-Bfnx^o6pl)1hSnr;W?>PaiB3JIz=|e|qBjxNYetk*`L6L=uU=iYdUy4W)t#%=R#{ge0l4a6rGHh^O6)4X6~on4%ZV#*EuUVgvAlF8 z-I8?0!_xMOrlsN)eoO8vD;A5F-&piszHL!`Io0CqWj71o%jy=omv>u;UH)y(aJk!j zvZT_yr6ke(N{OR6r9{Qtp@hr)Xvw@8Z^=uuwM*B`hAt6ijhC#=@-NAnMO z^vmRIQJ0B-QMrj>5!K{Sk*x_^k(|l*i>xNEFHRZXzWCTU<6^#Xz{MbAVB2Kx%A2Ad144JI#08?;?uGN`!lNk8pEqrTsTEPcZZp88T3)b+Pt z;L-n;Kd1LDzfG?(zgX{LewZFP-$2hXUr0|ge@$07zfYGj|Ay|@^Hkl}=dE15{R=!E8Z>saQg>!{@M z=kbCt6IXCKrORmugom`<461l6#x8-&pU&<*xKAaPC{7H`S@#{Il$J27w zG=p+_G%a$jYpUkNXbR+*XfosoYtCk`Y4m1yYcyn+Y7}QjYs6<8X?SM~X&7g(9Fxy} zeT+Bz>amU4k;kU9432eW2^_nd^;`X7R)>0A)+KeXtWb61EFE=)Ecl>V)(qp*GLq47q%|Y` z$juDLBj+;Yj*v1KkGN$Fs~Tk7Ry~{%r^=UMsY;(AuKNA#UzPr|y(*8-ma3GWjZ(=x ztFIDucCU)_*`LaKXP+y}oh?x2JL{)Re^y<2?#y=Ofis_#o}9U_RC(r%QtlZ?CGr_r zC66=oN+xFp6;;kuDGHq-6xq(4Qd~~ouQ-;zr0^>JrNZ6xB8AK8feLBqkN~7}DLAHo zk=ISXFMl}wjQpN-2l*}OGV;IDHp`Euy*vCet>SQfTFl{+G{eJbX?qVxq%Fufr?to# zq@9;jO7oHvN>h>Bn#L-(mO3i?IkiT%FEvi~QL4G@jZ_iYywnvLT55-ke`=A8O{%|) zcB;CJZ0a_dJ*gAYEUER<%PC3HlPT8Hy(!|-k5c|fRi<=G<)>VdN=ONm3Qp0Ga!A=O zrJph>sg!b8QY0lwk~76xa$}0Pe2Pdp*^JdsQ6 zZsMe9Y2sbcyu?J%0x@I(<&_rxU;i^Mh&?ZopU3W=T~qKS$kI};g2m=XtsR};#G zza~Tq4=3mdcP8u*ew6TK|Luf^{Ur&>`?C|Q_QxfN?hj2^7III3|BqZkzR<}84O2h~36^K8&mopwd_7y*}XDzO3&s<#0 zp0PN+J^gXJ_PmUn=6@8|z+W4e%zr)3lD{BMnE!0tFFsma3tvQB4xdk)GoNFeG@n`A z2ELPV-MmNRF7e95`SXgz9pUATW8vk98`@1DSFwAI7Pq$A(oA;M(%^Hmw7H!{v`0I0XlHh&&}?@SH1VC`w3Qvcw6-12w7ebGG`Af_G}#?m zw2eDdX+1o$v`akVG=HAGG*uoR8Z!?YZHSwWR?fXnjo@CSp5XpU<>Vftj&Ti9Yq)x- z1XnxNfa?jBm#cv~!&yyjx?a9<9+o{xy?NL;_?ZMOo+r6o) z+gzwGw%JniwwY1gwi!@mw`ox~Y*V9laVS!YIi#t+9O6`E4nZm-2OqV6D>wDp)~(c# ztxVKoTQ^Z50icYq|E5&3&r_nb** zNH9lH*0zLE+P4H!&TsLhxNY&I$Zm0^Y}n#R>0+{@6fs#-e3;BBicF^{^h}17H;j6e zD~#HdK*r;gqm0KWEbuFngA6K^G6qFT7{g(TCW8!xgF%w=fnI`AMK4Z?rWc{;(C?>k z(+g6@>Go1;==doF9WTXz4n8JKw}bL!GdJb#W==}{=IxYIn>i?ZHnUT{ZDON5*u+9f z*~Cn-*tCTrw26tbu#u6{ype%&W+Oetb|W1{Y~yCi(uPfxmJJ&z+3;}Ouz_+29&7(? zptS$@ALTqe+~6StkN@ED`rm()LU?%n+dxr(2OT_m|8As|z{CIVCW`9c%@n4;bd-1N z^pw(d21>{}BSn3kiNdzNg);nynR4R~{4s=o*eECduv51G;h=n4+fKQ)#z~1?8g*dnrb%f)u{h{gjy%5lX{~I3;mKf?~EJN!hm|Lz!DXOlewH zq@*pYP^_1aQbd-IQ5KhuQ=TqqQ!QFIobQn(jhP$qtKQfhy|JpCA?82rl0pHL@e+NpIjJyhz<5Y=dAjLJXrl{!1UNPRH9PEDDnqghR} z(S)aYXurPfrL}w!r)7VUr8#|3rAdC#qW%4BMC<%)O)LEDO!NNiOH=wBPGk5?(E6uR zXr)s*w2-MHn#NQ)jbo~o_Hptd?e^qz8fmhJra$?fwtI4lHZ$>q);O_7OP-*Qvz*|F z6Q1CWTO1dOdp0f;mpy(o&T0H)oYc5k+`lo$xUMmuxZ<&hIKMGkoa)%wIOef}xS>zi z<0?MY#zlU56sPm)WgO3^{s; zz&(*^AUtvKU1H*mcX^4??@AMO-`!2z{qA|<*Z%&*2mMot>HWVGPxmt0src>5q=2_JNosF>lD58$N&56AJ*nnRQ4;OV?IhDT%}GLUdXg6V#*$k57L)S& z=#oA9c#;+SM3b5Nl#_>g^^+@m?UQ4B1CtGUY03P(xyf@q*OQxj8j`bmUM4&Dj3mqT z%q7$HY)t9z=1eK;7D&s*S3*i=SAL39S7nN9*Q1opUA-y& zuP0N=UN5Iay=F<(d%Y)>_qA;5_fGB9=1!Z`>`wnwmrh#h;m*8NhRz$QgRdT?R=(;> zCB6EbYV>L?Rp8auw4WV9X{{YfY3Dl((!4sH(^NYm(%3rE(muW{NvnNXpO*0QWt!#7 z(KNA_ztaA+Z%KdMz9;>1`{DGEcHMNXcE|J`?P2MV0Hi;9aXCHn#oct57q8OgUyP+Q zy;x3v-^O<4R-4cnYMaU#vo@16B5fXLR-cp4bUx2LQ}Vp>Ovv*mXSALVoZ0z&?##DV z`m@cgd}ni7<<7dd>YY_?bw1158g=$#OXk@-E#+sES{|RZZRtOIsO9_FjV<&U{m=L^ zDxMwAAU!k4FnQ*dA@q!tvHbL0#;d0{GfJMeW`sT+$F%E zSZ4Y@3CvV~lAOu;hY*@;aJ*|tr+ z*-}lj*>p_|IYSQxa&A9V%}ID@kz?~PC`a;PS`OXA>p4RYp5##eWT#Hv_`daPK~zb6dEJW zu{LI(8*jLEuCd|exy**CbM6hB@>Co4%-=bbPU!q)s437P^^Lyk1pX{I06ExTfl7aatAUCAX@>m(;2(F72#}xb(9!|59gV0fOs3%Cjiz}3*QJ6Da%-d#OdwtAJZjPKgSb@gk_*Ilk%yq6cQ4($-R&(#xe}`0FzKT`T;XFQwZ{S>P+A;497GJ0jsbFTzh~hMzQXo$neW z{N#i1494(Gq410s;F+7?6^xaM!K-1odH`OT5xl}sc%>KM6*s{vA1haccfoz-AiN_J zcxU184h!L(Hp4rftk8vbF9uhE;j%egk!ZLwC2)lxCxNTw09WlOTs_{B({LrJa7D}D z%67sP{#h9TSK9)v`eC^GoR`wzPSn61c?Wl9y($atQXt$dW4LRQaQE1Yo8V4P+NHg(S%bZ45x`PpMaB9 z4kxS!PTFdH4xGAhIDOV|3RU4W?uApi>HLqoQ*cV#;k4d_Q=18=Hxy2>C7fmzIMsXL zbZ^RYhm)QOC%zF*{&+(+Y=H>a2DY#j)L=UZ!j@n>H`+K0TcaDcM?Gwj0@x;G*eWit zU36f}NWiw)mTL=}CjmB4HEg1x2iIXMrNMRzf-PkM+e#I-mH=!oh8#NBWKyuvY+<t{ z!iKAXO*h=+4O`C`wx2v~L0;H~8?)<RGmbv$f-QL$w&g|GnsKl_y<m$P!!}ibt;!GE zl`hL2Hf<Jc+$Pw()6JJ*3n#-i4uq|23ETN7Y-wTG)@+%)u(@?$gNMQ<FM*Bz3O4)l zQxa@>H`w+Du=Nka_U8i?pwHL{if{;&!4?!E36$avD8|Q@C{Pb)P!T;)6FE>7K2R6> zv)@|hKy3y<b)JCwRDufSf*O%Ql{`S5OhBbnK&^y8wb;(QZ(9Zx8v`|a1*&!z)a^2; zTpFld7^t2jsGlyV;9*e1J)nwP(*Lyo0+k#EwR{PxSr6)20xFsYY8nBm>I~`%2>>W< zD=6;Ij;(0|puk3;#3WGUN>Jv(S2sYV^FXa>pxXYR-Zr4(+MwpLpz3=--C0tjK<Uds z@%vx*g8DxK3aA7c$OkG&06GW;N^k&L&<AQz0(uYuir@sA*qA~GWFZHH;S8ja1;o(| z<T2Ni3lu^F8VLj{u?ITQ2TD-}S`h_m;Q@M~OU?t5X$7KL?CSx#X$H!<4YX4P)RPYM z69W|F12kj<RCE&PND(MW6ljSDsEHxzG!RrekkkVps;~Xefv)ZXWt9SL<pFgi0)2%8 zg}DQbSpb!31Dz=VrHKNq?F4FLO0)ukO9ql_0HXUc2nhfXo(_;+BoJRYkl(;i98h2= z(4ad|p%u{KNuWd(phXFw#yvof96*tq67B<0&J2GBx*P<`d<C@m2&l6f=<_O2XdcjL zGEiv@(5XLAsuR$v8BnV>(5o^~tOU@k08lL_&@Dr}8IW!Q5N|z@@6^YBpx~E4!;gT9 zYk`ih10@##EuRHyrU5-i07d%%O*;Zrn*m*)1j;@Nv@HYFEdun-3lz=)G)^Cv3}oI2 zgg!Gd1+@MisJ#d1{W(zlL!kLup!#y4`y!zH9H9Lapnd}AKO9_uFSr3`a0S-j4vfGh zXn|W$1=k=8?m-+}#9nX{Jm4zWz+KSMQow0E0LL*q{T1BD7`Tuja3ek7O4`AlJOP)| z0B)rkTuV8)mlAL>`QT<U!PO*#yP<;1i2}D146er;+>Z;mAX{)lX5fkpz#VCUOHu>3 zqzJA_8r+jOxF|tzQ+(j6xWQd*1((GHZfg@&5S*7eIItveVvXR)zRpj8JNp1GZ4lgA zAGo$oaBnZb#XSW#*95Na9=N+&aCtYu?UjS;y9(~F7+l~5aD%zv3NyeRrh!XL1h+^7 z*GK~Q7zHje4BTWOxJqAem!9A<UBPWSg6p&c_h}6-)EwOCDR8BR;7;|xrD}s)Jr1t* z7`WG?;9^z4%_@ScJq+$v23)QrxLpZwz2e}0MZg8`2RAGTu6QrFV}5YSyx^91fot9Y z?wK20G$**}?cl08z+JP0%Vq<&%>u5Q8Qk|4aN$hg#u>qtGk`m%2bWF<ZhbSj_D$g4 z|Ifwu{{25!kM7><|GRy3{r{lrhXmk1f#3g#Uz#%iB@X-}#*<wCJ6QIQc+!#gAGh;A zBALDYKTW28gp}#pf3+9?5;b`e8{ByR5}Sq`HrOPu6MOlxH{^W$L;Q7U*}%U44{`p= z?+vfb*NCwR(TzR9tHe8D+l@P7SBOB*GaIi2Efd!&9&ZdZT_SvEer%)({3afY3vC*F zzewbHTWkuY{Ul~8k~f)hEfBR|?r)0BohPP*zis;T?>j+p-LpB%@*5Fx*<^D<;aB3> zNZjV;j%mVf=iSZ6zI-N*ntj>K_+yedeRdZe_tZF1)22`7)Aort{2xIFibjko)X>cu zjt~|8<8(WJ4H0SAxaiL&4G^(|+VuMHS&VSjXnND2J|aN9ivH@0Zo)bE1N~8!*Tjjd zTNwmZ;j<fk8VqJuFNjAQ!Wg<7TZt2rWej=dPl;mNL53Uh@EL_fX2va>9};ENM;IHL z8VK#d0LD6(dZOUJD~x}B)eski-!PsFy+tVN(KE%4-XJ3V6`AhHmk}p3y_r;_ufq3T zWa7GUiAd>s&7}UkkoYqD-<GD==ZPjp*)8eMa)`hC+_qF-%OFZs&TkP3P9tudYTxqn zKoUXcxweI~mqy%<kzh`DB@>s<I569cM-g2`S<Do}Fye64Gv>dgfka2cFXlJXK19kh z5f-{#9)#yBYnBXoXTrWOjU`OYj&K`jV!0=0MTEbfV=?D8C6a~(SWPAji0t>KtT&2v zh>U>*R+kf+M0npl*4Tj~g!-##*58(j#KhCxY(39p2-|xGY;3#|L~#YdcH2>exOSn2 z?M{{e;hr?k#(#S^F&xar{=I>V_-CulzW+8m(WVi_-jc;c91y8w?{M5i2s4eatMaas zZ+>Chx})Vc`9Z7tR!6IOvQA0xR?fj`vR>r1t@2vq<R*jut<NtFlk@g6ay*}WOYWLi z;!xPpMRt7T!?8=@1^HM?5l5iL6SB8S7l)GaeezrG4cj95>&Q`qGTRQ$Rgw)axNftm zx<)pz$lLbEyoemI^~JVbv**Y++E%vJ`ky7Q#2ncEd?b-<Dq*+XQi&kfyg$3$of<*j zlGMC?u|9xoCAqMD(>o7x_8URYoCybVO}IH{*#}GVEv_Waqpe0{qNah<HeZ{(M|Xzv zpQRc(adbDA_+|z2jUWTA(Tj)3iT@E?d-e&Fx20Ee&A_imoZuYe(pcam@3^p?TkHr6 zId0bp?vl_=WFkMDyF7oDM7O=1TchF!i6wQAJMj7!(wX%wJaU<%B#NIZPlkIx>BFEO z4^<3)%R}Q559{DF(!;WD9=Wjlq=QTwcPy`0lcwEecUXs%k!G4*ci6lwB8l?k?f4^@ zODgng+oA4|N>XiD-XWSqA#L6yzO%kCoHTRNcIWg(U()CF^qtRBok>4CnsyqvS&<kR z=5`VX4N1F??%ieoQIjMZWU_0PqC^rdq3t@rCP`xLsN1zWS%}pCV`A6i?>k9RoZP#2 zXt0y!WVCmS(l(Q%3?g^W-&%=1>{78i<i+>c-=RahYhRDYdefMBZ#@}^O;1zh^}5^{ zYntiDJL&f{wjr~aS4iYuY+ZU6uV`mw?2-8Y_<lH)#2Q3M^Tmzk#*Vl-^L@}uiJdgc z;iD@h#o8Zk;aiyridEzO#rF_?+l02TpWpb1O)URQ3;ug24P#l0lK2-iG-9=U8u&Mh z$;UpEo96$%CKemBvU5+}1HM?#M%_K8o*c2%(3m~F8|Y#mi&yQDN?wlHJv_W8aP4c% znPk?zxwfM*tnk0~D=zPiIoIpIH*>5thBxriULU5$n2U_vd!_lRVpuNzCosi#DaK7) zS|EivJEo-0Nnp=Zd`!JUwm|vKh?t9ap9+XLd&d|_{S+wPWEazVO>iGuwo%OTcC&ra ztQs*NN%8w8!{lN@$L{Pi>JW~(eQa{yL)M)!$%R~k2ahnvEYF<~yk@-~y>v8OP|#&A znn=Dbc+2`zbkeJS!4pUNq8XVPgl1V=qgOQ*gsyemjkb#L5DEw{kLD>oFJ#PC5G~u; zCZv;-7JX%INywIt6m8EUx<A#`KiWaiYX3mBLv)c`@_y|Z<LGVb4f_YSYDC{X@nwIe zkZd&d#17$r{X)^cYC7;|Y;Z*f%0>#`{7x60C{QlUaqo9jDbs*(neXSQwyz8#0qg@& z<82Be{+C`vEf#r*T;pww`r&t8ge$2cs!yR!q~*hfsO+`hA~k}kQKF5)q94tpqs|0c zh?<3YM>Ps0in7scqDo)Yi*Ae6jnWI66m@b@j=IdwCH7ZAJnBl>ak1Hzol#m!p<)sh zOi>9fSH+&4Sc$Yc*(X-p^(C^QZKL>_7JSx5O-B4mWn1Ki8Yl7c^}CV7yR*bOj$Drv zrZkI>dYy|L7?~62jE|4}D!1oAU0O(_Rf6Gx#}wyCo-Wb>6&I68fo(Srh{>u)1{e+> zNSi+txf;iO(5;X!vaweAV1q16<n<x1gDH)x5%<^f4-Ud-UB7d^IC$gCy9gz*rGp!W zTO;xg3rmdh+==+3Y%Za5>`H{IQoO`btIUWtsXB>gr^ylg0%H>I4Sge=w{RRvfzQ&W z&8i)Gy`~+J-R6Jj_T9q~frUkfBpd`I_&r}8(*LzJ;+(|VA-1T$;jg}mNk+_k4S#gS zN;2i-V7T+CBuVYO=i#-h^^$kG>%z+lCnS59OTv{7Z<o5xb~fCp?U<DGwwQ39Q-M<9 zn>@oU-WE$mjhTgWnRH4WxqU3$pmj~E#p_V`vb4A~$F|+!Z0D_{Mavn&lNJ-DnGY_8 z+3VIzHx+#hOUWOX)>wZPwt198=Av$6*mFL0nXZJ=ur@P)nUPyrVH@Kw%DikPg#}c; zl)3QID~zFISw_FfJnY$+u<YleV_}60X0pD%5@E@!G}(`$JHz5vt7T90Z4OIX_#j*C zGas5Z#v(WO<9%q-OJzA__qNdR8=i9UFKR=LX?b#;JBmYDPqoPLTBd}S?_7`ziVF?h zF}UY&Pmx2YWv0Plwd=Z}c4{$)n=T#>-9J@+*qFLE^m=^%;Wbm{(7|1s<(oNwhcuK+ z%U^x=F+}B%gM7JTN66{QborjI^&y;l@5}FVD+#ep|14kpDm6rWo>SrEzOaxOJq-n6 zcZU$I;s6E3{F5OGUoI-dHOPiYiN93X(#98Z%w<WT`x!$>b&inY;H@9Q5f4lhMU&qL zpB*AAJ~3(yo|~^!ETg*}obz`;aik(YIAjyOl7}iSIO(5^l1`IPaQlLTQo=#=V40D0 zrM;;~gC9O>RO0Oy3AR5!r9|G!5xmV~yYfk;)u3Jpb!Ce2cu?L~Uu9v7S3#B~7nG0b z)(5fcKUeNOSR8ck`-1X^^~4~Z<UJ~$O#wmocj&8x`d9@qltik~F&+yN<1ba&lOq<i zBd15Dm1SE{=ihaeKcTCE8cyP>&pXBf1Mgd?igI)W8gGeH-G8DkaLD+!YO_aSAk*3R zs{bP60{fpZ92t-F2~?VuJrd*rf4n@a<B^-kRRYU}(vK8u6%4#C({Mz!V@u#3g^45Q zLl**AWwsu@#WED&C8&BdA?HcJL1xdR0!$SF#?v`R<NY!NI-4FJt!s`5xS0I)XzfOa zfQFMiYH_k!0XsKnsvR_y2xz$FuU75E8IXCZKy91Dnt%RmtD2?WnE%VzA8K*J?fwFr z_|z}V-}cWXbkvI~^8EQ0Lez6iNd9+iE~yh=T>PyXU#h$N>-w*;!fz6cOZgXC2^^Es z=JA)jpnr_FVBN2#E8-Z(@VMWuKUa=%vcB|l<9~fjOrqK^MSkU&nQERN^|+8mzH+SJ z2^}MiRS{>u?qks!<jp#MyQNDtM7kyX__@0^)>60oy_sLt_#?OCd-%zIP080Ed{vW- zHS;Z6d_QT#XsW!f@a11D)#N>#;rp$iTT?A9)K^PkO|zog+E=iB|8YAyHD9u^@o`5n z`2C5_=;L=)nS689N{>6MefLo>>pJc&-REPxdG&bDwg#Uj3!xK*;}?A>#fB$3uTp(- z-bbGBF?IKuXT5qNYC+d0<8bGRHHxH<&#9#ozy5CbA-M`@`T8z<Kl0Jja%~^>7WE9% z8smE6eaHHe*0f2f_i6QZEfOikdl&Cdt!w#y-k)cAwVke-ct5Pw(rzp|?49Ems9l}3 z)7yiuKwH;o-AnT6GwpQoPhL~D-?XF0Tf7oyxOH}>mwPe#tLyj*rF*$edFez}1$dn| z&C$^iGWEJp|4`?3n!J}Y%aqRE@tt1ZE!j^V5nuO|&sRJt=Je51w$th4bkZ}=(ch^j zsm0elWx4L0{CzD2{`d1EC-n+_J)0!ybrY#Zo>K=Tbt^4pJd1g)bRY3?d4AYH)otxt z^0@N8LibtJkjGd>uWl22lSjVqn(n=GmpmQ`3hCWrhTk;n(${Maa`jLT2-6#A)AGpN zRIIm=P0T|+{kh)p<IElsOmljdy}!BpNAu|KrFOY5j;iTDOR90dr{t-hMb2@rNy^ku zcMEeLX>ZVfplazZ_unV|eVdistHqfNS|99k*E=a~P~q~&?XRu1LEqYlTZ=Q*Ks)}i zTb^Bo0rPr^o4<aK!9I7Io0jB?LH1)8Hy(NcLkFf4ZX?|~h8dc|ZW$SYhWq^)+{85U z4TV#_xR!o-Y?z<h?z%?%Y)E9@a24iYH~JWx=DIgW-srKluj@FYz0p1q16Qx$1S8f- z3D?T5Dx<_4HrH!=-xy`e%()o2tr<x_?Q*$Xw9nX2quS*{`$=Qnx=a_z=|JOGoIx)3 zf6g1PxSP1_+4R`>O@*|J-}<Dn>j;O7`d1c{stpUyxvykR>bQHIoiE#%#PHTSmwHi6 zShi<7o9{0-@mdXX_J8x*B)`|hc_#dqNl~G+^HUbyQ)F`v=lOFQr_}!Y;pD%~>(pdn zx050*<5ak4wUgFl{i&tW45w_B;Zwex0Zuy-HkuBF7&>)75jE9rk#MU2VQ6}fj@9WY zXPBv!;;iGmc%kV%+YU!1xhJN^fj1n_OMN!o5T4>lx0ltd%h%J9v{BaVG5qe=$~!Bw zehFd6kP@=l&gIRHQ?}R4&eV-N=xupvrs(+8;bP^S*`B{84sX=B%(c!C4&R?Eo7b`0 zJB+D2n)?u{4mWQmn1_z-a?oO}H1CmLvA^NaZJrwY&c65BFY_yP_4bX!yDat$=h#~@ zs9Vtg4zj-?<Yr;F!Pve+F4aQpuY|p^!fgxxS!VkS2j5u8zM8fpbFNs#7PZ-}e&@3^ zaxAr@f2d(u!x?8+6ysr8cHhzNzC^mE!l|Qn7QNM$Ztr*7`FXvyRJ2$*y}YnuS^u>E z^bdc2tB-r{oHiTLuzDPrb$a(v4=bx$|I_MOX;#&fdZ(NEZ(F_EB6d2R?TuBf0R8C- z#bqlG@o`%=b6)Ftp=R597j<hhjw0K9S6A!2xhUIO%Vg`O#}>Bhs#Vsnsj{|VoZZ%4 zhqu~p`|#7c_5G|(&jlWv`jB>;N^KRJ()CiCg3tCg1yQvBd%)l0zr+8AUxQzZ--F+a zKLdXj{!IMY_#F6L_?-CM_!{uF;A_IyhOZG{E52rY?f4$>z2JMo_lEBg-z&aneD8P- z@LJ$C!E1xp2(J}hGrV?q4e?syHN|U-*BGxgUUR(mcn|Pi;61^6gZBvU72Y$vcX$u+ zUgAB)dyDrN?={|Yy!SW*I14xvI2$-4I4d|aI6F8)I7>KFI9oVlIBPg_ID0sQIEy%w zIGZ@5IIB3bIJ-E*ILkQGINLbmIO{m`IQw`8@GRh&z_Wp81kVbd89Y09hVU%mnZmP$ zXAI98o;f^wcn0w-;+e#=iDwkgDxO(9yLg82EaRERvyEpQ&pMuYJo~r<a2McCz}<j5 z0(S-O4BQ>KLvWYiPQl%RI|g?R?i}1bxPx#P;ZDNcggXj%749tDUAV(=m*Gys-G)03 zcOC9L+<mwMaTnrF#NCKH5_cu;Ox&HgLvfelPQ~4dI~I2>?p)lxxPx&Q<4(rij5`{4 zHSTQO-MGVXm*Y;y-HtmRcRlWW-2JEls0FAAs12wQs1>Lgs2!*ws3oW=s4b{5|I-@K z9Mm4vAk-q%B-AF<DAX#{EYvR4Fw`>CG}JcKIMh1SJk&naK-5CiMASyqNYqNyOw>-) zP}EY?RMb|~Skzk7T-09FVANvNWYlKVXw+)dY}9VlaMW_tbkug#c+`5-eAIqq0AvAV z0%QYZ1Y`wd24n|h2xJLl3S<jp3}g*t4rC8x5M&W#5@Zu(6l4`-7GxJ>7-Sh_8e|(} z9Aq729%LV6AY>tAB4i_EBxEIICS)gMC}b&QDr75UEMzTYE@UrcFk~@gGGsGkG-Neo zHe@$sIAl3wI%GR!JY+p&K4d>+Kx9E=LS#c^L}W!|Mr221NMuQ5N@Po9Ok_=DPGnDH zP-IbLQe;zPRAg0TR%BOXSY%mbT4Y;fTx4BjUSwZnU}RxrVq{}vWMpMzW@Kk%Xk=+* zYGi9<Y-DX@Ze(v{aAa|0a%6L4bYyj8c4T*Ccw~8GdSrWKd}MuOeq?|20O$qK6QDOh zkAPkQJp+0N^bqJJ&{LqdK#zf513d?N5A-1DMbML=H$jhrUIjf1dKdIC=w;B;ptnJf zgI)(c4|*T;K<I_g6QMUkkAz+cJrjB-^ib%f&{LteLXU-B3q2QlFZ5vO#n6+XH$#tx zUJX4PdN=fN=;hGUp|?Yihh7goA9_FZfanF$6QVankBD9oJtKNY^pNN!(Nm(gM30GH z6Fnz-PxPSZMbVR@H${(%UKKqndRO$Y=w;E<qPInli(VH!FM40}!03h16QegqkBnX! zJu`Y|^w8*~(Nm+hMvskN8$CCAZ}i~k#nF?aH%E_-UL8F<dUy2j=;hJVqqj$ok6s@= zKYD-605A){OaQY1%m^?mz{~)%1I!RGOTbJ4vjxl;Fl)ff0ka3pATW!-OaikB%qTFc zz{~=(3(PPu%fL(nvklBRFzdj~1G5jzKrjozOa!wL%t$aR!OR4+6U<OBOTkP9vlYx( zFl)ig1+y2-U@(ipOa`+V%xEyH!ORA;8_aMp%fU<svmMNMFzdn02eTi{fG`WfObD|f z%!n{6!psP>Bg~L6OTtVEvn9-!Fl)lh39~26pfHQVObW9p%&0J{!psV@E6lJk%fd_x zvn|ZHFzdq13$riGz%UELOboLz%*Ze+!^{k`GtAI1OT$bJvo*}vFl)oi4YN1Q;4q8B zOb)X-%;+$y!^{q|JIwGf%fn0$vpvlCFzdt253@ha05J>1Oc1j{%m^_n#LN)0L(C8{ zOT<hOvqj7pF>A!k5wl0kATf)?OcJw6%qTId#LN=2OUy7a%fw6*vrWu6G3&(46SGgu zKrsu&Ocb+G%t$dS#mp45Q_N5?OT|nTvsKJkF>A%l6|+~&U@?ouOct|Q%xE#I#mpA7 zTg-4V%f(C=vt7)1G3&+57qef?fH4ckOc=9a%!n~7#>^PAW6Y2-OU6tYvt`VfF>A)m z8M9~1pfQWaOd7Lk%&0M|#>^VCYs|1Q%f?I_vu(_{G3&<68?$fBz%dKQOdPXu%*Zh- z$IKkFbIi~&OUFzdvvthaF>A-n9kX}L;4zEGOdhj&%;+(z$IKqHd(7}L%g0O~vwh6? zG3&?7AG3e#0I&<dP5`?B><F+cz|H`>1MCp6OTbP6y9MkRuxr510lNq6Ah3(TP6E3L z>?l~EtH90zy9?|vu*<+s1G^0|=s2+Jz|I4^59~m&3&BnVyAkY2uq(mN1iKULP_RqE zP6fLa>{zgC!OjJ{7wllLi@{C?yBX|gu&cq&2D=;VaInk4P6xXk?0B&2!OjP}AMAjz z3&KtayCLj|uq(pO2)iTfkg!X_P6@ju?3l1?!p;f1C+wj9*F`}mh20c(RM=HvXNBDr zc39YDVW)-N7Is|Nbz$d)-4}LX*o9#yhTRx;WZ0EqXNKJwc4*k8VW)=O8g^{hwPELm z-5Yjr*u`Ndhus`@blBBlXNTP#c6iw3VW)@P9(H`#^<n3S-5+*<*ac!Ih}|G|gxD2g zXNcV)c8J&|VyB4RB6f_}HDc$8-6M98*hOL|iQOc2l-N~bXNlb<c9_^@VyB7SCU%_I zbz<j<-6wXS*o9&zirpx7q}Y{WXNuh^cBt5;VyBATDt4^cwPNRr-79vm*u`Qei`^`C zwAj^RXN%n}cDUH(VyBDUE_S@w^<w9X-7j{)*ac%JjNLGH#Ml*MXN=u3cF5QzW2cPW zGIq?^HDl+D-7|L3*hOO}jombM)Yw&HXN}!8cG%cuW2cSXHg??Dbz|p^-8XjN*o9*! zj@>wR<k*#CXO7)DcIeopW2cVYI(F>XwPWXw-8**h*u`TfkKH_W^w`y7XOG=IcKF!k zW2cYZK6d=r^<(Fc-9LT?fcatPKm2FD$ijd4-__*2{^8e0&ddM9@9(bK{11Pgkyr0u z{Q2wqOa9{XeH`=qi_gEYMCC8O9xpqlzxew2cfVW5*DE%7Z5>~K=K0`td_Six)YtL- z<#MsD<NH1K_Wd7x|K8^+{^0fCwutzH*T<0O#2>s~y9T%Y!RtpU{J4hKGsXVa8eZQc z`(oGddS9J7xrW#OX(i7Z-j8cRldE`tj1=ou@qTsuqpafn6L?{`iucnpi+2_8Z<y`O z3f}K{;f58w|EUWJD>x5Xk4;x_K8nu>tl+#nI6b$F^D}p_X&L89<8SIR&ewx(t7V)w z=WD{tIDbc@7ME}yt&N^8;e0j<XD;Eqy8p9V!uj<WJg|iG{QS<!Z=CPsthV1c@Am@E z{l@vXHgfrm=OIE$`Zu1B9ozr?!t<iJ^y(L$pN%60zwkWSws`)+^JQ^U{uiFNwey>Q z;rTO(?_I?6=oDPCi04z<*?$qwYlo@o;{QGapuJ@g&vS(8z)w8i`Lfr4;(5PvF!U#$ z|3VRspSTZFg|`00{SYPiVFCArzfjcz?vEgm=mp#-sRwlyaKALmaxdV%;XN|(1NTp< zPVEoeN6r?MAGn`RyBq$%eN_<2`vdov(3zQe+-DoEHO%9FQ))__$9?y9z-%7(-_Y-U z^SBR9d49~{emtT4cn<evgTt9Q+@JMHwsW{oHEYG@aKCzwE`7((07$bx|Bm~&<XG-^ z+{cf@oxkIL&buY`9ryKt>A&A_e<ulde#3oU>t6T`_j_H1*EihvS#t{CaQ|B<(tkre zV2FP+i~4Z0_sT5lg^^IuEb7PaNVQqilk#rXS=1N*1H)fYZ;VoId`0~^H52(2^@wVy z{T21;Q$5#L)T`*d6Emn^7H4Z`P|u?OQD#uzzK0mipx!<B$~S}h_r-m78ugGgd4C%9 zk?N5$je5B@YdMYjxfmuqjd~ig@z)pBS4#G?FQ~WsgtNb({yH@|enCAxZYB8z_4&>3 z_0Oo+JQrSlM*ZeigHLyXo)3KS`i%PSmZ$g`^}a=$;WO(0pFeM>kO#Q$UYkNb*clQs zg}lHjr!j^6u(G^$3VCAk$>=2V#lYEHlgJxG4x~xskDqe-lgK0DY`Z6sPm;b)Pav;w zbT&*NzjW6oO(4&7T(p=#zS)wte*$?YkF+?B{1Y1bY#e#$W<d5h@{vw}(>U^yL5S2i z^3$`Je`EjSspQvV$X9{|MPtZYyQ_W2kiV|HR2f4adosOc4Ec=AI`j#7ZR~KxC*-%E z&XJ#x=kDife?q=H(!u=+c`t!}>Lc=BzE=H5<Ut}W{v-0?vA3olkryWg;SZt)ehi6N z7)74^+4W=;`O-%sYZQ5NBExYM`O|etauj)V(dFL<<kP~puRkEKI_ejHKz`MG;r9V~ z*7f9(56HJQT`V7vcU5eMN05IRXDdgLhxgKAMv#xw`SeDRmrWk+8bN-Jbe$PSo@U&7 ze;E0i=}F2k@-{WXdKmdTN>*$bd3<?s`91PE{lgdUk=M(U^WP)CPuqCDN1pGLQGAbl zZ@-oC{r^4#@blde^8e-GvLW;XE*%j==nuX>)*eE?pnIQZ2>k<b|MMXFiQMLUgXk|Z zUnLEq--!NTIf(wl_P59&`Vl40<pK03e2Omy(66vK=MSKNVbAs)KtCh#LU927jsIq* z0rWcqT7&P<|Ab^zyhA^v{UPcd`XgIe-FN7h>d)?ahyKZ7ex@J&l)cr1e)L!OpQrVs z--=N=-H-mO{O-Yi^kX`T>u=GYIX8E{MZfmXu=p+dH=zmtx9I1F2(`E9??m@)eT#mN ztK;Jv^nc~iHE+-lPD|0=pg$b_cIplK#jF~^H|QVfV;B3-Pih;q_MyMD*`L>kep73+ zM<4pnZ8Hje=ttZ78T-(mdcPd(MZdba^+qrH*Ne|%deP4+b?Nt_za9I)*Nc9)_}BLy z^uJ--n|sg?`zvPkpg+!Zb?!mG{Qp?G3vjK<wC&@H*oEEg*oqy%Ubn4aw_;;pV51_n zH(k=8f+*OisMrYXbz>re-QDfzh>ou#>b%!)&H9dGKF1;U^Q^e<>pcJewcgLJ-{n>L z`NG#)zA8`ey82tM$k+Rxde<xR_RaTodqw^}e8~>4$m1uS9dG)Gd_MPuA6}N%C+}Il zEWdwy-^iEc`FplG^JV$|`}=o(S>AuRP0N?%|7Bi!^Cf-2!t?HWNk4GS^4GkiFSzy5 z4ln5suI{}4OZtRAH~#TO{X(11@{9V0z3v_TqW<CU9%sF%j~IRIZZGO5{<G`SFX}5A zHh6b|{^Fb!?_HqJ=(c391^SJiOCGU6-*L@~n=H_OT)Dw7^YtOu?Dp(@{m9VcuAi?j zncnOC`TCPz?rS?=pK{_?E6&%ie6z(TFX&tDzT}}7^e?x~>HmU0=KXa}ctJnYvB%ag z=xet8a?w2f&A}(UG*6%N?5k7e>342F_KJD>o*zCwV4nWxj(7`+KIpBLzkgmoG~kiu z=k-N1&m8r9)gP^O*7N$LSKi$1dHqtJw9NDRrX#z&KUe=WWdHl;>Z30IN1wU+sZ~}! zcCNnaqQ$qItG^ol=YO8lXI-<{i_ht|_E>SsbNa5AHof9G{n!5c9Qd3*?AG(vc}_p} zV-$z_vK?N_&+5-ESbglX`n0=GKlfSv+RqQ~^{l?F{VFRytACqu^(W8h;|~1#;b-)7 z|2cioGy1x>KkfL8{_dMDJ3OP$+hy?<&*=Bco8Np|-#6-jyPwwoP5*b#r}cqbJaFXG z`oaIZZ1bn}h1(RrKczpMyV`=M^oegQocxr2@x=G9cuL>c@x_Cl(m#Is{CZF6BY%1E zrziE3*MIQzllsaxe!c!l{pIvEyF97S+-2XrpVV)jef8>3>N^j9?3+3I&#(WUJx3pU z@KK}Z=tnQT@0>aM((_hpGe>{Ad%u<D=u<!b^Ruj9?b7sk*0+AX;<Z`-+To5fvOad| zfxBh>?2-$Y&HCDN?)s?I-@bb8!=*m=h#lj(tLS&XTH@4F-+SYyJC*w1tLH6M>Vq3+ zz2B@Kp7r?jW_|IF<$z}W@s}@kY}O}#_HFBC{qlAzFWIbbo?pB-TmSq_w+CkHqc>{q zKU+Wj+43D{>#J8gr}b?8^~~p6&emstxchsv^xJ(Ocwm;kd-~1;X6e5#n$vNXK77uJ zJI>ONPhYg;EPeTYcfUVVf8KQFgERH%7i~UhrhdKE!c%7I+b?@z=b8HV@%Jq=Qy<^& z#*d!R&+j|#ktg)^?_59h3H|+{cb@TtKL7T-`xE;8uRdSl34Q-Mt9?F0|G)oXPt3pr zE*dj(20k$1vvX$P1+O-=n}Hv!d;6+0@PzJbeET@Q@cZceINosMI@djpKb$|U%j0-N z=MMWlj!)eD%Q}za6{}AD*JJp_3!UdahG)FE^UaUp8=EY9<zslqi{Bmc82<6r+nYXy zhwS&te;&n0)_voZNAZ$QpWpQ;ezNJ`y&uI>PTTzWNAZ;nkKg`Lyyd*HEg!{S_Wj`f zM>qfv@A&W|_{^M9Lm$CwF8%Y&NAQ~$uGs4lJm>Y_T0MgAj2ZCF!+6gM8_#(d|7ri+ z^$+7gM|8X7VSH$_-4A*gFIw>54Ijpjj(qdChw!9FAA9K`e5u9lcRqwSwY#bJL-^AL zw;ulx9yR9S9Uj7`X1=o2LwMD<|M}=a{A#n-k3WcKU3Tfn2l1`9n>#;<cb&Y}-Vfqm zOZHm(K|JieAOAHSAN!=syy<w^2LE@<bo}i4;oYa>X~*q&%yfM1l#jQaj<-ELvE_99 z?etC`K7hw{-u<x$@VQsl9QgoVck2@8J%HbR`sdyc;CW+Ptos1IH)r)<?#KJO?6Tl~ z{O{(|?zkThJo&ob_v3^2zIVd?c;T3Bce)=xTyw~B_v4AhFQ4CsFSfd%`98dH%s;QY z4}W~V*QNL2ku%rra34N-@iUv<hgW{n{jX{G<&MSM)9}ngR(fz6zFB-fbQ<2->dmvK z;h%Ho7t`?2^Iu+j8a}${<AwL)rTzX|a4&wk^j3G>i>D4hz0bY)>bkd|d@tVm=)ZTn z7k@qb*jD%AvD?l0?jC%0)xDm*2d~{QPre7gJ?7}{_u#oR7an^LzI*(X*7xAOJDj}S zJ^1fIn|*mV9z6B;d^bLP{QDE{#*0swf92iy@$~19yc<vM{Q9<c<I8=&U+QkWd8t)C zn_4*l`!!F+qtEJp!&H3wz}K#ridWyW{Si~~>#^5uGZoKXX2qqZ;@c~a{`4-qd)lVW zcj4b(K6%4kc=())yWWM5@3-}lcj4t-f8O>k{QQKuOW%d3FTCgTJMr~HCgnTv_VaGM z@lO2x@TphdiN`PT^f7nh^TWPxeJ5W3<0dQIiQl(A_nSNL{NrXka|gbE?%KE9f%l&~ zu-6?NfRkHvyn_#L$hEuQ!2xKy`D%A?0Csxer`tIIJNI62I|pFDz3;xA18_mBfwyx2 zrv2FIb`C(R_tWhhfC+D|e>(@@@Q?m;8wX(5f4_Ab2jIwcAGwVKF!iv}w{ZaW8F}$- z9Dt2KKIAqIz#$D=-^KxW{_dr2;{e>a`4_ix0OsBI<gFZllMa}CD+l0+?|a@_IRKM8 z-pT>!-)YZVIRG#2vgWNEfHAB6dJ6~O?Zscag#&Qg-w)iv0r+Ft5w~yvKHaR#EgXQ; z4()IY2jHrHTiwC|XgzPKTQ~sMZt&$44#4=Pr>AfL4qJH36b``5%bTWf06ty(^eG&G z=O;Hz;Q(B5==xJQ0ADY?Xfg+2o7di*%mLWv-WiiQ06UGneliE(zeBE?%mJ7_^0>(y zfcCfVI++9T%G}i^a{$`^vG8UNz!Pm>yO{&9#kCLK%mEnp{ivHc0Lyl~^kxpg(6^7c znFFxi*{yHp06h8Mm2Tz$^u6cDn>YYxo&C~H9Dwt-zyBr<!1YUxxQPSs>kk**!~q!a z`C&J401o?h`<plb$Ns(IO&owpoBlY71F+rkFHPbAEIr}=NgRM(zaKG)1Mt|PmrUXS zjBP$*5(nVrh8-ty04{y8)g%r;_bxx*$N~6e-Pdm90DSb$LpO2&_PKNHjU0fD`*ppM z1JLJ^<8I^toOj{wH*x^pyK1c)IRNjE{(T|`;Ii58PUHaG^4rXb9Ds}Wo-~mI@X>@` z6FC6?ZgKiV4!{#V_MXTA*uLea6FC4UOj=?h2cYf#Uryive6;Af2^@d}o}M~^1JHHi z&<PxXOZs$~zyWCJcK8Giz$d+ToWKD%ef+8uH~_O}{dxlj;P0Q`x`6|*)t*n>zya8M z#Emy_0NVfB>jn-$`wPyvfdjDLzxKU>1JE$=A2)CS+HSYZ4IF@dKl|=_4#06!U%Z|J z(7pHc>p1|Ao;~(@4#09JUv)hPpz+L;uIB)()vew29DuiP-spM`z|(KGxSj*>$@*Vi z#{t;6>%8kY0OkABuHyg<K4#Q)9Ds4}UvV7=;Oi?+xQ+ubaQ(K|aR9o$x#4vjfX8lb zaUBQX$ZlVa=Kvhk>4otefXS!aKb`|{O6M`-IRO3oUNxQru-5$@$8!KS{H_?!0hqM= zX5%>k<HjyMo&&J_;y;Yz04&+~$~X?dpcNk-#{n2WZNfMXz%Hls9>)PVXZ^FraR6HX z^Pq7Yfa_k`ZX5^T<~gg3;{bGc`nRzhfGKaiKb8Y<+aGhrasW<fedkyXz>{5vjpYD* z@xrBJIRMY@bo^Kjz<GDK9m@fDb*D|nasXP+UurA|V96_g7{dX0xAkjdH~@VYJwAp5 zu+%#@jo|>C_iVp09Dr$0pFf5J@b+s*jNt(MuyD6A9DtuTTYn4(;EQuwjNt&hGUJ=k z9Dv8xe|a<qVB+XUM{@xBtTkyg2jHUn`;O)SoOS&9qd5SbS3Yty2cXxxyN~7o-2KP~ zqd5RSPH8!s190Tc-;d$|yfN*yQ5=9?3!WIo0XY1xDWf<5hs9g0H~>AzTr!FS@bPcQ zkKzFI=u(X0037|xKSpr?&L6hoC=S3gyZ(D52jJYFJ{ZXXIA+!}BRK#gZ<scc1F*rM zaU(eZix28Kk^`{!4QG$!0K7l*(2*Q~cYfS;BnP0)t{aTx0JI#o<VX%c+h6`Uf&=hr zm$yc602cjUIf4Ul?YO%}Z~$)Dchm?Dz@{y(8NmVA;q@~|Z~*e79Y$~f-n)C35gdS~ z2i70K0eJkmB}Z@o`u_Cea1Ov1TfZ}$1Mta}PY&k*^oX>~0hqDxxZxat2~YPL&H>o$ z_|C&Q04M!%<Zuo^n%*W}t$OdC?_9n4a1OwhN3ArR1F&Pe->>BW{1$K7;sEqIXu-7{ zfag0ub}a|sy$MsU<p4bX;m~V20G)QZ@>&kSM-xxKmIKgw^$yo^08X5|>$Mz!Q`>EH zEeBxl@0Y!n1F+<@Ux#r3ruY7M7zbd#vtAg+0eJGHM~86$T6dZ<i~}&T=dfWMfG?(A zHH-tW%hzWP;{f#B<FH{IfafOcIgA6a%1WCL;{bFTxAHI!z^v^S4dnoA{PtHvIRN9v zzBZHtuui9PC<ow?eeW5{0qDG2ynQ1afZf~l9m)aN?5K-}asYPf-f<`g;Os{a9LfPG zTkJBF1F&DGjfQdn{{8y$LpcENoDgsQ3J2h)e||B91JLfeSBG!_o<6c1!T}hx!M#H` z07L)0eh3HP<$v}c!U5>`^JPOg06Q#w`VbDlp{)-c!U1^V{Jn;70Iq&)iy@T*u*T{` zH~<R=E<S_<@W^sM4dwv6KIMbK9Dt+Rzc82suye~9gE;_Kzk0`D4!}Pi96Oi;&~RIy z!5o06?z&_!2Vh2d>R=AQCf^(~m;><N&Dsp+033h$R)aYJTfV;LU=G052QE37190$y zUj}giZan+5K^%Z9SAKO62jJ}mc@PKS*-7^g;sET^c+(&bz+qjl9mE0np+}EF9Dq%( zyI>Fp;HRff8pHuOu|@kq9Dvh~-E$BJV96)89K-=Qe8;s0aR3@-E;Wb)@cQw;4dei< zzSLI(IRGu5e{&!QVDhAA2XX*@?DyzE4#2`*cMRkJOdC3WAO~RMdj<^T037kbl><2d z?KU}gAP3<4ZpRPg0G#sqfde@JW6s!PAO~RdzqTC60XS*w+5<TNKOMa6Kn}n$tNby5 z12Fi9?*?!HM!)y|01m*V?=Kj@0odxN<^ddlC)Rji00&^JBc=@C09<wB=m8vnJO1c9 zfCDh2OV<G$fayP<Gk^myddLX_H~^>Y+I|2BVD*J<25<moJ+<8c4#3fOZa9Dg@ZqFZ z12_Pu+}UCP2jGpTe(lcz*ni<y{W$;+?)q+j4#0Xt=lACT3|!dUp98S)qUrrP0G<B4 zwLb^o-J8bu=K!2|^x*y+fKS)y(Vqiw^{*H8=K!qx*%|#g055-bOn(l*_}>of&jGk} zgEsv+0G&?QzCQ<`%k3NY=Kzdqxq5#Nz$;fT-Jb)n!{2}O;{e=u{g3@P0Eg}WSw9ZI zsx9B@#{u~Bt$F=804p^&_u~Mxf9%129DwOh-O-N&u>WTh`f&i3USmW*4#1+0efx0$ zHhtu(ejI>a8(-Lu1F-Ux)BAA%-r4!sejI=g-Z{7*2VlK14gEL(*Ppg?KMugr#g_dz z0Eh3iem@St@I6-P#{p<{)KdL80Ppl#)RzPBP4mC{asc*O<*U9NfG@9pzb^;i&7WWC z%K=!u@wvVnfLk}3-IoK<=k*8sasVElcvoKzK$|OX>dOIG>#VVTIRJ;8J)|!O;GJ%b zeK`Pgrd-*V1JLq=3;S{a?%bwRUk<=s*Phsy1F%esBl>ax77RV0F9+b4E!y?v05ra} zb6*a?WfQjU%K=z$$;N#-0HaP?t1kzjJbtCV9Dox$FWHv^aK^Ad`)~l>e(IM#9Ds7g z@B457*6i|G9}d8$AHLg%1F*?iulC^pytU~0J{*9>r_Sla0l1^{j6NKIr`k;K!vQ#H zlc{|;02i${r4I+-udQzA!vR>K!>B$SfQh{a_u&BC{ajNY4nUhtuj#`9IDGVFeK-JL z#oOmN04?w7)Q1Bw^_Y|UZ~)$Db!;CFz~E00?ZW|>`|JUIH~^!Xi#{BHub$td4+mi0 z*E{y%0JK?mn?4+XHfL<shXe4!6YKZk0Q|7UnteC`Q|?;14+r3zw#)Y606g<~i#{BH z-6sFpR6Xx{@o!BWfcKC5R}%+d)q}ok;sAVh<QGjGfI~0-sEGq`)Z}-XH~<Sjf31lF z(7N4=O&oybrp|5R0QBGTsU{A<O*3aVaR81#^YJDQzys?&)WiX}_uFYr9Dw%o?`q-z z44ZRn69?e3c{eq202Y0DLlXyJyEVr(aR6F$9NEMHXnJsH69?eV^#?X_0J`4Tr-=jb z!}h(JH~=fY(XELC@b2)gO&owDPrkH?1JJcymnIItemkAl!~vMI*V#=RfbWkxqlp9X z%z#sxH~@#vJF$ra(0}9Onm7O#4m+xe1JH80!<#q&hfV6x!~r;X--DVs0KYBTFaBJ^ zGRM!`yNLsE!95L49DtoBw`t-4OuK83CJw-dPw(2q0eI?{otiiRr|!|Zi34!oi0zs< z0FV4<>n0As<=wVy;sAWT+&`K)0INT^X%h$F_X{>|;sA_~|MS@#fbZ5>zlj6z`%3FJ zaRBmKYd3KK_TF{PCJsQ4vsZ8809-P4)g}(WMvJY|!~qz5;mS=Mfcw5%v55n4ZLbxY zH~?F2zI+o0p!Y}1HgNz(O<Sgk18~}irJFba-}GCmi38Ajc)WEl9DtqgY1za9`1!ph znm7O#ZL~xa2jI@DTQqS1Zu~s{kJN?(aQIn^H*o-(e_O1H1MuBVi#2fo-Z*iwCJsRN zEf;Iz0DQdcVoe-?_<v3QKmX1F@ar4^zn=r(&vO9$eH;LPKL^0i;{f>i8~~q(1K{&< z0DN8!fX~kX@O?M{z8?p`_vHZi{u}_ug9G6BZ~z=H4uIpw0jQ2=H~@|>2f*>>066{} z0Ox}P;QVj^oG%W5^Tz>jJ~;r+F9*Q+<^VYV901n?2f+2g0dT!=09-#D0M`=-!1cue zaJ_K=Tz?z@*CPkO^~nKny>b9tzZ?M9GY7!+%>i(|a{yfb902zN2f+Qo0dT)?0Ng(u z0QVCI!2QJmaKCW?+<zPZ_ag_u{mB7vzj6TFzZ?Mf^KRh)xW737?spD=`=0|~J>URX zA2<Nk3l4zwg9Bha;Q&})H~`ig4uJKC17JPk09c<m0M;uGfc1+5U_IjiSl>7R);kVB zyIB7?0M<hefc23BV7=r3SU))c)>96E^_2r)z2yK{e>niwV-A4znFC<G<^Wi~IRMsk z4uJKY17N-909gMy0QLh8fc=33V87r1*grS`_7e_({e=Tyzu^Gbe>ed4BMyN5i34E2 z;sDscH~{uD4uJiQ17N@70NDRH0QN%;fc=pJV87%5*grV{_EQdk{gney?YH3o*nc?y z_G1o!{h0$`zvckgzc~Q*a}I$0odaOM=K$FMIRNGX4uJW917Kd@0GJ;*0Okn}fcb(0 zVBX*Wm_IlG<`E8n`Gf;tUf}?kUpN5f84iH?h67;U;Q*L_H~{7$4uJWH17Kd_0GOXR z0OlzUfcfg-Z~)9(902nd2f#eW0WhC&0L*I~0P`CMz&ytRFyC<i%zGRF^B)JmJjeks zA94W9iyQ#+BL~1d$pJ85asbSm902nt2f#ea0WhC(0L-f#0P`ycz&y(VFyC?j%)1-_ z^DhU$Jj?+wA9DcA%NzjnGY7yt%>gi9a{$cS902n-2f#ee0WhC)0L<$g0P{Nsz&y_Z zFyC_k%=;Vw^Z%{k0LTLz0QrCeATMwL<OdFbJi!5wFE{}51_wa?-~h-Y902)*10b(( z0OS`AfIPzikZ(8u@(u?;{^0<~LmUA4hyx%maRB5e4uCwx0g$ga0P+?GK>p$Y$YUG; z`HTY~uW<n6Hx7V2#{rP<H~{h<2SEPg0LX(J0QryuATM$N<VOyGJjnr&FF646CI>+N z<N(N{902*010b(*0OVH=fIQ0qkZ(Bv@-7EJ{^bD3!yEwlm;)d$a{%OL4uCw(0g$gb z07D{gR}MhrZw`Pw&H<3mIRNrH2S9%30Lb$k0QsH+An$Vk<bMu;K7a$DAK(D!3pfD! z0}g;bfdiml-~i|wH~{(w4uC#_1E8Pa0O%_?0Qw6KfIfo*px@vC=sP$7`VS6(K7<3H zAK?J#OE>`f6Apkrg#(~p;Q;7cH~{(=4uC#}1E8Pb0O)Ht0QwsafIf!<px@yD=zBN- z`X3H}K8ORLAL0P$i#P!KBMyK*sYf^f`Xvs4zKH{%f8qe>qc{NiDGq?XiUXj(;sEHg zH~{)B4uHOk1EBxn0O-Rw0QxZwfWC|apg-dP=+ig=`ZW%KzKsK*f8zk?<2V5NISzon zjsu{-;{fRMH~{)R4uHOo1EBxo0O$ib0Qx}=fWD9epg-gQ=o2{r`b7?azL5i<f8+q@ zBRK&2Ne+O%k^`W><N)Y1IRN@i4uHOs1EBxp0O&(G0Qyl5fWDLipg-jR=u<fW`c)2q zzLf)@f8_w^V>tl&Sq^}{mII)_<pAh&IRN@y4uHOw1EBxq0O*4`0QzALfWDXmpg-mS z=#x1B`ehD)zL^7{f93$_qd5TjX%2wCnggJ}<^brkIRN@?4uHO!1EBxr0O-Rx0Qzwb zfWDjqpg-pTRDF6l0Qz+ffWDmrpnvB8=;Jv6`gsn3zMcc1zvlqx^Em+eeGY)Wp97%( z=K$aV8~}WP1ArHB0Pq720G_}Bz!x|GcmoFjf8YS%5gY(~f&+k8Z~*WN4gj9P0l+sn z0C)!n0RP|s;2|6Ue1rplmv8{^6Al2L!U4cnH~@GH2LONJ0N^nk0DOi6fY)#U@EZ;Q zp2GpacQ^oe4+r3r;6EGyJct8;4{-qSA`Sq4!~wvQH~{z(2LNy40N_s?06dBVfKPD% z@G1@fe#HU6vp4|w76$<D;sD@Z8~{9w1Avcl0Pr#n0Di^+z|%MY_!<WQZ{q;qZyW$T zjst+taRBf-4gh|~0l@P(0QepU0Po`f;C~zdJdgu`4{`wTLJk0a$N|6;IRN+~2LNy6 z0N{@t06dZdfKPG&@JbE<e#rsAGdTeGCI<lT<N)BG8~{9&1Avcm0Ps={0Dj5=z*9K@ z_$mhgZ{+~suN(k8mIHv#ascpJ4gh}30l;%P0QfEk0Pp1h;J+LIJeUK34|4$UVh#X) z%mKiYIRN-F2LNy80N~FYfCqy||G@zWKFtBZt2qGpH3tCC<^bT^900tV1Au>X0Pt`Q z06xwEz{@!R_&EmvPv-#O>l^^QodbZsa{%yo4gfyS0l@1y0Qfxz0MF+D;QJf^yq^Pr z|5yKs|L%YDYkrU4>(BVJ{tkbazti9CXZTrurl0LI_$)q?&*n4wtUj~P?mPG{zLW3f zJNmA^v+wR0I2MkHW8)Y(R*sot=NLMcj;Uko7(3RExnu7fI2X=|bK@L2SI(Jp=Nvkh z&Z%?j96Q&}xpVIta4ondTpO+t*NSV#wc{FcExD##TdpzJnrqIr=Nfb^x+Yzlu2I*j zYu2^v8g?zard`{vao4(Q-nH)@a4)zg+#Bu@_lkSQz2hEoFS)1OTkbLUntRT@=N@z~ zx+mS6?os!ud)B?{9(FIgr`_A`are4=-o0-PuohSotPR!(YlSt#+F=c`mRM7)E!G%o zjWx&GV-2zvS(B_y)+lS0HOty%4YQV6)2waQIBT6X&)R1Vv=&+ut&P@5Yo#^Q+G!27 zmReJ-t=3p;tu@!$YYny*Ta&HL)@W<BHQU;44Y!tC)2;2+cx$~i-`Z~vuou`9><#t^ zdxbs2-eC{1m)KM6E%umduZca!-eV847ul2SP4*~zl|9SeWe>BL+0*Q8_BeZ;J<r}} z540EB6YY)mNPDF{)81(hwU^pc?XC7$d#yd!-fIuG7u%EV&Gu+}wLRP3Z4bAX+tcms z_IP`}J>TAM1~3bl3Csp&1hayf!R%m$FiV&z%ob)0vxb?&>|q8mi<n8wCT0}AqM60) zVumrxm}$&5W*oDQnaAv71~LnoiOfc3B(sv4$?Rl?GE14M%vNSBvzD35>}3Wsi<!yH zW@a?Anwib)W`;A%nd!`SW<0Z=na}KJ1~dzr3C)IPM6;rq(d=l3G)tN(&6Z|Nv!<ET z>}duyi<(Kzre;*Ls+ra7YKAq-nrY3pW?Zwbnb+)V1~v<uiOt4lWV5oF+3akFHcOkS z&DLgYv$mPr>}>`&i<`;K=4N!Wx|!YVZiY9@o9WH=W_+{0ncwU$1IPk0fovcn$O<xp z>>xwP5;BEsA!EoIGKcITgUBK>iEJXHRK9X#7THCHk!55W*+#~Zbz~mdM+TCGWFpx} zMv|3eCfP}blBHxS*-FNewPY^YO9qq0WHQ-IMw8WKHrY*vljUSO*-plj^<+NTPX?3) zWkT6dMwAt0M%ht@lqF?K*;2-oHDylOQwEhqWm4HxMwL}%R@qgCm1SjG*;dAtb!A@J zR|b}aWn$S_MwXRjX4zSWmZfEC*;>YywPkMETLzcKWpdeEMwiuPcG+Eqm*r)8*<Qw% z^<{q9Uk9KI&<W@UbOgEroq_H^hoDQ)Dd-k-47vuLgYJO?po`E+=q7X&x(c0z?m~y5 z%g|})Hgp`i4xNYYLkFS@(TV6rbR@bGor&&5hoVc-spwX8EV>q*i|$1Sql?kW=w@^@ zx*DC0?nZ~B%hBoRc62<t9-WWwM+c+}(h2E?bVRx$ossTHhono=De0DUOu8nWlkQ0e zrHj%@>85m4x+<NO?n;NH%hGA-wsc&&E}fU|O9!S4(~0TEbY!|Rotf@Tho(!@sp-~q zY`Qj`o9;~qr;F3c>E?8Fx;mYm?oNlN%hT!U_H=x@KAoTLuW|sQ3)Bhf26cqGLY<-R zP=}~X)G6u~b&R@3oulqi2dRtHN$Mtbl)6ftrS4LPsms)9>Na(px=x*^?o$V<3)PA0 zMs=jRQk|*pREMfd)v4-Mb*#EpovZFu2dj(K$?9fxw7OcIt?pKbtIO5t>UMR!x?Y{H z?pFt_3)TtihIPccVx6(>Scj}j)+y_jb<DbEowM#)2d#_NN$aL{)VgY&weDJnt;^PF z>$Y{=x^A7f?pp`03)hM3#&zVna-F&ET!*ep*Qx8)b?mx!oxAQ`2d|6Q$?N8I^tyVT zz3yIzugllz>-Kg0x_+I%?jHld0x$t=03*N(FazuWL%<R+1#AIhz#1?I>;Z%DJR&B6 zO<)vQ1!jR=U>H~irh#o>99ReDfqh^gSO_M9jbJ2L31)(wU?^A$rh=_tELaQXg1ulc zSPUkE&0sWG4Q7MgU^rL~ri1NZJXjCrgZ*GYSP&+J4PiuB5oUxPVMtgKri3kFOjr}< zggs$U6^jZcg-u~pSQTc4U13;Q7N&)5VO&@j=7oJ>U|1L?hK*rlSQ%!9ondHL8m5M= zVQg3%=7zmta9A8Bhs|MhSRH1E-C=lG9;S!wVSHF0=7;@ZfLI_Vhz(+dSYhP=cz!q- zB9@3LVv86f)`&S`j~FBtiAiFU7$sJTSz?zMCYFh5Vw)Hz)`@vypBN|>iiu*Q7%5hY znPR6HDwc|=VyhS{){41euNW*Ai^*cM7%f(d*<!aCE|!bwV!IeG){FUKzZftUj0t1I z7%^6i8Dqy7GM0=fW6Kyb){Hr0&lofojY(tE7`5lsF>CA^!^W~PZEPFk#=0?Y>>C5e z!ZC4d93#idF>~x3L&wrFb!;7D$J#M>>>Y#0;xTz_9;3(VF?;MD!^iS5eQY1&$NDk< z!2b#V{~;dve`Do&Z61t&&Rw~E+r#6Z;S02V=I_QdWaW8nSBrm!PtbO+zZ%m?^Ve** z*Po54#XnoOTV+vW8nsgUc2EANF)g-Zr*<8FZ%l_A)uY|C-x|}Ndkt;()vt}|v3b|E z`+Z?!TEF9M?LPlkV><u42io2BV`I9p`|Ni6{m_`EFE_8<jBgv$ofF?|x8&E2smC^- zwcGZK#<cy+AKPvHX=8e#{i1e@eH1_Ehb0;whz+{+uFEy-@pfaH(W6zv4X-z*?Vet< z;nkNL)8OScX!vY?V_I<3W(^DGHm2Wh*t%il(~W8APg*x@lN-~YTkPI&^UT=bFKXBD z-J^|Z^dtK=to&g7eTxomSaDiodSIU;8a}_XF|9QCxQ5ZUG^RseI=Nx(NsZ~e)jKtG zyRI>H>UdtmgQMbmO}V(?siBSO+b_E|+}pn~b=|f{!$rLtQ<oV{4a;<EOv}CBuc60f zjcMFp0~_XE5dXgM(1st*YD^dGJG|lBQySAFXOC*g$2F#}2aavH=<vq0<lWab{CZ&g zzWEaxj!li}KR--r7`{hidU>TO4L7xJOxNysTf?9&<M<zaXG8mq8q>@x?r!*E&BnCt z_-PF%uGE;C9($nS_9YwBthXO(nD=Mz^xp4}Hq8B{clvOhCmL@0u6KI6&FqFlKkc1{ zpPU=sc)NGntLIY<yS~&rJvZsuhKru*opx`2zM*ku?=<kk`3>h!@0}k1>!pV6@9dqP zkCANNjlI(o4R1CyjP9L=ocd0~uz|hP9=+agc(6zB^vtA>8m3>`JMA$0(}uz4^iEg3 z|3$-|9ebyVe|+8W<l*tpb-r)dY`^&5_x!Qpv_0bYo$zzR<=gg7bFTcg;k1o<r|ri5 zU&H3B^-fnj^hd)}OZ84;UiqtG&p&#l1Fl}I82ry(X@{j+6c2vVE43KEMDft;z0y;g zEm>UqT(5NTLrWEVKhY~Kxz94if_r<VfiEvx?09pp^vkKs7Z;D}l{);eLNTCkuQaUt zN=4VMz0%{$v??0T?Ufc>w@UHR3BA$_o3B=!)V^1G=%Lk%X>EF?etWN3yt8eu)bP?; z#fR(nN}rs(PBDAMUg^Sb*DbF2yJ!07s`ZN{e(IU_Y`J04<>Q{I$LNiU`(N&v?$~gX zVqWf<9=mtbqWOWI>ApQTFNRL;nXY~IAH_~1d#0m~-m;k9yJ!0IqpgZ%F7BCzU${-t z=G304<?q`T`ybjfof)qK->PlTbZe{D#g|+6O!Fq~Sae^zXL@6+or`am>Y1K-WS3&6 zfA>f??7drY%;!DQkqdS&4tupn`r(8<i;Z)S)aCQNiWjH#NH1U9wm4>DkF>@g?TUv6 z^+?5lqWG?BkJP@^-o>A1_DFl&xKHu*;XTsQTkKcd+qOq~V*38Y!CUo6hqXPhC|B>1 zo_hA6Vx`4<q_vN1U+nSE?&*m4Iuvc*?VdWHeQ2@fv)$7fKOR=hpWZ#~+3kqpq)FY= z&x;>bJUOU)8ZzwYqQw>6)8cC!TdaRt_jKaT#}%#GcTXd?JfZk-m+tBI=_eNbH|(CS z->YNMa@p?bf~QU{PW$DWwC*9N7NbABCQW_)wBn|@*QC`>Iiu+J;5F%#FU~CXyYZUT zugh7*2mP-}1AjfcIQ-&k(z!j)Ehe6DP1>~OdBvQ)uSqk9onPdwuSu;}yRf)n)oW7Y z#4bgLMcvZ9n_OJH^?A4S(9}zcwhOwYajh>adOXrC9rf7d#n?&R(l_n876bctOC6uS zvN*a+w{&OwtBc={>6R9}+^x8}ZMQW4xbDTPn|4dLzu%*1xm>q&{F%LqRzF{zKK-h9 z@qce$oi^RQsd&7+I?egLsW|G6t5b(reTrv?#lQFJTda0@{QBPgiZ&-+oi1(Bzi3xn zo$h(2f3eo)SErW-4k#8Zdv*Hw;DN=-KV6mHTW(PC%o|swCl?GVmY#W48arZevH9ey z(ve3FDc0+MRr-CEp~Vm9U6qEuKD4<0u&dJ2<A)XN?R-_b`1os!?rUC^=Bzcmc=(Si z)1U7QFP{78%Czak5yit#U72=1d1TS!&MVV)>yIkdA9`hK_2H=E`irhiAKo;&_~(&V zrg5i@DK^;k%Cy@?V~Z`<x-#XD#}>=~(KYQfWn3}ugRW`#8RLsixodiD<LinyZ|RyA z`}DeE)Bau4TDM$Zv_H3NTJy{sibD?Wn*QE+Lb2sGUDHdSOej8FzH1sdWnyvhKd(qz zo^fOG?n_ss2R52iY&89fwDHH2iZuF))a~Y*ioGtsBF#AU=3=E|u1FuRKe;G(xg!1e z{^a7I)vrijPMlIq`}Okl)JeA#-@kEr8npJUMaxGoPrJN*Yw`QI%hR*tZ!6|@y*#C3 zZ!a!A_VP4&)jNt`cey-$`N|!|(W_maRvmd~aqYs((mxKntC;-iWoe`3rxqioUzYxU zerj>T$jj0*1Me;tU36KxWdD1L?uTBM7H@HH@y0fnrK|J3#WKrYmfq+&tyt^pOVb){ z?kie6dueL-fA<y7-Fj(i|H%EtxlNa*HkUn6e0u7osnrhCi#^(2n&y8uz39C5rRl=E z9xSf-_a*74vmPoszII7EapQ-J^&Yq+-SNT0#f)K>q_=K(q}cJiOVSU=K3eqK|C01o ztH+Az8()&1Uhr5k^UsS@|G|$JH@|an+GhV5#i@^6oF4k`jN<o^7pDzpJyBeA;l=6V zt7aDEffuJKJIyM--{j)-*blRcg@0a@9=L0E@#fnXrD2_#i?I(~lsars7TXWMD1HBS zS=`e3qIAiaTrAw@qV(pWbBYbtzbI|E^pnNrzl9b4<denHuXIVr^?0gy_U<le|J|N0 zPV3htt?|>-#T%z|NzYGxrr3P<F6op`&lX3n+$FuX-gCu?-&~lse)GAa?UNU#P9x?P zizZx{dLHzAG5+!k)76X3D^@t<!gS0N^NQ0pyD+u7{DtD$MHi&|x0zpzfBk~A-RJX* z9`|05hTXWJXxHz8^ujSO7VmbvAbq#|OU2PU$3LHbskm#|_}_cHT)h3s`Dy4buM}TA zetz2K`&WtuBhF9v-1=%U`keFAvK?P5c5ZimI(U`Wi~CnSKXrco^<wF7&r4@FzEQNx z=cRpif3rAr{CVlGAKonXy70U-;kLJmCH6Tlt=94FV(Oabr3+iVQ*84?=XBe1?-YZc z?3|wH`EK##b)D0FJHJ=Fb7AMy^v!$43ww7?JKg+#F=36)>B(b0C=U4U+_b~89~KMB zbJI2DhsBO#&rP>p@lkPE=X2BDTYX%NXnSrN{^7^P;FZr!M~wNTIPLRu(vJszTC{rn zoOHpWPm3u-&q>cb@L93^Y3HOr&icGKcBgaFN^5^nT+{NL^!NNPiXLyDo#r=wS)6pw z+3Ct%zA9Glb#_|x)mO!|BhF5zPW-yqWYe?Lq{F@`uK4w=^!Q@m7PmZiR=WS;Z;NR+ zoR#{V8^!DeXQdt2`M&7b?yNLx{`bY7E1s1$>HR~|<Ks^0tR4SZygj{BYWnP-MXSD@ z(lz6LEVekNQ#$m(pNe%i@01q(-%rJNzn+-}-2JcOhG)-A-*x=C*z~$H)7C32EQX$Y zX4<cLVe!iDXQn+b{-s#B)R}4Njeac_zI8@=@a12Nm+w3y?bh_~V#rlzq_I2xR%~+M z8EO6}zZKW5aYp)J)c+OVesOwwe(&FlbsjlAjriB^k#J8>+fVsVvFg#Mr#p`Lqj-Pg z)6@U`{YTN~r_<7=_b)1zEKf^Yp899e`P$P`%N71A?(BG4nl<yU;_0nUOC8StyC{D< zH9fS}e~as%IW_(A?0<{H#+;g#YO`4S^z>8He@88r!s$+5e7#t@?T=H^qs8Ls)w!pn zE5<LLJ{)&STK|W|)7&#pNe}MZB8}MLl(h4N7HQW-C#T6jwMaAOo}7L^V2QNuxRX=E zq$N_vGfqwyEnFg9v)##Q$iXesmA`jPqi=4Rj(nzL>i=uYwCt#ksZ)m~)0C4trtPLI znbzF0WBTmhOQs7Jo|O6?vQ(PXd{SEJmZj2tgHK8We~W)V=A`t^AxozVHascqe#_En zt#40Em;APLy5->$)0jh+Nz3;>G2Jp{nRLv-C#D<!y-d1h)e}==hh<aa2PdS%Coh}M zy7Ppz?61qFEiOGF-FNVEY3^Ppq=uW8O9wA;LVEn?<<eaXj!)|!xP1C@{PF3+iOZ)J zryrm0_;LC4_m;<}dHb%A-u>5c>Fw)QNMoNkF1_;I3TeB($EAmh71Qk<j!S*Ute6(9 zdR*G`s}<8u?;o4q+iRtC&~3-2bB3>!+Fp2UdjFG^(#pFWo7(QWa(eoYV^aS?E2rb0 zIws9{Z{;+1$T8{7?OUa_k2)rO(9|lmU;CK!;_I!_Ngp4b?%HCN)c($+(}mZplGeWH z=(Ns)Rnl|29G#|bxN17~kE7C#m#vzfnsZc|`1Go&)u5x&k87-!8V)-uZFAmgsl#eV zr6XsqmiBn}$aMM&tEVL<ADK=(ZT0lP*+-_mrmvpbZgXU6*<y_}^`|4!<HxL#etqPK zbnG2#q>Z{Ck>34njkIk#A{}(_nrW?;N2JLU)=XbKe|Y-%yEW6O;fJTC+pU$>Kl<>r z_VBgRkTnlatA4ardh@-*(!Y0DJGGd6Senzgc4~FjVd;uj)=qzIaadY;lXYT^KQxWI zY@Kw?{fDN-o?0g@bNQj^xK-Cp-F7=PjqS8<n)~}9X~skArr&2BlAdd^URt*CkTmP) z_0k{v9Fiv7x?Wn)@{n};!u3+)+zx4leb-N`4egL_9ld@UbZCdP(WmRD4_4}s`tP_w zTJ_cT>D9&!($-_!r=?!rAZ>D7`?TqX8>T<jY@fEcXv6gII|rv#XK$DepLlTka=DGt z3nw3(CZDuX+H!+~(>_x-O6PoZP@4bSMydbggHqcAHckW1I4F%ByK(Bg$wBG0Pd856 ze0E^^PwP$6OSc}Fmg>DpI`*ss)1vvCq*<FCnBHDz)3n4F2c!v|H%;5$en8sq(M{7{ zXCIJWZ?Re0V)FyiAxCbO{{8v>>F%30OLyG1fBNye&C(uc?Vr}&bMtiXru(Pu25g=d z`)t4TkJmO&d)~5NYPr!r(otvZm*!vik96op`=zUA{3C7s(Y|T9CAUc5Oxic~KWd9K z<m7$R=QnMUmS1<@w9R*0q)Xq~Cmp~0mg({9_DSdU-7<Z8>^|wtm$pnlth!Iyd)=+l zd#~)BmOOW>boYq8)AR?oN~avUciQK#t<t}i-8;=Vc<a=8Zc1y6+d9qZpVA2*Z=L?! zC#9xswn^*#w@4$djDOB9Qr|h-r2lp+(#gwjo1WdRNb4QHZMt}2L&{UOP5-&SA$9nE z+tm5OhV;a4+oc&>Hl&rCwo5;J-Y&I&VY{@%ly>R-)wfT7p4={7a@zLk-L=}KlcsK; zZg{<I+Ir#ksqM(N>7%wgq$fMHP2C3UkhWf`ZTi2Lc1Tw~*(RO5cI$L|&o=3fGh3&} z_h^$|zo&JYws5cX)i15nfcy4JAGO;t?R(x{Y1V)p)2Ey6m3qFkV>;)<J=3OZ?Ud$U zzh}Dd^qtb0NAH<7n7UKiZ-qV6W&heK9rxTG>7G4zP92)|NOPNZPMfvaBh7n$=k)O} zyQc?Q?UEYr+dXwZahKGx^X_TuDZ8XD8}FWGf4xhZ@$PPE*VenHugC0`MqagRT6D;6 z>E-5K(=RP|tN!D^```SU-{bfCGybf<!{6oa^mqFiewLr<XZs93i_he<`HViR&+N1N z4!(=;<h%KfzN_!-yE_Jsg=6B_I7W_@W9Ha7hK{9U>exEQj<sX%*gFT#g>&NEI7iNv zbLQMRht8#Q>fAcV&b4#y+`9%`3$6**hHJ#N;+k>oxQ420DXuBkmTSzl=9+WuxdvT} zu1VLXYt*&snsx2EhF#09Y1g)E+_mnSckR0e+zajr_lA4Kz2cs6@3@EDOYSN6mV3;- z=ALu!xd+{g?n(Eid(^$^o^|iKhuzEWY4^5!+`aCeckf#RtOeEtYlAhyT4Bwwc34BK zCDs&ci#5htW6iPlSc9xZ)+B3_HOg9L&9Zh`!>nc2G;5nR&RS>9v-Vj7t%cS^Yoj&N zT4~L+c3MNNrPfqyt2NeIYt6OxT7#{{)?{n5HQHKj&9-)1!>#4kbZfgc-db<XxAxlu z>;?7&dxJf~USZF$ci2PhCH541i#^6(W6!bo*n{jv_9T0gJ<48X&$4&f!|Y}DG<%yp z&R%EFv-jBp?S=M4d!s$lUTM#?ciKbkrS?>Nt3B3UYtOa!+Jo)I_GEjrJ=$Jv&$f5l z!|mnvbbGr!-d=CdxA&U?%mQWtvw<1GtYBs^JD4HN5@rgsg&D)FVdgM<m_f`UW)icB z8O5w(W-+^%VazgS8ncZV$E;)KG5eT-%tB@&vymCetYl^~JDH)(Qf4Z%l^M&dW#%$_ znZe9rW-_yx8O^L_W;45);mmSoI<uV_&#Y(WGy9nV&4Ok^v!NN$tY~I5JDMTQl4eS? zr5V$#Y34M0nnBH?W>T}M8P%+6W;MH-Va>8;TC=Sg*Q{&iHT#-@&BA74v#}Z3tZZgB zJDZ`+(q?M2wHe#2ZRR$6o59WEW^%K+8QrXIX0K-VnBmRxW_q)|8Q-jL<~RGx0J4Bg zAREXCvVzPYJID~SgiIk@$QZJQ%prToAhL)|BAdu4vWm<iyT~xIj7%fj$T+f&%p?2A zK(dfbBpb;{vXaasJIPS8luRXC$yl<M%q4rtV6vD@CY#A<vYN~$yUB2}oJ=R%$#}A! z%qRQFfU=-WC>zR%vZBl=JIau<q)aJW%9ygI%qe@ypt7h;Dx1ovvZ~A~yUMV#tV}E0 z%DA$w%q#oKz_M_ai6a}!$g;A`EIZ54vb0PsTg%w8w#+Si%iyxOOfH+t=(4)ZF1yR{ zvb;<$+spW}zRWNC>i~2CIsx5)jzCwSGteFA5OfJT1>J&<LD!&j&^_oNbP+lU-Gq)p zSD~}eUFa}$89EK!hK@tmq4Usv=s<KKIuYH7jzm|YGtr&sP;@Ce72S%CMc1Np(Y@$k zbTK*^-HeV#SEIAh-RN+1IXWHPj*dsyqw~@I=zw%VIw9SVj!0LeGtwRDkaS5pCEb#a zN!O%v(mm;*bWu7f-IR_>SEaMkUFooNSvoD<mX1r;rSsB#>A-YhIx*dtj%-GBWjZt6 znGQ{two!Cyx-}h}u1)8rd(*+`;&gJlIUSv@PG_gP)8Xmzbb7iy9iOgG=coJA0qO#E zg1SK+p{`J8s5{gl>JoK|x<wtMu2JWxd(=VdB6X6wNgbuGQfH~V)M4r}b(*?O9jC5S z=c)VDf$BnaqPkHXsjgIKsyo%8>QZ&8x>X&ku2tu%d)2|}Vs)~*SskseR%ffb)#2)L zb-KD;9j~re=d1hG0qcTw!n$D{v94HWtUJ~r>ymZKx@8@+u36`-d)7hgqIJ@`X&tq$ zT4$}h)?w?ib=taZ9k;Gq=dJtJf$PF`;<|AixvpGit~=ME>(X`Vx^*49u3hJ@d)LA1 z;&t-6c^$p3UT3en*Wv5(b^5w}9lx$$=db(60I&c|02{yvuma2gJHQaI1WW;2z!<Ow z%mI7AAg~Bb0-L}nunNoqyTCB83`_&tz&NlD%me$tK(G)@1RKFfuoBD!JHb$}6ifwM z!C0^s%msVFV6YfW2AjcXuo}z;yTNd<983q>!FaG9%m@3yfUqD;2phtPup-O|JHn8# zBuoig!kDlo%n5tKps*-R3Y)^Huqw<7yTY)rEKCdA!nm+5%nSR%z_2h(3>(A9u(H$k z_#ZplEEpP=Rx!0dzOEP>)`q!ZZx|dFhsj}c7#&uJ*<p7W9+rpcVS5-K*4G%!5BtLa zu|P}^8^j2)Ld+05#1OGWOc7he7_mmo5qrcSu}Dl3o5U!w%0a;_u}cgS%fvLXO^g%k z#5}Q23=|8^$Ni6uVx(9pW{RC+s8}kdimhU-SS#j=y<)IfEGCQ1VzgLow_vu|?b?(6 z$8s@UY!~CjdNE(@7X!wEF=1>NBgTri$^T==7&4Z;Aeb_?j4@-)m^1c_L1WRFG&YS< zW7U{7c8y_U*_bxAjd5e$m^b!~fn(vAI5v)vW967Rc8;NA>6kjUj<I9ym^=24!DI1z z{aLg5VDwl$W{=%t_*g!skL_dpSU={E{c`}~-)H*w`1M(SJ%0Zzzd!!`EPp=!zFGdh zVE?l@0P*u?`+4#6XZ!i_d1m`O@%d)^eDQf_`@He_XZ!r|eP;VU@%?7|e(`;0`@Zr0 zXZ!wfJZ3u{aeQVwK5@KeJ6>`8W;=dyJewWQIKIt}ZyfJt$2*RHv*RD<quKe0^V977 z#QAD=zJmQXJAZLLo1M=%zs=5XobP7mJI;Tz^B>nkv+E(Qk7n0Lu>WS)OI$zAuAjJ` znq5zEeKotj;(BX#y~Xv{?D~uAvDx()*JrcqGp^U>>U!OHXtV1#uIFagbFlwr*LPg+ z&93*j{+nI@aX&P>AL9OKc7MeE((HbT`={Cc6ZccI`zh|PX7^XzZ_Vzvxc{2ne{nxH zyC38JY<7Rf{o3q)jr+IR{X6{R-0Xgi`@7lw9rt^)`#tXeX7_)r2hG-lSRb0L53yb} zTQ6e$XtsXDdeUq?iS?z~`V#9+v-Kv{pJwY%tVhk(qhSBddv>hWt7hv}u>WT3SFC5v z*0WgOnyqiK-ZfkAV*P8j{>6IOY(0$iv9vzMdRba8WBn|xpRt~n*3(#DOY3W_x25$q z*5A_l8|!gtJ&yIcv_8jrU0SbW{VuKFv7VRK^H|?Y>wB#CrS(46|I+#&`$1_xi2b3o zKg51f+Am`NDD5AypOp5K*k4NfOYAqL{U-LG(*6_sQE5Ml{i(D+#eP-VuVViy?O(B< zmG-mP-%9&i?02R8F806D{uldUX+Mnpv9v$Nep%WtWB)AepRu2o_S4v3OZ#i=x263y z_TSR}8~br-KaTymv_HpwUD~f>|1Ry{v7eXr^Vr`@`+My7rTsqk|I+>+^FV1Hi20y2 zAH=*+nipbzD9sNsPn70~m@i86Ma&zec_ZeJ()<zgNNFC4`J^<T#Jp0PS7LrC%`Y*} zl;)Y3Z%Xq`%sZudC+45h{1fv~X&#FCs5BqNyi}T(Vty*kPccuG=Bb#kO7m6BTcvp` z=C9KH74ukW9*g;`G@r%1R+`shek;vyG0&CextQ-t^Ign)rFk#rzta2{^I&NnjQOxM zAI7{`nipe!EX|KGPnPD%m@iB7Wz3spHE;InTbe&(9xctIF`t&^)0kIF^J>hmrTI1H z+0r~4^KEIqjd`~;@5cOFntx*+F3rO+AD8Cin3qfQa?H=A`8nq4(mWmWb!onidAl@k z$NXKIzhfRR&Eqkjm*(@B*Guzy%<rZ7J?8n+JRkFYX}*tnzcla1{9l^?BM+4FK;(l` zK8U<f$_tSnO8Fu3L@7^1z9{93$Qz}+5&5H(KO&Em@<`;9Qa*{iQpzilUrPBU@=Phu zM7}BIo5(w*yc7ASlz$=*mGV&Jqf$PKyj03dk)KNWDe_b)Per~e<*UeBrMwmStCYVY zkCpOR<g-#fi@a9KYmwhd`7QEXDbGc|E9JY$d!@V=`LC4!A`h1GVC2J6K8(Cr%8QX7 zOZhSKWGPQZzAWX-$eX3S8Tqr6KO>Kp@@VAKQa+8mTFR@DUrYHl@@y&3M!qfO+sM16 zyc_wqlz$@+m-2Ar<5E73yj;r5k)KQXIr4NVPe;Bk<?G1XrMw;ayOh5pkC*az<nvNK zkGx*W>yh6}`91P{DbGi~FXj8l`=z`e`M;F^qYo(c0nrbX`hn;RN_|1}2c`ZX`h-%S z5dA`_Ux>b;)Hg)`Q0gC|k0|vK(NC26iRddzeMR&arT!xNj8dNw?7yrWfTv$7^&QcF zl=_e8LrQ%}^dqHyB>Iw4UlRREsXvK6rPQZHzf$T~qHihnEz!S}`j_ZqN_|Z9Go^ke z`kGQ-6a7u8zllDl)aOLMQ|fo3?<w^?(f^eCpXh^1eNgm6rG6;-qEcTJ{ZXkuiax2- zCq=(h>X)K#D)mj#Kb88Y=%Y$~RP<A&ek%H^QePGQRjI#<KC9GcMZZ<*x1#SV^<B|_ zmHMyf!%BTv^kb!dEc&uiUl#pYsXvQ8t<<MQzgFtkqHinpZPCA#`nTxgN_|}PbESSR z`npnI7yVtSzl%Pv)aOONSL*ko?<@6v(f^hDzvu%?ePHy1rG7B_!ct!t{b9)gh(58@ zCq};*{|6<izOmFdM*mpqAES>f^^ws}mio!)D@%Q4^p~anGWyI?pBepTso#vgv($G+ z|5@rkqYo|hq0x_)`qAi1OMPkdr=|Wh`qWaN8vSb4uSVaR^{vsrX8mjQu~{D*{cP6H zMqiutwb9>Z{cZHQS)UvIZr1Nc-<$Ql(f?-sZ}h=g9~}K~)(=Ntob|=gA7}k>^vPMD z9Q|_EFGt^;_07>gXZ>^Z(ODlI{dCq(M_--w)zM#P{dM%&S)U#KcGho4-<|c{(SK+C zcl6;|A0GX9){jSDp7rI?pJ)Af^yyij9{qaOuSegW_3hEWXZ?Hh@mU`q{e0HXM_-@y z_0ivF{eATLS)U*Me%9|t-=FpU(f?=tfAD~e2LvC;_(1T2j28qy$oN6<gp4NyU&#1E z@P@p0@P^<I8Gi^Kk@1M&6B(ZfUXk&N;1?Oc2%eGgjNls?-w583@s8jh8UF|#lJSt> zBN-nFUXt;W;3pYB37(Sil;A5FUkTol@s{8(8Gi{Llku3~GZ~)=UX$^f;5Qk+37(Vj zoZved-wEE6@t)v68UG0$l<}b8Lm3|mUX<~o;71uh3Z9hlq~J>#Ukcuo@uuKU8Gi~M zmGP+HQyHHMUX}5x;8z*H3Z9kmtl(Q2-wNK9@vh)s8UG3%mhrIQV;LU{UY7B);Aa^> z3!awowBTzQUkl!r@wVV^8Gj2Nm+`pZa~YotUYGH@;CC6n3!azpyx@Bo-wWQC@xI`H z8UG6&nDM~igBc$TUYPO1;D;GM44#<r#Ndk=Uku)u@y6hf8Gj5OneoWrlNp~3UYYUA z;FlS{44#?s%;1|D-wfWF@y_6%8UG9(n(@%!qZuC!UYhaJ;HMcs4W63u)ZnWbUk%=x z@z&t48Gj8PoAKD-vl*WaUYj`p!EZBu8$37Txxsfcz8kzZ<GsOuGyWSqIOD;=hciAL zyg1{<!H+Y396UMW$-$R1z8t(c<ITaJGyWVrI^)s7r!zhsygK96!LKuZ9XvbZ*}=Cn zz8$<f<K4l(GyWYsJmcZP$1^@2ygcLO!Ot^(9y~qc>A}}Cz8<_i<L$xUGyWbtKI8Gh z=QBPZyguXg!S6GEA3Q(f`N8)yz8}0l<Nd+^GY264d*%SduVoHE{NBs~h(DV-0P%Na z4nX|fnFA0%D{}ziXJ-yTe3r}sh|iWe0P$Hf2OvIs<^aTZ$sB<AZkYoR-!*dp;=5-K zKpczA0f=LhIRJ62G6x`zUFHD9vCJHRIJTJs5XU-m0OHtZ4nUlX%mIjVlQ{r!t}+K8 z&RymJ#JS8IfH=3A0}$sra{%JpXAVGI3z-8D*GA?5#I=$+0CDZ)$^rOqkvRZyZDkHX zTx*#F5Z7Mj0K~PJIRJ5OW)47HtC<53*KXzj#I>9`0C8<+4nSP%nFA2le&ztgy^uKo zac^V}K-?>t0}%I4<^aUKlsN!#Z)FZZ+-sQw5cgi@0K~nRIRJ5QW)48ytC<53_ip9@ z#J!w30C8_;4nW-NnFA2_e&ztgT97#au{LB5K&%y+0}yLR<^aT6k~sjewqy=KtTmYf z5Nl870K{69IRLRXWez~BRha`2Yggs~#9Ed)0I{}Z4nVARnFA1OU*-VBT9`Qiu{LH7 zK&+LS0}yLx<^aT6nmGWmwq_1MthJc~5NmJd0K{6HIRLRXXAVHD)tLhjYj@@V#9E#? z0I{}b4nVB+nFA1Of93$hUXVEeu{UH6K<pKn0}y*h<^aTAk~sjew`2}L>@}GK5PMJN z0K{IDIRLRYWez~>Rha`2dspTF#9o#;0I|1a4nXX6nFA1eU*-VBUYI!mu{UN8K<t&7 z0}y*><^aTAnmGWmw`LAN?6tY_?bbdfa{yv5&K!W)n==O>_Ug<5h`l>=0AerC9Dvx{ zGY25{`pf}{y+3mRViw38fS3(32OwsJ%mIkmA#(s?mdG4{m@P5~AZCrs0f^Zna{yu% z$sB-~O)>`{W|hnVh}k7`0AiNO9DtZ@G6x`Loy-A<*(Y-VViw9AfS8Rk2Owsp%mIkm zDRTf~mdYG}n5}Z<S2mxNIRG(xWez~hVwnRFvsvZ<#H^M%05Q8|4nWLunFA2BUFHD9 ztd}_eG5ci>K+J-f0}!)e<^aU3m^lD3J7x|*%#xV{5VK|G0K}}BIRG(xW)48iqL~8_ zvuWl4#H^Y*05QAfm|?O1%mIkmHgf=C*3BG%n0+$`AZFpr0f^Z+a{yvi&K!W4oihg@ zX6eiUh}k-G0Akk89Dta;GY24M@yr2;**tRqVph+U1F+PYnFA2BeC7beY@ay*G3#dz zK+OJ`0}xpta{wY6WDY=Nh0Fnn?2tJCktH$*AhJc~07TZv9Dv9knFA16By#{Fn`90^ zWR=VTi0qO%0Fh-f2OzRd<^V+2$sB;lKA8g$StxS=A{%86KxC!N0f_9BIRKHRG6x{C zRptOh*2)}!$X=NP5Lqm903w@Z4nSnJ%mIk(mLtPq|Cs|2*)DScBI{)iKxDtn0f;P^ zIRKFjGY25DV&(uucFY`r$dZ`@5ZN+w03vH<4nSnj%mIijnmGWGO*01|vTEi4M0U*_ zfXK3$0}$CZa{waiW)47P-^>AsESxz2k&QDyKC*J=07Q1q9DvBunFA2nI&%ObYiABX zWbe!Yh%BBt0Fliz2OzR~<^V)?&m4fr@|gn=**<dsBI{=kKxF^S0f;Uja{!_n$Q*#^ z3Ni;Ex`WICh%O;>0HRyS9DwK=G6x{Khs*(pE+TUPqMOJZfaoeR2OzqO%mIilBXa<v z+sGV%=sGe7Ai9st0f;Una{!_n$sB;_N-_r^x|7TSh%O~_0HRyT9DwLrG6x{Km&^f( zE+%sTqMM1iy<-2F0}$O!<^V*OlQ{s<?PLx>bUm2^5ZzDa07Ms*IRMcOWez}eMVSK- z-BIQMM3<B~0MRXF4nTBGnFA2rQ|16f7nL~x(M@FzKy+1^0}$O+<^V*Ol{o;>ZDkHX zbX}PP5Zzbi07Ms-IRMd(Wez}eWjQ)C>_2k=Hky7#<^V*umN@{?wPg-KbZ?mh5M5m6 z07N&JIRMesWez}ecbNkaU0&t@M7NhY0MYej4nTB&nFA1AVCDcsH<&p9(G_M6Ky-(h z0}x$e<^V*um^lE^HD(S#bdR}u{^*Y@GY25L$;<(Wt}=4~qPxr-fao$a2Ozr5%mIk5 zGjjl<`^+4G=t46GAiB}a0f??Na{!_{%^ZN}QZol2y4B19h^{qr0HS-%9DwLzGY25L z*~|fmt~PT3qPxu;far2F2OzrL%mIk5H*)}@`^_AH=z=o`AiCkq0f??Ra{!_{&K!W~ zk~0S&y5-CPh^{$v0HS-&9DwMeGY25L>C6F$t~zr7qPxx<fatO_2Ozrb%mIk5J97Y{ z`_3GI=)yAxAiD9)0f??Va{!_{&m4g0(lZAjy7kNfh^{?z0HS-(9DwNJGY25L`OE=` zu0C@BqPx!=favlw2Ozrr%mIk5KXU-0`_CMJU;&u}5NsfG0D=`{4nVMj%mE0NkU0Rs z7BUAQSVQIj1bfIFfM5}s0}yN?a{z)>WDY>Ei_8HCmXSFC!8S4nAXrD{00jHU9DraU znFA1PBy#|Qm1GV;u#?OI2$qsL0Krx=2OwBW<^TkH$sB-SF_{ApY$gYz!TvJ`AlOaj z00hg)9DraunFA24CvyOT{bUY6u%OHV2sV^C0Ktkf2O!u{<^Tjs${c`TOPK=@tSNH< zf<0vpK(MIH0SGpgIRL?`G6x{oRptN$%gP*pU|X335UeY60D^sG4nVN5%mD~CmaFGu z_voED0Kv|(=VybZWe&ie9pj&We2x8Q4nVNC%mD}%mpK5z<}wE$SY74-1iQ-|fM9u< z0}yO4a{wA=_RbuDV1Jnd5G*ir0D=u>4nVNN9L%sG*kR@X1WU{ufMAQ60}!k+a{z)p zW)48G$jku<Hkmm9gSKqU9Drb#nFA0kGjjlfZDtNYu+Gc@2=<vd0Kq~t2O!vJ_Iz}( z(#!z}cA7Z=!BR5^AlPc=00e8z9Drc2nFA0kHgf=i&1Mcju-eQ42zHw}0Ksyz=edLJ zW)8rL(;71eAlPr_00axp9DrcMnFA24ICB7k9cRyv2TRTzfY0VPW)48G=F9;I_MABY z!J;z<AlP)~00gVf9DrcgnFA0kJ97YnZD$TZu<pzO2=<*h0KvjD2O!vZ<^TjM&m4eY z=a~Z#EIo4oI{e<4IRL@hGY25pd*%QHi_et<@K<B@e15R{%mD~?pE&@*@-qh@*nZ{! z1nbWnfMEZb0Z{+@%=*`{f4_fL{pYcNf8VTN|NegL-_OJT{e0}-=fVDcKJ4G;#r}PM z?BDmn{(V2}-}lA-eShrV@xcBaAMD@p!u}n<+4b?n{vBWJ-|@!&9e?cK`N002AMD@x z!v38<?BDss{+(a!-}%P=oqz1#^??1mKCpk+3-<5&!Tw!O*uU!w`**!z|E@pm-}Q+7 zyFRgh*DLn#`o;cT&)C1~8~b;?WB;yy?BD%>{kuP~fA>pseg9zp?kDWu{e}Iz->`r8 zANKEl#Qxo%*uVR=xxRm~fA=%?@BYUA-S61H`ycza9$^302khT^f&E)Quz%|b_HTW` z{;fCIzx4<Ew;nau>l5~Gy~6&jU)aC(4EwjfVgJ@U?BDu_{aX*4>-7=)w_alZ)=%u; zdW!v9U$KAdE%tByE$j6d`?o%0|JG~l-};UHThFn7>pS*uy~qBo|7E=&VE^_9?B9NY z{o6mVfBQ*U?=RTD{RaED|6u?2qq5$guz&j%_HX~f{_SVjzx@sSx8Gs^_CM_3eu(|s zAF+S?CH8Os#QyE4*uVW1`?ud>|Mp+(-+qk!+n=$2`!)7&|Hl69=h(mf9s9T6WB>Ml z?B6_q{hJT4fAa$NZ+^i3%@f$a`2zblZ(#rC5A5GOg8iFMuz&Lk_HTZ{{>?Mkzxf9H zH}7Eo<{#|eJcRw5kFbC9683L?!v4)u*nj2#)bkehZ~nsm&12ZV`3(CvuVMe@H|*a$ zhy9!Huz&L&_HX{f{>_8fzxfdRH!ouU=11(`Jc<3AFR_2~CiZXs#Qx2r*uVJ{`!}y* z|K?Zh-#m-`n{Tmy^Dg#p{w?cy82dLLWB=x5?BD#1{hOz;fAcl=Z{EiK&EMF+c^vyU zpJV^#b?o2#j{TeGv48VD_HW+D{>}f`zdV5b%LmxMyny}757@svf&I%D*uT7i{mUQN zzdVBd%O}{syn_A9FWA34gZ;}l*uT7k{mVbtzdVHf%SYJ1yoCMBPuRaah5gG{*uT7m z{mWn2zdVNh%V*fXyoUYDZ`i*)hyBZU*uT7o{mXyYzdVTj%ZJ#%yomkFkJ!IFiT%r$ z*uT7q{mY-&zdVZl%ct1Cyo&wHuh_pli~Y;D*uT76*7+Cvmxs$bA7lUWGWIV&WB>9r z_Ag&!|ME8WFMnhI@;LS{pJV^>I`%KWWB>9z_AlRK|MEWeFaKlz`T*=-KY;z~3$TCv z0rsy?!2b0M*uTC3``15U|N02*Uq4aSeFgTfzrg<W8Q8ym1N+x^VE_6L>|Y;({p&}t ze|-t|uRp>5^(olDeg*s2w_yMJ7wlgjgZ=Aguz!6G_OHLe{`EQ7zkUb%*Y{xm`XB6H zAB6quhp>Nr5%#Y?!v6J1*uQ=W``0&N|N1BFUmu12>!+}PeHHevzrz0YS=hgRtE~Gj z>|g(d{p-W9fBhKtuP?*?^=H_>J`MZVuVMfCHtb*jhW+c~uz&p=_OGwQ{`Gg*zdjH9 z*Y9Ef`abMm|A+nS1F?VoAoi~>#Qyb%*uOpz``0gG|N2JkU;l{x>m#v${Ur9Uuf+cK zm)O5P6Z_Y1V*mP1>|g(h{p&-qfBh)-uP?>^^{3dsKDDg-)m-<j*uVZ2``5=}|N2?% zUtf#;>u<4teJ=K|-^Kp*z1YA07yH)-WB>YL>|bAu{p*jhe|<9cuV2Rg_08D7{u%q% zM`Qo`Y3yHLjs5Gdv44Fw_OIW@{`KA1zy2Hh*N0>O`f==EUyl9j&#`}fI`*$$$Nu&0 z*uVZg*L^(pub;>M_4U}l{vP|+=VSl+ee7S~kNxZav41=O`^N{cf4l(u#}BZ7JOTU1 z7qEZ40sF@va?K;Ke|!S_$1AXZ`~v&OGq8Vr1N+B2uz&mm`^Q7De|!Y{$4jt(`~>^Q zQ?P%01^dTauz&mo`^RIje|!e}$7`^E{095SbFhDW2m8l+uz&mq`^ST@e|!l0$BVFk z{0RHUldyk$3H!&Juz&ms`^TfOe|!r2$E&b^{0jTWv#@`B3;V~ruz&mu`^Uque|!x4 z$IGyP{0#fY)3ASh4g1I2uz&mw`^V$3e|!%6$Lp|v{0{ra^RRz>5Btaauz&my`^N*Z ze|!-8#|yE4{1E%c6S04M5&Op*v48v#`^O`(e|!@A$1Aaa{1W@eGqHbs6Z^+Iv48v% z`^Q7Ee|!}C$4jw){1p4gQ?Y-175m3qv48v(`^RIke|#4E$7`{F{1*GibFqJX7yHM1 zv48v*`^ST^e|#AG$BVIl{22Skld*q%8T-eZv48v-`^TfPe|#GI$E&e_{2Kemv$21C z8~e{3fSP|}|9Cj|kB?*jcscfupJV@cI`)sRWB+(N_K&|~|9Cw1kI!TOcs=%y-(&xH zKK76A=bHCp|J8qLAE5d*zo+&Esz2-RsC|O!@Afll-=O;0K11yzRG-aftbK**v-=LU z&rp3g-_dvVoooN0Iu?#e?MGC{$}y|`iRxH7rnO&D9c#zD_Ajb);hfZdMs=>7v)bRN z&ZTo&`yJJ}cFt@6qq-Jc6SW^wT`R7c+8_DfwG`J>?Uz*7nrp81PpWItHCg*9)wSxH zt^JkiT6Rs>eoJ+&yXI^ErMef~6SW^x-7D^y+MlWJCHGYA*HrhKd#?6xs(aBr>E3jY z*1k@4@4AO;pQpOF-Q%_IQ{DU4fZ7MD)&^^YwZfWF`$N@QVoj<2qH3+N=G6XCwH8^E ztWDOa+E=R9E^AorGgWJwHLmuZs<qD=So=`b+GvfeeW_~gw1!$st*NzNRjsww+}gjY z)?#aN?PpbMwKco;x2m<=nqK=|)mm@Oul=uTFR&-nept0v*fZ=M_K?~qtM(RqOzoRh zdyhS+_R*@n$sSevYSrFl537B)YHzd0)xKM`_t^t$AFkRP?UA)FSM8nl(AuY~_Evjr z?b}s*uRXZ-@v6Pq9$ou-)!uCnuYJC1Z@0(SzF)QXn*nMcu$m3b2(>R*%?@UW+9#}L z3o}OT8&<Q28Km|RtJ%biVpcJ;)c#^M%b00uzp<Ki%sjRKSj|FaqS}wFW+gLI?N3&- zl$ombE2~+{%vJlB)huQvtNqMsRx`8J{$@4Hndxf3vzqnHe6{~s&4Oma+7GQ}MKfdV zk5;p!nX>jvt69^`S^KBhe>IcVerh$Vnpw@RX4u+it!7&@Ztc5Pv#%Mr_F=2p*o<8J zveoQthOT|uYPL3G*S>8vdz-<{;%4&N&#h*4GkfjtR<pdBzV>^oS>McG`@dBdkO^c1 z8KL%ttLz{{)IM>QEo6+^H?FdW3{v~ZRW^}PYG1j^E;3B*GgsM0#;JYhD*MPlwGUlo zBN?gorK{{DL)AWYm91o~+PAK<mkcJ0$z-ybj8^;FRd$o%YM;Bxb~0Y=dso>{2CRMX zDjUj(wJ%;}M;WsA$*XKBW7fWTl|5z9+DEUlsf=3t>Q#1?VQZhg%C<6Y?YmdmR|b}a zt4#bqKfcP!GIQ<EWB*mAuKoHdYs=iVe_v&BnY{M%tE?`w*ZzK$<z@QX@2|4H%wPNe zRTrQWsGkq0x&obn?m&l7KTl9~3p$4Sd4sBZ&_UGCBUIglj-q~Eq3SMl81?fE*nib= z=sI*B_45x^7oroXpO2`z5}ir?{6y8I=v3<GE2^$V=Tbj^QFSponfm#Rs;kl2)X#5J zU5-wte!ipXdUQVZ^B+|gq!X&252?B$ol*V#NYy3jl<Mb8s;)`rR6l=Gbx}I0`uUWq ztI}E3&#zQnmQJgFzNPBAbY8kI9a#N5Ox2C)$aG~ov-<g&s!P+U)z8;dU7OCWe*UKF z;&gKL^Ep*lr?ab{->JGhonHNXPu2D5{Oad_sxD9`SU(?Bb%i>^`uU-%OVlaW&lgo) zqt3B@{;29Ab&~b-NmW;=v#g(As=7>_rfyTmSwHVob)Py=U8qj9em<(|N_D37^HWuq zs#C3>ud2FMovZFu2U|anRdusE+WL8|s=L+U*3WZQ-L8(ee%`C<es#e0^I%mstRt?U z7puBs9di9VS=BA;n03uM=lc0G?7!-yb<;ZP`gyggyVhaX&$CtCwvM}g-mU7sb>Q{$ za8)<1Bd?#AtGaU?di^|I)vfE;>*wvN?p+68KaW>+^E&$adA+K;*WuUC^Htrxj=z51 zuj>9WfckmBiVa`{_49%iJHQa?=LsvefHBn18&>QAgQ%ZJtk?ubQ9rL(u?q~Nex9*n z8yH9Zyko^aFp&Cr$cl|%B=z%>6+6LD>gOr3{}N-VpSP^o3kHM5U@|@a_k3o>YA~Dn z`OS*uU^?~lo!EcHeCp>vD;9(a)z61ktOzrzpC7GQ5~fr?UyA)#%&C6<v|>@1RQ-Hv z#i}r?`uWw0Wno(N^Q{%@!o2F|Un>@diPg`?R;=u_U}p96vlUCLnA-oIudP@c=2ky{ zTd_Dyu6{nZVs)5Z{rqml@-V&n`QD24H3svmpZ~2`ASPHpA6&6Q%&>lbxMGQzV*Pw^ z#Tqfk`uXFEMPicm^T`#f#4PLQmn)WuY1Yp-SF98Bte=0bSSTi1KObGOQp^-P#Zc?# zsVlaMvDVL9SL_vot)IuP*epg{Kd)V}TMV~;p1WeZ7;pW&cg21&;QD#+iVb7L_4DEt zJI0W)WK6k!zPw`1m~;L7dBvjl2qs-WpN{=|UcF-17<T<Ud&Raf?)rK6ihX0?_4Du* z8^_4&=jAJQj-g}en0ozueZ|_J4Cao#WAOF!`2S<`!RYJf^|Ako;n&aeWB;D-$NoL< zkNr1s0P6PvRBnJ@=L&ED>h}j!E&&IidiQ_){grDF4gmMS&#&J{P`L>m!U2fSTR98$ z`wJ?Ufdf##-=K0GH~_)^D+huL!2zh>k5IW19Dw@$36)FXcycQ^0QLJ8D))i|P`{6% zax*>)2O!Q@<!o>_oIfrH2cUkxL*;sK0JtB{KNo}pP`@9daz!`*_4^|#mxKdQzh9zq zO*jDc`zI<Fg#*A%aeZ=CH~{tgD=L@8^~`PI0MzfhsN5G0K>a?9%8lUw)bGov+!+o) z{XUJ#t>FOF@7t){8xBDIK90)G;Q-X{>!{ov4gi<O{mkv*0MzgMsN5e8K>a?D$_?TG z)b9(a+#wD?{XUV(E#d%hjjT7^BMw0QK9b5!+Oy;TTqWxjcZmZ~zt5y{n>YaV`%WtN zi33o-52bRWH~{tgQYv?f15m$D#rj$~R`vT<D))*5P`{6*a<e!9TrKN0cZ&m1zt5#| zyEp*#`(7&divv)<52kX%H~{tgVk&ox1HdJ-pTz!BZ~$VzshqR={WFz|#sR3`PgA*S z9Dw@$HI>W80pPaT-?(lZfcpJ6l?%rKsNauMxpEwU`u#bTOUD7I->*}-b{v5E{X3P5 z#{uBx*`K+3902Z~{hQ0j0jS^aQ@MT|fcpJEl?%uLsNWA%xq=*k`u#!XiOMOg-!D|T zh8%$U{X><D$N{L|PgJ>z9Dw@$Mdq2xX{_IGRJo2E0PdsthYQI8sNaudUaFkQ`u$0j zOUVJ?R+_Ks_bpZKB?o|uX&$TJ&s4dZ9Dw@$O_j^Z0jS^aRJooUfcpJUl?%!NsNWA& zxuP5Z?x^{ZOUePL-!E0UrW}C!{Zo~TY98gLascZ0RaNdP2Y}0Jp5?Z30JyH^-TM7k zl?%%O;KrJd>-S|<?koqOexFw5)^Y&2w&rc_EeD`}A6Mn(ascZ0bye;z2cUkRm-)VO zeCzjpRqihbpne}%<py&A>i30J?l1?SexF$77IOgV_l;HVF$bW2ADMhoIm%pRd4;>o z0f;<PInBZTE617Z%mLs&%RgLbd59a$0jS@XR=Lw0fckxE@>S(n*Y8`a+-nX%u>Z=* z=4NvM>i4x(?luRYexF<Ac5?vg_q|o_HwU18A6(^za{%i1#Z~S&2cUkRT;-N?0P6S6 z$)A;jUcZm7a??2g_5127cbx-Jzt666+c^OB`|c|Doddvymxm)C7aRbtJO`kDe_rL% za{%i1>s78j2cUlcUghF*0J!<`Iai+pz}=VM>-YJ|_m$&czwfVd|2Y8l`v9wF12_Qn z`vUa`)iVV3`vj|J3pfDv`v$9L4>$nz`v|LN6F30%`wI0J)iVtB`wXjR8!89jfA2f2 zo_)}Ncou>KP`@9sdRBr1;MocNiDxM|0QLJ7^)1yi7xnuWt7kDd0QLJBt7kPh0QLJD z|DU~g0lTWa?tSNNt@Q~65s4Z?%%{N{nh*H6XcU>Kh>AuD8cQvai$K64AfT~ss>G<V z*6pUoSZl2sW36>tYoj~y7{fBwq^WgVVy)YHtZ`dUjwQlI<C7A%JK5tm)_=U;;?f;+ z;_gYFbJoN9-uccs*Sx;(xctX|3_O<u4uHk}J;#Gw4>$m-|LD0OZ~#;v(sM=N0FXNZ zenc(_900?YJjcZFCeJxh{YlS7fdioWl%A^s2SD{JJ(mRzfa+U%t_vIh)xY#y7&rjr z#(<BJD+32W^)o$}1`dGgYkIB?901kd1dsEa9M$Ipuk)N8)$jCN9ykDo?|F`o>V10d z4;%p10|g)S93j;U_1qyi0IDbIxkYdQRBzOCkH8<vMS=sM`lOz#1P4I%OFfqf4uI;L zdae^30M$SBTqrmIs*mcqQg8ssodQ3#*gy4DJ+}%Dfa<M!?iCyW)nf&p^&BnLYxUeM zH~{2wf#;Ij1qVR&UOo2<4uI;xdTtmT0M(22+%Y%+sweBYWpDsgZ`O0q-~gx|t>>n} z0Z_eK&s~E9pnA5R+Xe?f^=>`)4Gw_n;ewBQj-2Y{dhQ$?0K?Ngr%v^C!P`COPW5*^ z7Y`1A>hpT89vlGG@AX_hH~^~e>$!e#0960ia{=K1s6Mdg3c>+U{b1q=o>Qp$!k%jg z2SD|QJr@xUfa()_t|A-&i~V~JBe{%l094=Da~<ITsQ$6%Lc#%1ePqv-gae@Z$(~CI z2SD|eJ=YQrfa))ME+!lRi~W0!Cb^n$0La}WexrKM#CJT$Q}v#S|I}~*R1ey7L*W3Z zUbN?q!U0e{Y0oW%1E6}-o_h)hz+(S3@hEar;Q&~?%5zp#znXZK=d`N6wdcCR0U-C4 z_!qgbZ~(}SB|b*3EF1vU&-PqeH~<!3^BmjbI`y_a_ZAKSxwypR$jyZVpnBb&y9)=v z;(0akJk|I1Twgc<s{ie|z;FOmADnoh=M1ZUxaShX0kHU@=NPNrxaS_j0Z=`1&rOB{ zpnBz=y9@_F^~^oD84iHzoqO&x901irCqC*q(yEtE{M2)(RZpGxs^?g%-n!>r!vRn| zcF)a*1E6~Co!o8X0H~h3=XS#ZP`!80{e}aedho=DJx5&i;yrg94uI;(du}-#0M(oK z+;cbpsz>j+>2Ls4uikUl;Q*+fz2~;W0Z_er;@_SFZ}D)?iC2Am;^m$*ulo6(OAiM? z_4Pg19u9!&?-P&roP2WgiO-X(4+lW?`#qN*4uI<Wd#*nm0M-A858yQcs0{!=fLZ}? z0H_@Re}Gy7Z~*MsUSoh-18@MSJpliJS_E(as7(Mrfm#J{0H|F6e}P&CZ~!d!?==pn zbpQu|+6Qm|sD%I@g4zgh0H~D!UxL~RZ~&;K0H1=|3UC0ZwE*9O+6!<1sKo#u!`@8| z2f$+gHGB<fH^2d)mIHhaYCFIIpw<I?4{ATa0iYHHd=MKOH5>qo{nzkCs2$-PfS>yR z0pPVI-~d?czlLvOW3Pq-KrIURDAcBa13;|`_$t({fCE4+3-~P5wtxdbtqb@r)V_cN zKrIaTFx19?17NZL8oms*GvEMFO9MU)wKd=XP-_Fe4YfDm08onqJ`S}x-~iZMt>FMr zy953XwLIVeP}>8354Aqv08sk_{tvZ4-~dn?1b&doiW&|8wL{<lP)h_p5w%6&0GO<) z;TutV1P%bTNK7UX`>){uP^$zE0JTftFHy?`4uHwF8h#VCPT&BT?5p8FQ40kQ0JTxz zM^P&U4gj@N;7?IY1r7kURp0=atgYc&ne46M08onsK9<Sm8V&%pTHtF@y9EvawOrtH zQQHL$0JUD=dr|uZ4gj@a;Db>c1`YtVV&IFJ?x^7aP)i050JUY{mr-j54gj@h;Ga>8 z1`YtVY2c?(s|F4LwQJz7QOgDn0JUx4w^8c`4gj@p;J;A|2Mz$Wap1>MD+dk$wR7Ol zQA-C70JU}C*HLQ+4gj@x;NO`puHgVsn+JX#wR+$HP`d~I9<_Yn08rZpejl}d-~dqj z2mT+mfZza78wh?NwSwRPP&)|zAhm?x09fq5hF@s5hSwaT_7MC-Y7xN!pf(ZwL~0em z0ibpf8~|z=!Dpnl5gY(&9l>{`_7NNaY9Yagq&5;90BR+{mo(d{h67-+{~A6ewUwM- z`HfD^C2B9h0iYHWd`xOH!2zIF6MRi-H^Bj*mJ@tVYCFLJpw<(7PijBG0iYHXd{Amb z!2vK^v4$^7?I<_^7W?;_Qq-1$13;}Q_@>mJf&)M;DmVbtrh)@twrUMu)oj-q4gj^R z-~d?czlPsRtt&VH7W=Q^znU#v!vUZ+7W`PVm1{Tv)XsuGYqoR^2f$+gHT+s?ZNULB z+q;H;OD!%q0MzD!13;}Vui0g_yWjv&%L_g)wY}f~Q0oi6FSWnm08k4IKCs39Yd8SZ z3WEbc?J)Sm)DnXOKy5Mj#nc*u13>LD_{Y>Dg9AWqGWf~VDuV++?K1ew=F8M@0L-_k z;Wtz33=ROb&)`2(3k?ncwb9^5Q!5P)0JYQLPg6?`4gj^);8#;?4GsXc*Wh1U?7xNs zKy5ZS0Mu%O17N;e4S$<jZg2po?FPS_T5oUwsQm{2n_6&i0H_TIKb%@|Z~&+s2Y;Me za&Q1F_Fuy<r`8-C0BX;{Kc^NQ8~|$5!B3}F9UK7jU2FL3)UtyEKy5qt?bN!117N;y z4gZ~5cyIvBH?HBwQ!5X?Jhk)S08mR04uJXAUSp41d+_b4y$1(?T72;F%{Q;%08pzB zzCN}4-~dp|4?aJ&{onvl>kqy^wg2D%Pz#WJ0BQrm0iaeO`2vO=)NlZ(B?t$=u!WlZ z0%{Gy0igCE`3KY@gabfrLh=&~tEk}sP`i-)1!@_>0id=a900>QyyhXb58(h%3z2*X zY9qn{pjIOJ64XwF13)cB@+qjT2nT>#i{x8Sdl3!*wHV3AFl?rV13;}t@-?X42nT># zj^uMt+Yt@`wI0d$p!Opi0BS*!4?=B7H~`d&BwvKuk#GR0B}qOBwI$&IP-~KW6KYSw z0iYHo`6$$;gabgWO7c~xT?q$(T9)LqP}>p?fMH!V`7VZi)o=i)g$W0M+L(rs5&N$V zGb4Ydh67+&n%C5%wk8|^YHgBlL+wpC0Mz0nABWnUZ~&;)NxlxXJK+FO%aeQ_!}e-8 z0Mz;<--p_tZ~&+UN<PqsI{!bY*9s+Hh}xlW0H`HOJ`uG=;Q&x;lzby<k9z)*)gmPy ziQ1%a0H{?;z7n-d;Q&y}lzb+`HfuNl)H;O&K<!iVp9~AF;Q$ymTEhV_th6RyirT4g z04(-jlTSr$RX6~KwbtZYQF|2*0JT`*08pEi{48p<!T~VswkCgzTCQ*asO?IA7qwpD z08smt{4Z+3!U3Q*Ecs!E71wY8s2xlG7`0^K08m?&{4&FuYd8SZo`nNIEm}ALhE3OS z0H{?92Y}kO<gZc777l>L{%i8vsC5emz_9O{{5NXh!U3Q*F8OiP%7p_!?OgKbsHF=B zfZDp`*HLR14gj@x$-ko(FB|}B^OB!OtzI|))b1sJ&#?R&4gj@%$?v1qFB|}i{nzCG zQ41Ij0JVY152RKw8~}?Q)D}bVTEcJuEVfXSUr4QCH~`chCjXFH#BczpO-z0wwTj^Y zP`jA?MQRzt0id=q`Hj>%h66zDWAY!Vg$xJ4Vk0&Ak<?0t13>L$@+YaK3<tns|6XI+ zVl6cs0BSFje@QK7H~<!#@fyt*tEtJ?q;@kL0BSjt&uOup8V-Qvd)9COsQnBFz+(S3 z`JmK>h67-+|C)SJYDdEXuvk(}J}I@O;Q&x;8V&%pr{Mrt?7t=-mD<#B0H{?>zN*Ep zYB&JYvL>IE+SYIYsC7-gtHr)*H~<#=ugQnC*jVlPu}5}lW?Ss6h67-+wE7myr#<SN zPK|A9ZIf?H?QJ*!7K^LN$E7wm8~}^`*W~M3?5>6bKrL_bd8zFU2jKEYIyJwk{S61e zV*fSyz|;nZ17NYjntWkuhr<D|*ndqvvBef^H~`cdC*Rm&k2M?si~ZN+BU@~;h6C{V zLpn9fsa*~Sz+(S3`OMTdhXY`-|C)SfYM;Xau-Jc1KD5O~Yd8QFE3L_wrgl0U0E?y8 zZ~!c}T9aRGvDO+6fW`i6@~^4I4hO(u|26s97OSn{09fq5CV!h+?r;F8?M{BT#d>Qv z02ceLEe7nh;NbvR?7t>I++xKw8~}?Q*W`~|?7xNs@QT%zhXY`-|C)Sri#^wH04(-j zlaFq(=^74z#j3q#y~X}(^4BevUBdyOwmtdn7VGw!_ZIuF$$z(4cnt@@V*fSy@fItu z;Q(0d-)rbw?7xNsFw<)5!vV0^e@(u<#olW;0G5wmI|smO^OK)%vHBVgfaULd4S$Q} zdrg0f?R$-XYW>3jp!Prc|5|^H_CJOi|HS%reCiHx-YL2s>J)ID#O}F%>KbrgQ*^&m z^c<*<!1JMQ0?&<DJkOIl3p{6H?>zrw^<Jpg!26<(1MiXg4!mDt>b&=3H6DneGft=< z!T6!B1mo&hjkjYp4yjMU_@r(H<MvpMXJX)tbN|0DsDGh(LA?y-3w1P@N5r<7U&m_R z5zA&iQm2D?O8pMzZ~A}hHQ$L*BL}Dtf_$KE2y%m1H1cGs<P7ykkU!KVK`u>|yqYRG zMtu|H+f>Ot>Y*SHi6J8=sh@)Uq^=5bm3k}4Tk5bNhpEqkd?qH0+$I)`Jg3eJa-RAx z$bag>pckkYgT9z5JwkmM^b2)o&^uG5kEm0Fo+5UO{-Ul8dX0KF=sRMx=t1h^pdYE5 zgWjZ`4*HZjJLp;J@1TFF%Y$Af){4HSjt_dA`abA)VyftU>H%R75JSaIm@4~$m??Gz z^@gxFrpgW>Hi~^h-6HH3>KS3rOqHEO{Uhuj>LOtmQ7;L5X{zj~sj{z#X<~N~%fucd zhKZd<>=OHpm?d@{^`5Zzh*4q(QXdNYkeDQPBlV=PC#f@qojFzZCv~Z?OQ~0dy-FP` z>{w!p*tb(<_fiiFdzd;|*vZt-!hW7AyPA4i*xS_M!VaG*`<$2{b~~{^?0I5<*!k4| z!v3EszkpaD{=!uG5!4sMzo70Ieh0BU{1NJu;ipi)4F83?X81M4>hO1{gN7e8RsPXb z`AyVQ!=EAsho3c7{?}CbWyIR>*NCy<$5G!6|8A=MKI*~Y4^k%%Kau)z_>aWQ@GGe| zhrdZ3I{Z-T)8U^^mETG|JN#K<VEDPzzr+6}=7nFJ{=aMa(bU(&zozaUemC{_@W-js zho4UUKKys;`r+3TtHR%(Djb0NfZzkfq`(cRCkUQE3<{iq`h(yP)FlL$pk5((MJ*h| z|8GO;8w&TJ9wK-MbrQizsGkUaLd*zUg?fwNE!1HIhw=ZLkr<J1n_74dbsoWaYT-ZB zg#;I(UL<%CbtJ)&{Qs8p7*7XxB9;RlRST!0ekJ%-EnKS>-bEcua4_m)f{ziC0XHKS z1D-|<2Aqx93;0_tT&@;gM;%XaJnDOb?-5f0_oE&tcp!B`!3l|-fFBYw0av8nD0m|= z5^zZBlY&nY69KoRo+)@Hbxy%KsecOoNnKQMQR=0FmlERuN2R_h_$qZ*!Ch<NvD9e= zr=@-?_$@IDa9v^*;Jw5sz=5d`3qDNU*qXYr!jq{p3(i~%e<tPtE=|2!@M`MVf@4$P z7JQq!x8UB?!vznog_9FI06(X$F1R}NcEQ`J!wU{y3!f(@0B%n`U+{eYKYob?2>%E3 z_jQUF_`du`@dZB?$5cGR&&4?vzW}rMYvS6tMy{1>=GwUj?uC2e-nd7_S-{@?8SpH4 zCSGgTFn696&x~isGgREipDoW=aUy^AyaV0^?}S>pyd&Nf?~HfHJ5=1t-!1Q0aV~%N zi~+^Pd~7gA6i4&1!x&QB&BqpFOmR9NdyGNF^?YnHMimG2vC9}{EHkF5Mavkc1}$S= z@kgHv%n8LSeXcNP6yNl@#GFz*6b#wtoZ_co#y%$%Zv`W+!HN~1^|{QPRy^0|I&)s} zUzY{Q1jUQNcwJ^FzU;CDnSyLV#wbqhvIiN2EJ7wBn~+h|XhmiryTE9v$%;%vwjtw? zb;vwqA2LvJd6$jINX7A8b|OQmsftWRwjyK26L8s!3>L4zWiv8bJOr2B$Z%?+BGajb z%Kv8|o`cJNbbxpft{c!1;!(KnK!=ET;kpGKBc6uq9(0g+9j=?uQR0EP?m~x&H{!Yt z9Vea%*rV$}bRjxXd=)T8*O}tCxGqJfiVx$u7M+XkMF*pc(aGZ5xUNQLqr1`J)C5JR zi_ZfF=sF+Wj}0JRklO~>2;vce?YRvh-jUlD*cjp|x$S`sf-Qngf^CA0LXA#r7V)Fp zmcgdMw!y~1*1_g6?9FW;Y$0qSY$I$W@wnV}!iEy>%WW%cEb+wL_QD3k7Q-eJ-^^__ zY&L3RV#84r6Ppg(4jT_!51S9$4;xUtIJXV45wR7q8O5&y({h^<+Y%d7JUy^0w?W10 zbK4XfRXjkqUEPM&|4WH&i;XLuq1(RLz~UvkZH$d99;4gN*wEP0*wo@fx~+}PE&in2 z;@ITkTe_`|%`Sc>n2_7_;&ZyKkIgUsr~3l<1mcUjuYk`WeyRHs_!Q!!y03xHA^xiS zBKRcYyMocU&mw*-n2h^0)MCWP!Pmj(!S}%jqUIt#k@&jqE8#QYJK;l#_Y0OnO+_#i z|9=$mz3{>C#qi0*H|GB#bDvH8WcTIp>G19F@u-1_&xh{^1|nWGScm(F_=@<9_>TCH z)HKAWq?RE*CcY*<C%z{>sCeCA6&)W{|4*U#;qJ@g(~3_H2H`$0zArwoc<JsN<0IoM z<1^zs<3o%04wm3Pws`XHd*g$PR}WU;K03ZSKD%K9?!$|>4;J7)e*8ax`2Ju3@&EoQ zU%)X0FaWRxFaYHpI2Hj007d}@V7@;!{2bE&0|4s)15h4>V<TL@@+KTh;r_r_zyQEr zxPLGiFaWR`FaR(do)_2-7yy`$V*vX9^uUO~0F*!Cm=f<p`6iA*@xGLw;+PfhQ~4~8 zae)CS|HUye#)I-@97AKgfUSW6#Q$pt76%5PJRQgG7=Oy^ajcK=2?huTp!^`m3>nX0 ziC_TAGji;a@vpoj$10g0HeWV^0f23S0Vwauu~0AoFjD3l*eMtQm@4xTtQ8CZ43_x{ zHVXy-X3KmA%LM}f<7K{s{el622_p}{iopQDkih`JmcanPoRKGB(O>{z)W{pKYcK#X zZR8PHHyD7)E62i>ABQ}1>>Ml|`3A-g1_1Vs`~#B*0|2W>K7!#p2GGgxbIczMK>2|9 z{vInJb^r!IOaTl)`Gy{Y00SU40R}+K0(q`{M&$iQFaYI0dQ1d;pnOS>p`b66Ux_}U zwi)`xV=}~O&^N?x&_Bd<zyOr*=`kQM0Of~z%m@rX`J^6WLf<L>)MHZULt<6vN9D14 zYzquPd9NM|L%%9d)?;VrUt(%t0L0qR&&1%s0Eo?j0T8nT10a@%ekaBU2B7?3j|qYS z5Gw=&P#&?z7O@|cckHo9FaTnd*c-$yu|J4uVvi8(1Op%jioHT?6byivDHs5;R4@Ru zcRbcg>=g`vm@F6ov0CgSVz^)c#CEZti1~s65DUh>B1Q}bK<pU%i<mMP0I_E5Gh)zS z0K}%T--ua*0T9c^z9YsB2B7?R>_Lx>D_`DY=-7+I*0CRnxq|@^i^sksMh^x+>>m4* zm_8VQ*{2@!R~-Q724KI!82|%NJptz!fB~rffO8VChgGk@ISkm#s&C+&2kdFpLvW4+ z_O|LLV2?YuLiHA$gMqycHv^6aoDDDlxEx>ra6GX0;eLPtzzM-0fGYw90EYyB0d5H# z6F4XM6L3+$0N|*A0l-}W1Ax;41_0Lu3;+%c{tDa}FaY!YojU`U1`I%THt>I(gQL0} z&eg#`QXLQH_TWFk`2hof3xt0KM+gi6?hyVLoFXs)xJLMAaFD<NRG-8-OJD%1XM(@y zTqn3s_<wMszyRP%;UB`G0s~Ne73W;xPpTe^bF}a`Rlfy))VW=%_kzFbTrky#!Jl>R znCi(m#|(d0^=I&hotvh5HO^tfUsin^=e*%hs~(PX<iG&n&Vd0?GYEeit{oTv96bDW zxOrdz)C9txhsy^B0LKrmpX&eM`#U#~T0dX_wr?A}fZ9G_0Cuc4cmlP2zyR!AZSV%w zFT(eCZX;YrFaW#OHW&chNH74qmo^vxTuLy2_<wj*e+l2;xta0*?ZDv#12Es;IiB(V z>Zl%+b40-a;Esa7*t=+h0l+l{1F(111_OYb3I;$;954WTw{7qpYT$qY*t>TQtm<N= z|L+D4Ef@gYTJR$qOKmU!8(VGgB{;fZ05<m8;7`=70RynH*#@720}KXWW48@{WxjtK z48X>=bBf^@g8``im~)cB!{91|0Z?NGyo}m1U;s9k+Tdx_k^uvth71@0wPU~ls2KwW zK&==s0BXcI2GFU`2A<aj&!ZL$7=X?7Hh3SkU%&uN7PP?wsr3Q|V6vhO2B7+K>Hl?s ziw*`5|BnmYb?`@%MQtzuxb9#8CaatauljiS{?46;OAiKMvaSsV0QVjY08Ty_09<|W zQPuHF|Gx^He=q=Y0l)xE*0#X_O!l_HU#Xb_24J$e4L(ba6fgjj-EHt&ljWYfpn8U$ z;{XOg?gRL*>4G*Gfa!)d_%Jn2zyM5lw84+5X#xgdx~1*-a;Hus_;VZlnVKbF0H&MT z;M3G70Ru4I)ds(&CJ7jT>9#ibHZ@4V0H{3z20+abFaT<efB~4UY=Z$%TLcWibZHwr zom?0&0MoT?@OIO^Z7=}S#cePE)!R(}Pl)O6HW+~U{+_#|dY<_HZ7=|Gf4~4#7u0iw zh!2oM1O`BD4=?~~dVm3#ZP6yaK#md^fY}~x;t%9BfdQCp(gp({2MP>8^-<IR%VD-n z8w|i~oi-SN>aXJadu|rFT3`U=aDf4k+eQ3Dbzjr}r$LSw7=YPcZQ?Ixi?zW3RBzUE z(1_QNn+678ww&j#k;?`KV76Wx41nA>FaWa!JvWYAIpRZRE4IM^$gKkdAm<JYfLuH< z0CM!e0H|F724L~1HW&c8e#EEDR&9d;P@97I6*Vb{XPIs5Ifc}q00S`Fw@v(uniF6E zW*d9XqUso@|6hWfM=$_tNq_;Et=%TxM(!jSfZ5`0;&If900S^vy-mE1+7Ms>)Pw*7 zpcVuefZ6(O;(ccOx4{6+7ibd?Bv%v+z<h<a`3%Gl+h73ZOSFk6Qp*7hz<iB1@kVMl zfB~2<(k32Btp+dvYBYcWP@4e^z<e3coi*Rab85}^Z-W7t@6!eYASV|LfLvWL0P~gF z#7oKT1p`ps@AUsEkRuERV7`~<5S#Dc1_LnP%yW({UhBEY<R*gwm@n5Ro@>5;8w|jF zJ<oMk{d3QW1_MyNbbNo$r8eKc4F+Joq~~6fiwy=~zGfQ?fZS~`0P{sXw_EkzJqMh4 zwfU}|BW}KIn|QYQwrwx~Y7l?{nD6U3=;jNz!2rxRZWA9j-@gq8V7_x341k<>FaYzd z+h73H5C8)(-@8rx-F)#j7=Zca)C|D)_gs8(^T7bjm-pO#i|>0*zs37K*Pq;f5CHYR zuI*pf{`mg<9^L`RgO9-R@%=d;zCY(rb^CRFkLr5iM{xb{Cb%E?6x?5`!>{|t_vd-w z`}2HKoqat&e1CH5;e)i{gXnp~AL04KE8%^7L+=M33hyh`$JhJ(hTbncmo_{Xy?=Ny zj0gBKjE_|3UgHPe4dV&lpYfII*lYZyy7lJ!le3QR-v$HF_)T@`HNH1$yu&|Y{KHFP zKEPLEe&G8vU-12zKN~flQayRiH++BQAHF~H5#OKriSN&R#rJ3a;`=k7@%@?K`2Ngy ze1GOYzCZE+-yiva?~lB|_eXx<`y)^A{gE&D{>U4Af8-CoKk^9QANhpukGx9t*(J~L z{gH3@{>VFgf8<}PyDs^N?~lCn+CK36Bu`U4b;(<Nf8;N|Kk^v9A@X^n<TbuO@*CeD zd7kQ_OWwnOME-A-K1lV>r7t#0f8hJK!2qOR@cq#@`2Of0e1G&&s#h+3mFkmApQU=_ z(svuB|KO#f5AprckEzbM^yfzDQ~0pxS9r4M+l|t{`2Of)e1G&azCZdJ-yi*r?~gvm z_iuv%NZ-T%MgMP<J%I1u1_O}2km`F|ETIhsAo~K}AA1AeANvE}AA1DfANvH~AA1Gg z-)p4Fp27FWzQOm$-of|B{=xUh9>Vv>KEn6MUc&dse!}<1p2GLXzQXs%-op3C{=)Zf zg8|4s!}rHt!}rI2!}rIY!}rI&!}rJDOZBg#?se3=mc5AYkNt@6k3EU+k9~>nkG+ZS zkNt`7k3EX-kA0fzRGaVLCO<&-Y^p~sdzbtJ>|gQ{u!mE<Y1zxEzO?LV@*%LV$&<j| zPW7XsZnW(4R3}>YJH9{mJib5nJ-$EoKE6NpKfXWy0KPx|0lq)}LaOg9e*)hh{{r71 ze*@ni{{!D2e+1tj{{-J3e+Azk{{`P4e+J(l|Asu9HW+~XpSJv=w)`XVc<`4}eP#Jm z`2P4;`2P4?`2P4``2P4~`2P53`2P57sXns&xl|8X{vN(R{vW<S{vf_T{vp0U{v!ER z_>bgW;ZL^ZUy`SVznSV6N8Muir}+MDFaY_l`2P5_sUETXUGmS!LB#iOg8|4tCXWq& znfx~VXY$_gr&B#)`P=yZZ7=}&<M{sg=lK5k>-hfo@A&@s^Qj)N{C%(e3kD!O0N>yD z5nkZG<ru;f{MejBc!QstYlynN!YBM%xn|)PelOgU@D0CL?pgQ;zCXElsot*e5`2Gu zhQd?Y!dKeDTk!q;9q=xAC&Fj^UGdI@-}t-aoeJOacg;H&{?is7)Q)<$<hU_rgdh1> zVoV8N^0CI46aM65kufQJ3f~{R3g6$yu<$H=e;?z*yV}CPd@e92gpc`LVa^CY^SQ*F z629hhjX5X$&F3O>QurLcKX@Ja**=Gb=lR@bjtlSexsMDG9_X?G86mtd)psSQ%kV^e zf3Ha|yb<5uWe~CmnIwD?-=AD9^5b2GMO{|mn=b2+dBQ*O{oBYy;iGNgr7k;>p~6#L zwjyJNx4P^_1`CgM*^G=9UhA?O86I^}qaLd8UVMMo0m6e_H=rYg7rX92hX_x`_jes5 zyxDaRI!Ji5>n3!R@M_mx=rG~guG`RY!n<Afp#z17yKY2B3NLrvi4GN>?z$BnE4<xx zFFIIwe4AV$bad1SB{v8RAnJk&-^cfNoiF^qt$2Xj2G|IS7r5<!4WW2~+ZNauiZ|f< zyA7gvgxe<AD2i9K$<4uriMpJMZ@8_4%@g%Eqwc2SBl!O0+Ti=U4Mk23HdWNqRJ_G) zFKjSuF>Er$XWUl9W>fqI-+v=Eo#H$A{%-Rr{)6vNJP6<4ZA8V3+;+r<jJlSJFS)IW z&8hekzCZCOe1Er5$x*>(#ddWJpcBu+_b0xE@9#FR;$LnHV-qVr)>gcX`bKU;V@qRG zE57EoHa557Z*GfYlPf;wwmLSu;&*P#W78|X=e9mJzv6%H3*ZwdKIpyzK7-<i?n~fP zD8A^v20n-4kNEz?Bk}#+M^U`eeHVNf#WUTv!N*a&(|sR&AjL!R{fUp_`@7Gi_^JC+ z_*79(QSnxMfB!$k6pwY^3?EJLT6}-vxA^|<(<#2|z8*fG;=k?-;uA)_!>Dtp__6zv z_>_t-yRV7QsrWO#zx$;4rtYJ5;??;6#IN!F-KSN2+kIVp-m#tdH@?67#Q4Ve$cmS{ z?~D(vcsjm6@pXKE_qp-C@xc|3ci$WzUGaMN-SOec3Bae1dVo;}kl;TUfcOB84S)fN zFW}e#zZajtu?3C~)&K@z=XVT3d<4fPxIXa}9J}E9!7{)Az&5x)@f{rd00R&o!m$xB z0I(7;6Y(eT{X3XShhO1X3m5>{3mAa-7>><=0f?{R*bNwt_#C`n$9Tl|;Qhn@a4d-N z05${$09FKMB>sqFNniltmpIl0<|O`!V^LsI;-@fP9kUXD#jz|fE%93%>jDE1|HZK| zFaYsm94i9@5P!z8G%x`1YaDB1zJa}g0f5CZAHn9p0Kn>)uV8mz0AP8{XRtjm0I)vh zJJ=r>09YXM0BjHpKzt#`4#5Dx5|Jlhi(mj?jmR6YM=$`eNaPXNBp3i#CGraHKlRzf zXL4*43_yG*$3DRT#D{Wh6!{2N>KK4wr^rw7sT^AcV-?@Zu~#qv@v$761p^RY%duPJ zH&`wh0N5@VulQb${el6A59Zh~7_s<bjva#mfF+|(#4mHK8GQrxjQ#<O1_OZmPrWkn z)f~G9!xo>-v28GJ@!imWQ^5elhjVNk3;^ywb;iV>b1WSUK>Rw#+Q9(8-oXIC;=usG z=F!h!^<V(v@1f5f(+Ar}zl-nZ*gqJ6_<;ES<N$cA01QC<LF@^SDTrU_u?8>zVh`9K z#3H}|#832C1sH(%iyq4W0}#K_V;x`s;y-#U1Pp-K2=<ZLOCB>Jc7pvxECmdJ*b4R) zu@*1@VlUWV;$wPj1`L2$4Hy8i8|*h?IbZ<9cChb=^?(5o`ymD-K4_|UCBCS~j<6qz zC4m7DTf)91)&vGX><RmmSQHolu_^3R@l~;3J%%MdtH-v8af$Ehu`e(H@nJnSMvP2+ zS?p){vmQ&so+h>i1|Ytz$KHs+iI3~CIWT~z6D9tx$MV1c#P9W39~gl6za9$&0}wyh zV})P<;tzLXiRMobTLc3T-`Hc1U;yGHdu$R6fLJB|3b9Kt0AiW=GsHH*0El(s?}-1L z>Mn^N?XglY0Ai=aP{pVA*eV!+_|_hK1p^Qt+hem}0OtF9%$C?KF<kMv@$WpwE55hK ze!&352lv=87=ZZV9y<mD5TD#*%U}TFn|th;7_|84_@`d4NPKmVU4sD-%LW4wzujZq z_`Bl2;}3gGT>N;CmE$iHI|l<0pWb8Z#Ms5R$N%;iJh6B%0P*uZRu2Xs{=UcZ!2rbX z_gFs|K-B+%3jhWX^?u+AfC0cA06&0B00scJ0DJ+i0T=+>1MmmsBcysga23ES;QoUF z*uB)?8Om<}@2J5$qW+FO3+F^cy&bs!U;y^)$N@-ob(CL`>gXu{0^i>`8F2r>0N`qX z*TDS;1Axl`o&&c73;?bNcn{nUFo39g6ZLN3ihu#Y{Raau-@gV=f?EOx0M`V(3GN9P z09+LCD7YzL0B}{n0N}2G0l@tS1AyBC2B3Tw@UI#S0Pa6{7~B{z0Glf{cp2OoFaVoN zHFz4_8ZZF3HsEb=Z@>WH;(*7&%>e^|tK%4e>chb00Rw>B1HK2>2Mhr25BMKkATR*9 zLEwWXD{3%+sPCeDqEyc%>bNNX2;bj1NpSzc08CanXDRBlz-0mhP<|75XARy7_a6)Z zE)*Dm@}rz91qJ|j3j8$cs+er8!B^p0fdQE8t-)X6Vu1meY_7p);c9^anCz~>Z{c!z zT@=+ri8?6C|8g!E7=Y=98hjY87#M)*jvD;fbV&^c0JjVbAnKTy?y13_;i7>7m~N`U zr{SuB0hsP`4x94XoZAMz4c83}0PY+3H{5?P0Jw4B<EATXFaWr7aOmLDfdRm+17C-0 z2L@oew+4SVU0j0!z|8{#fcp;y0Cx`zz;wBD`r!6~0Vv-O{NFi%$_I3AAn^g^3p#g@ z_yJr(FaWbHYT^rU4Z#559)bbD{Rabpn@D^D?mrj++(j^esLKJj5exvXBk>O9Kc>1H z%8yKSHk3b^>S{zi4Y-zI0A_pD#9z!7tHA)GUItuE;x%yp!2sZLf&nPM6W`xCpK$-d z0L=GyPN?!johu3kV76mT{7Ctv#Fw073fGi)6WmiU0Oh0N`#VP!t|}OSbN>wka4xH1 z0A|}d$2ICuz<mV+fD21J3~nqKK-8It`VwYKJEs<IEf|2=+BNYuxVK;cW{cOv<KX6k z0hp~`6R(523kCp}mv|oBUN8W&^=smNX8YG*0OkwS!~@|5g8`VYP@B)-++i>P^CfEH ziExX-0L<5@i8sPM1_Ll(#5u`Pufcp3=PbirCVpwYObrGAx0(2+`8qWi0NiKdpK$-d z0N_T00l<|815p07bE%1^D!)3_QGk052B3Uw=VlY1HD9d;1Aw~?1^|~E48VLl=Xjg1 zR}=4r`ws@7d~o8!&JnkGv2(_iKTbTkCY}uU9}EDlIq_z=|6l;-i;@F??_YxfM4bZj z{hh-OmmLhid|T(Z!*wU#4fh=kz~cYTi8tT41_Ll(xdsC;-?=7!9(4uGx30keqK*LE zdoTd=#cMDC^UZ7G^A@kK!2ry6uQyoy-h6-O^qX%_4#3xy@BekU|HS{b{uu4=IRx+s zbo`Xpuj|2w=Q=%i!LA=(1NW8k_4OR^&3Qh@>ba$S{K&)C^T+4ry`+45!*FVRZN1-P z_1--f1fN>t#B)dRp*5~jzI^1#YkcApGj8#PYkXpjb9`UMKRz$>0=^9M1s|7rgm26I z!lz~4;mdkXj^-)+9p*2*9_BT^s^|Pj4osDN@SGudLy{*c4_)#n<(*4j!DFiNH6`Ct zUb*C9$|INjOnKvyx1NKAuPFJ9Pl()x&sF0S!U4w@l>AS5-_jTG$UK)!`UTz@dIvrl z`UsvHdJ6s;`U{_}#&?sx!$(68;+vr#;mx5p@x{=m_+aQ+d@nfIDeqeP8XpTij&Fs2 z$EQN?PnA88@~CA$q`Ya_8=ixRuO#~<<weV$sb%NXvVY)3Vi%=+XW3EkE3vQOU1E3P z%V3Y;!(gY?k-sdv4qpX(4<0CXApB75LwKXujrbzilPM2b_9whl>{8EFg|8|*Hsu$~ z?u8GFJ)H80BY#+SHNFD&cFGf$eGYFIyS<h@Uq>Er<p0Vqz}EMD<VRrJ<6n5rF?qf6 zM^YZI{Fjus%e8Th@`K<<`#r$P<({}VY;x|Edp6q}4sOc3mA~fCif6{N;~DZSd8YCQ zv7zD8VmtdgkYDNVhIhof;+^sCc!%;^Q$DQxTx?$-1M-XE%X`jz<hjCaWemyhPWh~n z#|n3qF$iZB+g1L)k5$I3@BtsojA^*2jPb}r75?CJfjJ?(!siNeM)-!$CFYdy5T9$z zIpHTh7nzg7TYRoEXNAxBTxL!S&%p-tIS=;}86aH9WdkxoI1;v<%MjsC*m7Qz0uCp( zT;y*?-X>g4WR!3)Y%`Z(!p*S7;AE0#<1!EKB{ERB9JZFrNMt3p7Tii?D4a@UDqKor zEV34vi|j=Po6Q7wGUZDOhjiJE3>R+cvK<*OoYQ4LIzYIn>jrd$a8zs?@YOo<8imJV z!??~7ev8fGI!Smhd0?KW82O39jj=^s#|dY~_VE0}$UBUDLpX-$OyS$u6rNWY`Gn|N zbS}CV8$!4`wu0vi!V$z)5I&Dh;5yxG0nZ1FJV10mHbCV4VJlz*U_)R_*zbuixXr=w zutl%|uu-r9uw6L6;u>!2VDl&r;<gbsfZ`@8A20Io6n}A>3>!f48g#$ga*FTpJl*zF zJjiWCYyfOWY)EWMYyfOcY)-|Y+&1O?VY6ZbD4yjuE;fMTUv3j)11MhRHZ(SX;%jbm zV*^A!o8okCyEFb2*JFIT%^!JciVwQaz<9=&zy?s9(R~lbf8?DhUWx8^Uk2X>8zAz^ zBA+bs$RdACaaH%VumSMF@Wm9Lb)O9z0AG&zjgN;7p!l!*g!qPv7rPIMyui1_2EgY; zp5TjO1K^_~Z}45Q0q|*&M{u>U0q}v5SNO)*0E(NtFO3a=kBz)j{M~Dml9!LKj(o(2 zM_%IFV*}vxBTvBsumK{EO8kM8Hx>C(U=UytkslR#QIQV?#(@n0_JIumCV~wR`A*_d zIJScR5bwgV81xGm4K{%I8;<FqkHC7c0mK7wYzX}&-iTvK*Z`4-B>stGQs_hRRUE@Y zUq*gW<Q0hzgTD3J)Z)*ej~!bBYr_VJJRz_-^f#CtHUL;2Hh_3ODgP((e&G6G1HkdY z2C&~c#u#}$V3F7Wk;fDHJCV0zy5BL+$kU1Z9J?3CPQ|A}_qVYDz+SNd40m&E7OWN< z01Ov<$(~&s8vx7~8vraA8^E45TpDzL8yf&j85_XfO&j|R3>q82-d!6TK)f~VJIA!) zz+eNweZdBR^MVZ!`7V*?0=A9~Al{v0@nG_i#{zbb4G?)Na8<AYY^=7iS8eRJu>ou> zx3On!Y<o;0@=zlG#O6X9dze@SHUKdU>}6sb*Z?+{+St>?La+gdkzjAb9l-{$x!A@Y zhbw{&U~{#Ny-sWf8^Gpro7hd{gNWye?)MlFF(GUKxE}Zi#E`H7#4p94@YoYv4r~DN zR6TZu4G?)7#JaEnh=E}P5F5h=h`bD9Y1jafhhehMV{nmoL97lNfEXS&0I@x60AhaF z0K@{Z0f-S|1DNb>V*?OV#0DVNNX(HKBsKuCN&Girme>HqGV$+-abg3Q?r-D&5fjA* z5MSD3sMr9eJ3Pi3c@5%Yqx(HpEB-dRzl{wL`3mBJr~Cx-XFYZt`3S_Au>r(C_n0&` zK;#<`!^Q?6wv7!S-h0X?Fx~I5a$@J$0L0X>0f@C@0}zA927nuY4M5Bu8-Q3oHUKex zYye{aSODsOUE9(9?2qo}_s%&0chK?C{hSZo&-oK?AFczO2VF1t2-gp8!u=#Ze(>;h z|A}`We0x1F=XPKN1g}2$^m^WjKd<+Z`0{#R;8VOmbblKgK<^ivi}wxw#rp>rV>~3j zyT%Ln8sjJN+BLosk6q&rT#xaH?q_^D2M8NL;}_hJ@r>?gd?y~d#y_~EbCWbb(EV*} z0L`DoE7$x=Jo4a=Yd$*H3cOYG6?~Q$F}N-B8QstPM)xz{!GD?miT5q};2bh=WXTV7 zKk@|Kk9<M*BX7|C$RBh+@(A6Jd_wmluh9L-FXzl*14zE1`;m8ve;vGQ$wzcQ@)F&T z{6zO7Pd7@w5+`u3pyY4jO)K9J-S1pP$#3T@Vgm#pTJj#<kNi)(XX%H;bC&)f?t(rc z9)o^C_oHvx!C#g>LieMehy$Uoh!3H^5-(Z$E%A`0|A<SW4-?;5`ZDp0gI6s5ns~&) z9}eEI^mF0~OMj#L(dX!X^n2m~EC070ykFS|zAwL#{XpE&k16{i@pyy3D|-ap?|j|h z=>|VHc)7A~{9d_d*+1xhe<sA*ct*09{MqpgWl#CD<rx!0<C)9;@^`^I;ob0#WUrz7 ziIF8<Z17=a@A<oD49Ff#eAnQ)2ESGIWa6_1k5%@kk446$>{B1Bj9J;QK9(8NvTxxT zY-G&K{`I-QoFLZ39Fe{3bB8%3d)ns~b4>QO&pqa#>~Wu)%u(6v=zgEW%w=o<+4t}~ ze9i~|Q~rR<24n=X0+}KI0p0I11=)fP5Ij!#AMjdSCIw$p{tCL^WtjXKbie1+1P?R# zm%+P~f8??fnJNDX-S0A0{*}vGWG=B2WU%}(bieZ@iIHFf$bUok6B|MIyNpNHBlG3| zxh_B_$Uk&lfzCj8phJS!DE|`OkH4Ati^MF@N%Bt<Pf`9Wy5Doh<lmzEUFXUFO}xY4 z8_HjH-H8qjUSaSFgGVU;+jTKIS^hb?-*vY9ch}|UbkqI#_vn7l^~3qc1`r;Q_<q6* z+;+eQ5T4++1vY^22Dd%10fa{k@b$#g6Mo^g3^su9jl{zf{^7O|Hj(fVx0SE~gr6i{ zo$wX6wRqmbU)&bseF&f7eR(db@EhKz+jL<6*Z{(N-1fr;5FX^VAvU7$BDWo}0fZ;H zZHWyayvc1(#-H#gw@tADuvM{Hg<rWX%Xr4N#Rdo-n((j0I}<*J?suD6_*vqW313S* zGU0EDHzs_}ZFOt_;dgG!GoP{Tncvv@*Z{)+kO$y_$Orck@D-33_zu_r_!8Iv!WZ4w zzy=Whh&*ziMEIopD%b$RFWr~HrxCvCz7FzE_-Eo>2_JP|33-X{gbjc%g*+9$ioA87 zOZaQzO$A>{cx~cG3C~5oyN@Tl*L^?azwqG1cM6`9@MHHSu>tTc(J#WA-S@->5FYKm zDf$Ut6&pbKwfnO8w8FRD*Tv@*{_Van`cU|I;u#4)PrM@G>xoAc{Gs3t37=0qA>sG# z%cIZn?XdxZ2Ne9D;QfFNU<25`HTDA70X6{GKQ@5k3yH@Q{GH(KC_a(?->cx~fMs9< z1RuxlwZ`62`~%(Zm<ZSiHh|(K*iSX~6WBjCfIZt9`wFZD8$j_F$6~O@?A_GZ0AT;v z0AM%R0ErhPK1}dn?A_Pce~JfT9})vdJQu}}97}Q=;LXneHxxV;#h<W89g|Xgs%>Mn z#$E-x!UnLhTw~9IZD9j|bzuVp|HS4(jXexDh7F*2nPX?z&tPfT0AOp_*I;ef0AO#} z-(Ydr0AO?2=U{c%0AP360AP980Ko?d9*E+9js;=^m~5!=4@_3n*Z{%r087LMP<)X* zRp)U8e<OGsicjLNIA*E%rDK`c0E%zo?>OcO_K6J;ybG{V_m9N0Q2Z3#PYl4ZRcrvT zfBY?zy^g^KZ$j}|biZS^VE@<vV7b@;itnQP9rHEWUt<G+1>+Bb{bK_tUX1Q{3^{lW z!DldC<Ct^s7fcs9CLMf*;3)(@!E~8p+QCOqygTs^f_D&n1F(N=0I+lX=in6tpTPWo z$K1i*u>rv1@yEgDu>pc70CtZJ0G5wG54MjDVE(>i{$T(3|5~5o{W?yH@9VrNp0Dfl z*abRV_m$%HdJZW*ujdAy!t+E2d#pvzA6y3P9lVD3mE!Drzu-P#>)=5i6Vf>G*bzEZ z;|jdVV@?`};8Px>(zx|l7CKSmJjK5w?ydO(j>bF!Ut@lOyD{&;<7#x8=4pywYhI(P znC~f0E%^X$=&?h|6LgTr8YO>H+*$Gp9fKUJCEvh3k$WBsMW;wkdh8S(BDtF4#gfBb zTNxc8x$UuBbb{nO_^*GZ7m)S7kMs!jsr(ob*Nu2?#Brs+klB7s(szEXTyw-{r8kkq z9*-5T7};C%3?l9t@mA?^xPcyDmEQMf%QKdp;M@UZsO$=VH@qX+A^z@ohq7Cch1fGG z4l4Txndf6d_EL&-%D$>)ccply>@;MTk3rdWJ~kPnj8(>L#3z*p;bWUI&RA#6gFP|_ zWS9EfV2(tbG2)96SCl=R;)t@JiK{ucM)o#wIOpPk4I(4J1ewz&3w(~73;+xCxsMD$ zCeXL-V16z`*kArdit8bZkV*1WoI3@FC*pSUcT(NUh|fh_PX3h3SY&|wFP?|XX8CI= z&L;nk=jpOP;%V{|Q~XSRCGQ7+llMj5Aamb2hVoleJPaL&43Phu;$G-V#s@kS8GvqO z{GfA@0qA1Jm;Ce;zmi|?x}NbV9KgA)$bg7TMLa6vP}m-f|A;#YuW%a%84&R$Y#!zl zwh%Uva1!_vZbL=fDB?vCClWs69BgC&wj4H{aGn(Z5iZ2s2lGK*c$_EVI}z83cuvG| zB7P%W3;E<WuU)I#!otVgW<~}GPfKwayLY$2Bkq#oEtd0u3=qDT>VVm^Z6jYJjuP<` z;fly-_c_2wkOB7Y+Q@G(5oCb9TlZ-q4ifPXd?I8(#5*F+A$%21gU2<5$D(gMjuG(- z;kxK2_xXear}#v~CGaKDZ}^zV0Gs>ngW{7S1Hc;4j}d2x_(H@LRBr}->%MZt4<c?L zygkJUgwLnAfbe|gzWe(4{?h;S6W(ugA74M=`+@80_zA}k{627dUEenXr`Po-TweE= zaCqH6bD!st@b<vj@vV^odY&8gd=riy_<7*wdOw~sj0^~TT<<gC;d<W*{|?++<Ab@+ zcwz1{eiE*&@s)6FjX&&q$M!Tn6Hcx1>pAeqfWV^zht~LS2kxx-fggjfneb)JC+0r$ z%P~@9K;Xxkj|ndhoH+1d&1dF5^SiD2-VXd%^1!#TPvE?g9|_kDJU4J$$shb$zb45i z=DuU$fzNU;+>_)RezxDU<R5;yWAlNxN?sCMB}X9Ps`yeoW69fupGqDx_mR)ceSc?? z-~KLnr;_gp2MzpF`XJ$*fpZ4FDSeXg%)l}6T^NJXN6dX6qtaJCb{WHgOG>}_SZB-! z{)o?k43K^V$MQKN{h4sZz!L*U4E!)~L+NLa!7*n89}HYj`rYR`GQj5kdSrmjeU}Ng z9s7W}?=nO7L&EiBUnCqa@Vmh60<R04F7Ua)<&bU20NFb(`;Y;$hg>!yBV{k~{9K00 zo^siW43NF$v16Wp;AVlB$zDtOm4S-|9wvJ);a`D!$v$*l!FWM;AOmDix^7{7$=*!( zmF!X1O^naLsRExuml2zieam=v97^_Y!kuIvGxuF*%6@iTiVTo_&3wb&PWVyaMu8Uv zP9*!?bvZIX_I<*E0{;oz2ipMofUSTG2z)1SoxpPf#|iu<aGStuuvL%&fzQaFNq7u3 zg^>Z+KFEN;T@v0RoCVtn84$RNJzKZ20!In_BybaZH*TW^PJ-=*46t`uBhRtzkO6^% z1pXm^FyS46a|FH-xQ6`8gk#v)a~m{p3v7R6fc({jPXsO@|JH3?^qq};w}IskC%hqW zhQJpBR|q^Ia0F~`WI*5sffoc$5cq)n`ELXsfUS?d$M#46YyA}MpZt6sKl$~#p5({t z`jg)t{<@w+^3V0$l0Pn7Df!=eFU&RGSMsy<ev@CV@sN1k8b9t+GIzpX);LW5vBquk zhc(WV|EqbC{N3<#!@t$MOa83pY4TsgZw-GH8<nvd{9Z5v#-`*+@<YS_48Jq{P06w3 zUn-7}{7Gy`#!~o?lB@6`9dijkG5o{u3&S6joKOCr_1*6ae=qzz=@-wjVeExJCq3n3 zmoX52Tlj0?r%691zbyPQyI-Gc;eUnS#av|!gr61sK<WMDPlX>9{*&yA<S)q%N&Zpz zMd1%2;}`?7f0Ex5{!aKg;opQ`6aGy2G2y?2-y(ajMh7qk!aqT0Fb2>ijDhe&!v6@r zBm9l<Gi2W;ze4sf@7{H#J$u)o;Wvc85Pm}V2jLflKM;OE*#GK3s_ppro!Fz*#$XJD zT_5&**zr1lvfIO64?A7gpLi@`kL!Mu{T+6<o{!sbjDfJP^*lG~`6fF$?B}qX^?s6_ z9QLu^C;H55j$z|62EzW;c<^oP6LxOcw_(?YJ*)AC@7m@X!fp+FHSE-g?`u5s?it_7 z4h{QL^8tIseHP&u*f(xphFz)o#k==s9`<9{jbSf_ov8Vn;>ckSYQ87?Px2tebHmP) z{77-wu;;>#ll)0`Ti9!oSIIsLyG-&e#U&;Gcpg3{Bp;KV74bpIQ~UwP1j3FA`$_V+ z9rjY#Ns`|wPe1G-$$Q?v%Yd+Z!rloxC+wTBYr>wf@#b+Q=^w^p%@_!KCG3>2Pr@!i zwlN064hj21`p~f|#z5E^VPAw@A^pm`cbSXqWenJS1UqytJYyj2gs>07E(m)d?10e! zN$+>;iDN_0>-gB<u6uMnNssILlim(}9eP^NC+TH9&!mU-{FB}deXIAF^lRwV(5D(F z;CF6AXj~<Isd1R}qsDE@w+KCm?ZYz&y%+jU^C;=J&}*U3G*1(LsCk|6K*<5OZFvTg z8%ZC99t!;vdMETv=ow@SV?6Xq=#$VRp+7=zguVzpA^D6=;9QB&2cZW-{wKLFc^`6K zzsHVsUpC}<$Z?(DWg5>Q<aNktU4OzcLLP@44*9F+fj{GzM#$NauOU}Mp6Yqyzqt<4 z`$+OK<YdT4y-(L=JcE#fynLR4#sk}(ldJLKx|L@Ta?Rd9Hf{1NLw;#ICVw#GRLG~0 zOCgU!4u$*)xfAjx<c#J|k}DxkLXL#|u=n9ux8`T^J2ZcjTnKp(a)7bV4AA;xwSThP zV}8fH*7YR2I_7W8TitK6dt-j;xuyB2=bY@%n0I<#%wzOknqPYFX+CM3B>OGqP0W{= zCow-XZWG=b^B~5*`rnB0uKkmJ65~3?bByB{zcFrOyv8_<@u~Y^JmK@DaTwz-#+_~V zYm9Lg<4bbSWdYX^<0!^Yj2pe5c8rr4A2BXsJj6JN_aE;)-nWj!^Yw4M*La`0&h-A` zz3G0_d(!i%_1x-sA3Ot&5zk-!^t`oyJDzVm*La>fU$S3xJ;(#}L3&Q{eB!yp^U(cn zjQfxKj{A-KWNxre+*jOB+(%r$`WxeV<2v~<`^0s{^~7~#RaRupEYBKQnYGqu>#Oy} z`sVt4ePeyOzBMhIR!u9WHK*m%8q>;Yt;e#*s>h1Qnvdm=H6AM;YxQQmRd3PT?9F={ zy=8Cfj_i)=j^d8y9r+!NJIXs+^RxNY{9=A{em=i3zntHCIeWQ!xp=wxa{hAT<?`j$ zuxwa0tQgiDmJe$TD~Gi<WE-jt#fIjFd_!YHxuG>Hn^ny!W;JK!vl_F?S*=akrfO5M zsktfN)Yw#RYK_arRpW|r&2jm-#<+4^>w)Zn>Ve{c<^%ZyjR(pHTC1{E)v97ub5*{o zv8r6v+LmprwiVl&+wyIVZRNIBPu5fQ6g|zJyr<Dq_O!0auBommu4!JAU(>jzyry+> zc5-!cadPwI{N%>T<;kt@WZ$X2Q+%iSo%}nE@08zZ9hx0l9a<dPJTyPFacFsH>z?eM z>Yn1B<~{j6jeE*_T1&H~)zV^Vb7{V`v9w&;dM$gcdaZb^`C9&3<F)d&)_&Q3)qcf( z&HeKI8vB*|wQkOCu5K=FZr+^V+_<^Cxiu%7Q_U&nH0R`V8gt4yt>?4ntLKa7o6qOZ zH=Zw_Zyl8#RUK6v)jTRcs&Q0#RO{jF;p*Yy;pW5n!;Oc_hg+9qmsFP&mozWQFKJv- zUeem0ZLhW$+nd|-?Tzi__EwcuRaI2YDz6$<S+%aruB@&su54bJU)i{_ys|Ytn_f*X zrZ=bO(;L&v>8&TSC#olkCz?;>Pc)t=pJ<KFMpvVY(aq8M=*H-BbnC9{uIjGhuI63& zU5&fSyIPB~Mb)BWQFBqgsIjPA)Ot00wR*L9wfSoPYU9=N)z*k?L^Yxq(HxPFXpAUF zv~J99tZpoBY~GmP*toI0v2|8<R&`c!R`aa<tj1a8S*>TYXRBw6XPeLF&o-VdpKVRd zCRP)RiOq@m#Ky#OV(X#oq3WUHq2@#RLyd>Zhgxg1wbj~UZF6nDwz0Nc+j=W|t9q+= ztNB*`R^zSmt=5oiNHwGw(j1ZxX$&ccw64pptF9}qYhIUM*SM~{u60^=T6J1+TJyC0 zw8m-WX|1QSr>dulr<zaYPc@z@pK2YE9Z?-o9ML=?KcaC&c|_~J?7r&0;=bm6`F)N1 z%KKW&v*p$DVtI3UzPz!#T;6&;d%b$Sc)j_0{(9r}^7YoJY*aO>7}Xq=k7|r6N40Lv zZmn)DZf)M0-`cpfytOqqn_JB-<~HZ%a~pHZxvdwo7poVG7n?8UFE(B*Uu;durc_gk zDa|SQl*W{DO6$?=(dyCS(dMK1qm4()M_cQ%b=A6JU2|Q&uCcCM*Lo*=r+TM&r}<9) zPUD^OomP<*RZ$epA}<<6S+uUmuBfgku4rD7U(vXtyrOk{c6@bwaeVXm{P@Q4<?*e@ zv&XB)i^rRf=Z`lYFCT9mm>pOhSRB|qFh8(yV0mEc&g{<W&f?DIo%x-OJIgy;3$umQ z!eU`_VZN}juw2-BC3~fMrFf<JO8!dYmGYI=@N9TBycpgbo)2#fFNe2o$Zn`^C~j!p zkl)a_p}e6rJDXk2E@n4p=d&BL%h|1GvS+Giif5Y7<j*voDW7TeXZ=-w(ckRP`y2gb zf9osRSE{cRUuk|N|4QR4<yTs(v(?q=Vs&$MzPhoxT-|yzd$W48c(eIt{$}IN^3B$u zY*00*7}Ok;4{8i52eq!vuC1;uu5DhMU)#90ytXwnn_0~)W;SQ$GaEC@nXM<YC#xrm zC!0^^Pd1(`pKOiE##Cd9G0idgn8uiLOzYn4-s;}s-sZjey^VXzdt2vc=U3+!=Qq#K z&u^Sxp5NM>ZLT&Ko12^S&5h0F=GMq;WHquF*&LaVY>X^Nwr<I8sctE5Y2K3G(zvC( zrFBkrPIXRkPV=1noW?ojIjtA67pfPE7n(2RFEm~#UuYej9bFw=9Nj!RKe};rd35WM z?2+n`;*sVf`6G=-%12t4W|vl%7MC_J%`a_ST3*_EJA1o&yLh|#cK&wb?egtbGiz4O zqS<Wb%|^3qwyw^uuC6YwZeE>V-MG5Ex-}!4QOzi3G-u>98Z*int#4=FuD)G-yZP<> z+l_CR-)<e89b6q;9NauOKe%yld2s9Q?C$FB;_l|%`Q44X%ez}kvL)4$Vo7sJzNE3F zT+;e}_WkPn#rK=v&%fXJe);{@KG{CiKE*!Gee!)8`;_~%Zpv<|ZYpkS-jv_exT(CU zb#``kb#`%f^X&ZW#@XfBt>?1ms^^O5n$P9WHJ&S<Yfa83SCfm$&B^)X#^iEx>#NyU ztFIPcZGJWXYU8WrS6dfn7grY-7dJ1?FK%31UflXo_M_@Y#gCdl%74`OQTe0R&}?Wm zv>4hPnh$LZEr+(Q&#teoFRpK1pI_g&zP!G5dUkqsdU1O5^!)V3>E-FIr?aQ4r;DeX zPv=iJo-Utm^<{lkU(wg>%ljIAWnb(5?EdQh;{N9S`TdRi%llg^vK7^eVnuUBzM`?B zT+!N^ZLPKzTbo<+t&Oea*4F;n{?-1){>}aK{Tut2`?qe(ZmVu9Zfo9_-`2RTysdR^ zc5ZcUac=Y6{M^R5<+-hwvX`otikF%%<u5f}Dqm_%&8AjUi>b}2`P9bLa%yX1wz1k+ zY;11KH#Rnw8(WuUmsOV)mo+cTFKb*@Ue@|a_LJ%-#ZQ_)$$!%LN%@mjo*mxEvLfSC z<zLFPET2?n*}wA@wjpo+j&+N?=M`I1-eNoElP23@Q8fO=I^{mLHJ^O4uW6lWKQHfO zpEJ&3P1X2yeq6N<vkgU0<3Zb0^t9$!Q&o*+E7r1Y3M;GDy)=2xLVnOwz0D7*>RTM8 zr}}MM*}%{$&dU$Crtu=nOY<{W-og)8=YL?!&13Bk+f0pR*-y)?XFqGpSzGOI-mrsY z*&csmf0}<{e@Z*WAoi=X!Ip%Wm0usTkFARRe#m|g+MmO__B)OJo*FZ?YrhZLa+7Q0 z=KXjd&U)^%bCqu|?%M5y*zMBT%})C%4mT?Q_g(v)AN$=I`(2(5KE-aaJU#zUUHjb- z`#l-^{WKeV1p9p{|HrQV9*O;aXbYXkl4pZATc?~*G;RO5-&bPCQMNLk-{23h<B8?i zt{n&4vfuEDX~$MJ`0L#9*$rN89C2doxFYSiXEvDUUoPF_vt2uWDt7$+wBv`f!9AS% z(!CfLam1_Hk$3V~+Hv1(@N$lL;{kuywd0?&BQN=%yLVj25g$0@ovt09x8=dP{VBiR zH^Ocq&cy2)d<X}Ay6=dt^}L?JIrsWT-}J8aypF-&<Tw+@&h1*y;~#t#>%TU3ZP$99 z_TW!*oJYo8*R`I9JNUz_|3?2^UF&(4ga4H4d2I5dUF-ePG3vi-D}D6soef@M2QOb3 z^J>?A-?U|)9Y2o!#%6<mm;GKIGo%{0?|+W{4zQJeyd$&0E4lvH#!T$mZ!cT+<DC-w z{cSe*i}vI4jWKh(_WM}ux7rqbRDI2c-}^aWdH7XbJ1&SF|1frJ+m6q%<6(#2-?ihl zvEvi5W6Or0J%OzJ#Nq#?YsUv;$1Snr_l9PJx3S|tAD)@ei+BBE?6{Au^lNO}I6a1k zQ-AcZt{oe;oaKAv2MyhOa5?mYLx(j@@{G;~51wjW+k2m^9D4NNV+NnHx5+oH?=cCb z^$pfH^Zb;(b6aQ~ke#ykSUY0R#PqXzNHNGn)WNpJp1$v3cQ#l%*zY?#WFzc4?8(^2 zF1_pX&$e7-|HR+?zYl$1mfOcV_RY^bdhV*#D?hz@!3DibmMverruWcGj+%Vf+)uB# zsCVtkmCM)kE?9j*@8Sy<E?%@~@uImC`;P4GA2+`L!ijSiE?Y6TuW#(~WedlC`n>bT z+HN0Rv2w-Yk1k%YaM@VfuW!Ow+noOGxP94*^Oj$<XmOS{pE9uGgq0UuuyVy&3l`ed zWZ9avi%cK%E#+eE81pVzuy(2S>@Mk@=ljlE>pS<Im)SsL{qf7z&R%@+DJvE&zQm6+ zeq8^=f%U#BTl<-1ixw?ke8S4bOXjU!vSjVN$-c|%#pf+s<$HW${3L$UwPM2f9ey>T zzq|Vh+j_#$b5HpAnWvoD`8)5-#cMBGr8U#foHg&XWeew?x$>gb=PjOl(g`PY|G0An z6UTjQa(3bPN%PibOO~%(U@l76HTI92cvN=bgz>iVq7`eFeR{>>MZM=OU9fuKz6S2s z_wD|%AGv$Qr1AaP@|7z--J6bu*4@pc^Ixu6x^nf}pSkP!$=w&M$G7vVu!nZi>7VR9 zq1T6q52o?`<Bse-boJupix;d}d|2;q95?&pV`Id9bmF`tKe}-Bf)(d2?Onb2;$>@= ztz6MN{$mp-jGOeS?z7G7H|t~3%8P8!?6BJ3tetZ=cg8QeAIbRsqXwQVPosOI4Gw=? z@9LS^&fUNDYv6&~LB~(F_h;>derwk(yL9o|-&(b}>(D!&*MG~E?&bzKq>q!%!TcTV z_V<2gaq?ZR%m<52#O`^=blzoh`Mq-jA9lMO$0wQZqxbML1}Rt7eUKf8&pba|X7l9e z-a{ENXSp<5vT}8=jgQ_FC6Rh(tzLQF;x%hlu3mH4doiSrI~oas49oIyw%9nqM*iYU zKKk*AS@V6ZkMCg~;qYoi{{DR5a=-io`N;f(W~c369GH*Jv%WRIb%9ADYuB#sv`b^j z4zV`tTeM*9f~;@hnl)M9>Xn_2jrzVtix*z>>3PdmELmy0`0|2<3vIC5UTNzBn*yIU zd8i$hFI!>4v$M0EBkMyDF1A<GXAh@u!P>Q}mo2<#E%Ni-e?Dltq7_Ve`DdcqXgZ1| z%MP#=?1!x7v-5sz<AarCTJC4=cZBW3Z{qkIZ_WkL8&G9OX+LZHbDiyjo{UeNm*4LZ zE3KVkCgsC+xIJx|-BFEP*L3^FZ)`F9`syOPk_CNY+u7ESWj57oiVXK5KCx}Kt>`}Q zTc0cZJe-&F#d#Ooa{R^?vtP60ZDB#5*mj}yS!NjWnZCBjo{EQVeYWvkr#AK*c1e-( z+xWzOSJ?{t`Knm|S=$f)CO+)PXD|D9ohEzK_WPo5>HLZPXb0X>mc8@uifogu7-s9u z>hb$!nP<{{yr(WJGAzgV#POmZZTI>rd*i3Ep0?cK3DWO6pl|O!BipO&{oM!fs~cER z`pD?+w+1@4WFF&AeY3~9K4r(!Ol!()NLps0%-%OJW~T9stE`U=ww(2L`i#eHzJ2YX zu^ms@-<F-4{!03n*w+RUeIKj5e7kk}IJ((o_O%B`|Ig@Oo@MK;q5n;5*VF%Sr~ePu z&u%xL=|i3V8`gd7Q9Hf8Joewij<e}W+ut4~{a)+OdfF~Y{Ufda*mtw+4r|$dy0!EF zu5-!s7g>Lk_2=7h>Eqyzdp64sReyu^=U6|k7mQ)f-)HH1zheF8t<MDD_ur)7us`!V z{Y~_7rPp`*|CRp#Wq+o1`ft)d$R18_r(fFQ^Z2HEzI)UEr2WzJ{Rn;hrmD051o{i? zkNxBP$J0O0{@8Kpe}ev^B3<u%>(5zie{?)l_AWYf^r9Vfo~!p=jI)l?$KLDKkG|Hm z;x22k1a?`uvwhR~{Z2~PSMJVU*}cu=m%1BM#BGS(tl!b_9xzb3;N9&0uNXR53cK06 zW3cbWz}(HgW?b0X9c}p?SD3Zm^$4+EySqD?{=2-gT`cZhUewO-Xy@0nvpKZWOWD!c znAf?V&b@d18Q0r|jXfk!VeTPvoEEu9`vF=LTfOR|pIMLorTv&%3w(P2RJF6z0!p#p zBDKG%cD35|YCo^`dbM9vd#l<ntG!3<LuwyW`;Tfj)7r&VHs3h!aN1tGUiFWrHCc9+ z`U_|eH;7XG-=Q6oWnWhRFK7?TvcFOPA7~HFvbWSPZ0Z*FP(DO!=R2JC;4GV={#mpK zWv;u}{@e6NXW7l#emCt$vJA9`?SD&qV3uuGe>?2~_ANWxhtb;08L9rEv?H>tU;Syc zduQ29_2<wI&oW0s?fA&y!d~*F+I|hK$+jERzk}9{k9*aBnAT+bGwQ!gyMLCwt$vTm zy~1?CfwXq~akQiC{OZr4H6wA2`k$p8nOR(_v;V!cHpsrE{@>A>p|n-~cWC#`vVF}6 z<M;>B?$fb13sbja`^Wcof5uOk+<)YxiQ~sl=<OZn8#;f-j~h36^5ov$Nt2GWzkKEo zy8Oh`W^^zO8#MOGP0QG8O`mJ*eD-Nf^yX00#qZDNZN6d1NB?=-o*QQT?u?Ib8Z@I? zdcxAHmws#MUv3_K+>keZI^p>3Js<qm=7UchHKW{?H;><5&CT8zR`&GY`ru`M@rBnO z%l>Nf!6*IE(tlWL`>p%t=7UZgX1}QB_Vnjd4%}3}F>KK9&4|7JVd-t!>);u-&+=bi z_QLidz5CnWo>|}AeDDdUZ0|Yd@y!PvS4<gXXE<ehHENGHdWsjnoBd$Ou)#lgYVdyB z%AWl<^}O-ZqaOHi(fjK&PMFcNEw2vPUL5|1e=+edW^J#=4j+*pLx1q52Y%d~HfY9y z+lx~l`0=1=J>yPW|9hi~V}AJEZ@ji`&s8VA%~<zmQ04#8+8iP9-|ai!zTF?g_kG^v zJ?Gl$^5gt&(($%v_`XlDqUHAFC)seuZ?K_iTyLH7D%Q-pz}K{JKn&lvzu^0mZPNh0 z@7RCU`V{-;Jr~pTRB!q3Dr{-%{l2YioMy}AdHIpnH0H3pG)E*gs|WpW+y1t48`oJr z!*;j%%pd#YJ%4Wf{3J6`vR?c4+|A09|Hl3vM|U|}Pg!V-7uk1@Ri~`T@>ALQOZFYK z-2UXJv30QRx5u&-<Fot|oa@9+w_jbX9NRiU-OqKp6V-LF{y+{jo8NxZwhpo>rtZtu z^?~`<tOuRV7js76?PhlK?oZizd+K7hWJ64F=_gD035OcwKk@D%wom6L-aUq&*x?2s z%1<`;%4g^QkAO<UKpn|!_2u=D4zPL1kiGKZ`LyQmA3ww%tnozzcNXSL?qMipPY3;^ zJ7@saq&s+U{>dh==MWbBD(wi?7TIrm>jNVD?Otv2=5G6&XR~P7TxCDZXYqW}$E23+ z@ClmHzBrqP@Km1t#=X`&+gY@~>0^@9w$7%x-xuf5#PMgR<C_M}ObT-WG$ZU=8kgjD z7EGGa>A9T+PG_AVx3e(KzV-{68TR#^SYV+0PF&R!_O+etuYc@W`u04V%T_L@VGh8A zk+-w>kbU!P4$ZUnEwfAVbd6lt7~g&t&0PBy1CF0xbFXhclV+NIZL^8z&WDTdvu~cA zO~Yl{W?qVaOr*OFpJ$(B5Nb0Q>mTm+Wc%9lr{M;R6gKQU6@FsBj{7gN)oX2yfB1Fh zCj-~hwN86=4x{~cIJ4HJi)9FO27kJ}Bp^5#H`8n1Y4)9N-zD}v-@aGd_geeLXS6NE z-Ev!}*_Ri^zANmz+P)Xt_j>!@VBhY~TV0)%HcyM}Ra>(Q5^oUPnHQ~EWq8w!i&mVs z)_~46*)JJ7?=FpF&@1N|PHlj@-A+R2?KzuC9SFTy6*gY|*_VHv<>j7vd0}5)xo2N_ zL2tQl?*RuKa8NmDX8D=Ea!p^k@09ZFzH;v=6DF0H_8n60(aZYzedU<ma@myfg1&OU zDU-&Qd-Sn-P+z&C@4&+koXAq==XS&~edV&5<(N5hkD4~7|ESSpM&Dwa&D6^C0o)es zk}DjzgKXtRYy0^#o>0WjkKa+yft~GkyTSS0Vet!>|K<sHl3h{a-5}`m<{dZtr16<I z{n@JNYu3JZ$hZ*LpD!M<LnvV2sqL^jP-JU&gs|t$n|<P$*}~;3&-=|Y4c6{p#GSk5 zv0oHF@Z++^7j}abuR3$}dD*WL?u-2SseSUSST}UY`&^tb_W3aNrw{GDvgfMS)y>a0 zuBm>fytW{``txALfX971joU70_<s!?+Y8w(jywP@2eLe{=3S%9U01QhB8ZFqp5M(M zb0ZKP?z6K0ME-PrIwJdjAJ*K|xj1jPcn&3TqOIrp;&Z5Ve$urf7LT(Xx-s87%zSv+ zHtu8Vv3fZky66-3jo;W}cCbmuyI9aCw%N$vVZSGBzcb=tbZwhw%iZT)KivF5+mG{d zzBn&xDUNN6*$3>#ds)yYwxPnhkGE}v!(@KELAEW9ca<&2Z#cxq?0BnK&?mNi*81JY z1B7_M)^UBzmpI<<+j9KI7PCLK<E`mh5sP22ZQaLvz>dd#a=fATjpKdUmif&%`|=rO zJ3SN!u|A7@?^hf9ZL))3XzSt=`+dz;*egi4c$__qV`3+3<Ip%2vCQUmwqN&{=KA>j zm3_PK<6*mxVYZI@Fe5nK$6mH<=S`mn?0C<o-oUvyXV>t^PBwpkoUJrB+l^Gt%kep9 z_wg>VmAHQK>Av<@N87#ry};mYn09^QsdQHT;uw6g1pR=ekBouSmG&g;ar^V=tmTOh zd`f=<-F@D|z^Ak)in+)$L1ql+ZS5$Q%|aNxHZzQO$JN3(Y%Fqx#ruTKS<Cg%cWlmF z!Gq}APDg0{1hq%0MJ}=bX=;%x^l{Q@&r{2QrT-bVm#Mu@E%S-(x2XM++I!Ugx!Q-+ zKBo2`)V@q>0`66{uhH5d+e&N4-LC%sP~Yf;zI_O-ZHG|D{s*ZYqxBQjKSAwmwF}g) zQhO1t9p`fOuT&odg5!RH)}H6BT7S3J|3~#<%&{LdEROSp`jF=M-QQ}xV}!QfOX|O- z{kEw8FY4QE`tx8!^IYr|ddu9S->Y`C+QZe3RePLTf4{cBzh7%-Y5lLMb)d!8FI0bt z+KbfwmfH1duT=ZHYX5`UFRA@wwfCugK<)pb_8V%yt@cT^f2a1psC`N8D{6nB_P?q1 z@nF~ej{0CKJeNJy`gpMZNcDYOSl=-sYmsT}@8iQ-Kp6U`sGX(uEVZCAY+tI@-7f2+ zTUZZFL;HEPK5ndkgZkh$Z1-_v?VqXd7_#+2XxRQOwNI(tr1oXCud4ll+W)2&NQd8- zCcJ6EbZGZg3w}f2F=%T6aOl@+O-*KCrZK*(>)T`enW*vp&g0P3y01r$aXfdAtvyuz zBh-#liw<Kymp|4{QGc4+>1t0_yYsj`OY7&WU8MF6wSGN&cHD5z(=9iT>{~hZXJNn- zCrzH%>z|*E0Y7B(*gm_%fXiRowBg5l&VS;PZ~fL^UOIhqbJ6t8je~~HIB|11ea80c zRM6lLfdW_6WH8|DqsMG|=r3MeI&W#U?eddHEj@Yjh^4nKZEd^!q%$^;IPB!j`<}GN zTbEZ;PO#seHf%yQIY0Izo4)YlJvY2~$rrY_)_>2=u!o(YXY};Vt&?n@b%qvKA6#~$ z?Qx@R|GzK&;LsB`?{VG<n|nTT!sZr7ImW2$$5wXc|I;zy{0G<N!?%^ihc^wLvEj$% zta5w)8`tgk*C#C8@5{#*+wy$xP2(LE-fJJ*^1&}3KiKZBHEiUJ!g?EiJb2izuR3#7 z&$uri@2GIO>ZGiF8{^R*PnEB=HYdpPgs<4~4*?2`qD-{EW#0Uv?N#PISQ2H?xPV?^ z%y`=a_HzdpF000RI-lW><HD9r#5gK@8cbWut*WdME^OQWg>6;qBW%lin89UFg&-=c z3cta6zhf&KZ?b%Dewa0l16bZ2E{uQ_F5I*q@yUC>WJ_JR@B&s2;KJv!)p6lVZ9^9> z{D;;ZG>M%Z7yd3=2XNu{+0U#SxbOj;Zs5X`I^Dp9kz1x_>`=2Gw7-LG=*Oa2da-B| zLyOk#7Q5|cmX-sI(|?s@`rcx<15mDAC?6L)0&aQS2I3-V(&wwTWB?}(n|B3nC;JYc zc*L5gJBu{W*|#$Ly!UpNUbSzTt+_m*oz~Ab+SkxqnmF<RWXlfzShHC)oFoCWj6}O6 z&WLjBf?uuY_ISg<z5au4udIvsGBlQ*ITKq9jb*X(+u63{A5P=4a=>-)E0|{MyP;P0 z(>k7=f4bHozWp=G{%U?|P3JyS#};CIvu>t8{C~2gUwN4E$e$l&1Y{iATh7~19?)B^ zUQ%8#v)tR(OesIp3$!?|XSnzK%6ZR~`x>BVJG}}jX*(S-XIgpkoO0rnxo7n!1kv%q zKnf=S7fyu3c~s)*{-RKzgMT~dzW<k@zzdJ;rWq%=ZdY70V7)|T{aG+7uX6xf<jDU& zX81n0!<e^xn8(wH?ssMLs>apT=gVsfwB0X+7arM-0RAe%g&BI^p29B$Uf9Eh=z~(= zGu^&jtFtNA!H0-XEKai(9W%7E<*A_tF4@L?tcwl6@lXW#eeoMx%w96#|0NdmiERt5 zAIogI+&~@z>hX!ib8SWUd8e4;FyA(EUd|Wiz0j89H@0Xw#aY(Nf<CeB0_%4l@7BFK zz6i%df5q{xwB`7XEn1FoH~uoPZM|*lKHhy@$3qFm@ouu^?&A%yhX(I3KCyU%t>`}9 zqjo&*lk2lbo{o2?E%O`v9X{unV|8~N#QH4q{WG<(9|z-E#V7Xrs;#hBuqxJHX!{)# z9c$yzPj)S{`99k(9ozccFCYBw?)!M6>$&W0+v2&{YR;QJFWT|&&Epg2rM01yK5Gs8 z7-uW@wKZUK9B-I?IcN9r?y`q=kgbbP954FOcCUXg@Ivlu;1f@!v*zCdFT~s7d2~KJ z@qy2~!3%k=JQHO4E_flTa96nSNY3E?y}d)mKKK;Rf%ad+3&&_XKW4wFYEM+_ez~px zg!;$>_B&541D5`0)Ly3cI<;R=dyCpHsl7+-pR0XH?PF?x+3>>k_8z!TLU6P<skO^> zoP!Yt4&l$;THZbVUbUmu{-XHZVjX9h+Dp~?bGP$drT*`z#r5Pm@OfzOR(r2noJiJx zP3=atkE{Kz+P_!(vf5YG?u^&Ht@S@qi*w8I_fm^@OFwWr+*|q|QyaM4$JO`o{J+4f zw&^^ME_C5RV<&vf(Vh77v+<xK`#byoY&_`Yj$qv#9+YpGk!`ze{iZG1`QO{J?9zv~ ze*aQ1phLDEGU&rIKD#9wv}sE|=;5s|k2FlEv0&>VBl9<g72n*FkKC}O7_)ur<6{gZ zst(-xCr5vFOL6q3E#=V<Z~dp4i?$vz^P5}Bnc3mXH+|^A8@FWVY~T8=a}L`2C+A;b z=XrKZem>{n99P)UpWRaU894gmTZ)l4ZYll9!zOavE9{uV`seL`(xzv(WPWr*hnk$B zcw<=O@h#cR8@J?L$ItEfMc45QJAT=9{L+qJ`SE*o{OaiEw&Z@~-hMxB{jDwe%$v3p z>8MxP5ue*qrlVeENBr7%&)7U<@4w#fvHgs*Sd80bPjJxpw(Mt5@a2*D(cH`4Gyd!A z`A9>liop+_<0w_Jb(o(yKZZN|((C!rBkXsba}S!qxnFrbpJ`9l&)hSPC-zx;T6=w{ zIA$}v#@rArQ)7oS)@;GFSl(;P`BS!tvfue*+{O{?oA>;lZ8AdRMf8eRZcXVCg)?Tc zp=vOV%KyajtcAYD%I;Vv5gO08-T<M|9sa8I?>oo#soGQh(0^Bb)_+$mvuzDPX9KHF zv{jAI+n;iEj$Cb4NYiG83~l9i+20&DKFc2Uzt-*fD^{NRnEmawZ_g?ACqE4v;W)ad z)BX6b*<bFf16-Z)m@Oim2Qj7dS!@M3v*q0aN&^_uyUSXBPXQ&rF4KDU8aw1a?%bA< z3hBc$F_eae&AVbqhuW@2KBJjt-!i+fx}AlyI-Q=|SwP_0P8<b<(H3d0wy*8KZU<<o zgAmQ8;e^JyvnBrwvcH|P%^EnHQ30pf`p(&m3OH~!zvj-_7+?P35ck{HP$jMfTUH!D z79EPrX4Ak{Ewk16?JQj8oi+LGEOah<O_45ocVJPBq0U(c#uWWUc90_bzAgQ#gGHVV z`>ye#|7@(Zp?SI5SZPCh`)?UNwp@0F;Xz}|r8CR@`c5r>>xyZg``qWsPtPnr(97T9 zz5V6+Gs}JY%Jci|@7T|M?sD(<o(lDB-`>Lx8v8l>QMsbGJYwdw>qgJ$UpRWk=$<jg zTQl9bGZF0<;AS~oGyt3IipmTCEIW|5I!WaNDZ^=_C-g=#dC=oJd+$oza)Q>+fO+CY zh~Fhz+-^v4-8sVVSzfr`eo)I&T692F9k4C|R=-MAGq+2-xn@lD_%Ow%4;^u3<ErZF z^7F+t*8RnBqs{>6;&}Z^LPx(;*ip9_uJqzCUi@9Dzs)*hyH>>F3AVjsvUYYHVs`SO zwh>IjOz(sRoN3GP8}_7X%#AGQ6WbPBKbG0_pzSxKYeg(Bux;JvooG%`U{*c0jr*Wo zYv1^dEoMKq4ZSSr6Wdl<zx#N1?bY$KxDV7}91qhdeq)Q-2ka*8)aeu3K4)vXkM~g5 z@$lo~cz<Ba@f!|81sAk&d}8s7wxauZU@qL>&e+i(+d6(T&c1wp&zv0m$@s9y_W`xB z-ywFe3u7m3V?Q_-_6k<TrkicQ-C;-nS-*+>p0)kr*sSAz`TVtgyYJ(vuIIA1ZHwn( zt2uA_RQ976Qg7gyag81Oy0h^P^Pi{L%6+XH#~WtLoU{9QFPjaHzZ@Tqv%63C`u74m z8nMGkD!<N$(@Ekfb$0r<z>;`QJfF^oCpPeTH(1h0+nvithU|<bg}&VdPU^_;4xVH$ z#&HdMAG~vN0Ub}W_5<{7<3Y6cUXRfB32Kj4dz{)CYR^>bZjl{lvHHu^u2K70wb!Wq z4{C2x`$uZ;Qv0WB-S@KNKCS-W)7rdsT*=yhq;EpwpR|38`nWjU-#hB#+R=C3k?rq1 zBWpjb^@q_SeAwTP%jlr>=VdMLjef7%(Q1EQ9O&2eyHBZIqV{~X$OX=Gk=k`?*Q@<K zwO>?wtJ*u%;_31G2h~2JHt--ENVflt+Go{1ul9Rt{dwAc{v56Sk=FkYwf;P9{Scm; zwZqiztJdiswtlqw=qdIauQu=^6Pe~t4?JJ%`hM~Jf2cllxv-b8pZa4b{d~C4q;caX zzgt}Bp3XSf0T&`q)bd4pZk(}bQ#HdfF(;h0z2`U1+Fl*eH)P)-XKZeqGQ65p)z$v6 zga&<cd$!@@^RJ!%xAP4bDy@!J--(+Zc_Z8N{hRDpbNSU7o8Lb(epgp#Y#wytU)paz zHUF0R-<#hvaoB`>>JjX_&i0*q#^!xzj<IvJPWdQ%o;18--#?l^Z~hDOZJ)z!pLJ(! z9)7Crcgh)?i<um6@bPDC-s2SG6*c~H`>;EDCZ4n4_62{rebk2D3B}ZBj6?LDEdN2z zUZb{^<pGv4n)Q}%{B+{*>LW9b+g=@B8PDlK%PSrC<6`XaDnEvGLv63Z@=8ZnmcM%H zm7}V04_SY7b=rJTm~F}~&DtAyzxIx72Ibe<-@MoUbSo3<`o}PsKVaXy=Tz&L(2Y`l z>4kI(tI%p2oCq5ErB~X9(z8z^zjVIsV{nYq{(4&Px9tOPOb>^tTBp+Fp6zKFrGLi{ zstQ?ZJ)oKfW2;nt>4_}wjz<LXP&}f8ZIMsj^T(__iMiBk-=3>jdGdGd?{Rb&vekJ+ zw^>&_B6fb%zJrhi9Uc*nr;A5)fc>nCM}&s;0olnQ{Y|<yk&GAAw!hrKAU1cp&{+qW zS*Y%d*0tqdQlQ7@xB)%1JW~UDdb!^CJYx$6^w6+*SD>fgclZR&MEg3>!@}fFr^gd7 zr*t|)Zf5~;Yf#T~*1X<Xq_I`}ct_NS5n_ZQ8qRL`414n7aqnYayS9M?&0-55wyv_T z!9;B3<u<HDkI{r!e9gC<N%M|<jmDJU&VubuX8^<D1PA;2Ptu%b-|h@fE(;xGS4cC} zH?Lhs%as(_+O^jBhg}b}Z^M+zw9&V-$S!%t2=otoaFQO|W3Tn=*NwcL9y{$}x6<sa zvYvn9%y6IVaGbq!xY^nvi#>X5kIrx768Ff~uG8V-N^RBJoz5`I4kQ^8hxAvFI<^pV zkaer=+5M`gR8sTLQYt5mf7jrZr&RV?N@clcZ%Ibwl(CaWmzVbT??1X+)mwge%Gd)A zC{Hy`)0DYmC-t-8z)?nU^0k&}+1szR`}f-c#(ns}a$&Ep>Fc*OpXw|7`;O%R>{?D* zGVLrwv&gD^BC;xX$BF9Bj{H{vqV8LLPk2-B8Eo{wJ!#SzUg`dSRvEwnfX|V+|Ig=A zGUtzf?{X;z-ovjr!u89<jl#~4Po)KHs;<@9T<hG}wIUXev;Dvh;`5jpGV5(4st}8Z z<C&|lOD^R$Qx-Sc`uN20&a)M<%(g2Hl%R%nd}7-?ThV>qY33aF+J2mu^Tl~r+j9KI z7PC9-qIy}-C$_Dye)sX_@7?ilI3CtP9PcVyj^Ef~_Lv=S7z_Huw$EC>`*^Fmj)#95 z$NPO-j^A*IO?JGCyH>>F7i?Si@z&e%xKFOnT;z1TFWWM|8E0QUGg?+lC=OzM7Wv+< zHul?Q2g8qzPwe+KTVbzYRjglN7qL5h3lq8PG}*1TA7)*AxL-c_vfcM_P1kd=#dII` zIyrCpkn4%R8=p8AZP)O~mfFJ@XDh*NhTE6(@_smH_wjDFae9cYi%%Rc`q6f;e=qPY zu4&*CPo=Zw-vZBiznzNb(fRPi2R`ox&%&4Infy=ot^~fS;@qEe&$-FX0)#~gi1dOW zi;#p67BNCd!ex;q1Ox<>gb)lEArcf+lqidcv__?h77Z?KwbCj|eYCaDxZ~PrtxKO) zYqeU?ytvi4y!!tCIp3T+xg-z-t@P*o?%e<P&3yCCoH^&rIrGhO*se$IS$?zJbaIp* zeDHIl1|SdGv<3?f7aSv~-mMWoRroUmO9XkrGW`m{iv>3cUL$ye;LU=!3o4ro((e?$ zR`3<UH;A}b-x7S6h>NVAh;)s@E87eBLtRTb6ZK8K#YE(@P<Yz($*b8Ah;M0aC5qF; zM#DBp*?<JYg1rP!5KI>wEvV`r$F1rgSRmo-mr!3zHnK{ISLXwA>U;n%mGH|2e<*m9 z;4Ol82>w#=e!*J7SR2`&CHyafZwvlI@MA%Bo=}djgm+k<;A3rM?BFw8&z(}X5AbYj z7@i}TFQ^O{2%jRnI)C8j2v3s$)9E#i7YNU}0Ss63QGizoUn6*{AdLo;`)|P~1fLP4 z6@l?@2-XXJC}^Y6WIXqJA;$6e@vLCmK%S1s7K-?RU?(ElPPPLKH{Xt1v-WRDM&|I= z+VpPYgBL~go+C~6f3wf1vpxtp`DN1@L*<X`iNhMcVI^%MkL)=<-)Z=&v)l01@niSI zIb*O24|BkVW<|IHFb+GyxkKK&_g6cnVLvydT=m$V_;FMA3@>|ZPao{g_El;e(v8~_ z7l(A^p~3DDJNx)L%27T>wligi<w0`Q8D*it2hesFwFR<Yh86E=Sn<r2fOfMh0cpo; z-yymZ(D7FS2GvSHSFZ%bJgWcUIaO8V+HBwKL<GDFf{mc*xG`dM9pEn^g|&L47^W`I zA(KF2N#Ht01n{vRM9ib^DI~EZa0{6$`B$(~h2Vo;i121sVM!owg6NXK!QikY@Jxao zsv?A3js;*zAe(h?HzP2Z#u!-=IFR8bb}k@zBIz=F4j|6`Z}tBq{$<;^u}FoDywpDq zIrJM)_Bb}fVffHx__N2qiT}}L`ATI^I2+^z@Sa(3B87hfpUC63Co%Rn@JVWUVBw1% ziKT&xOs0jyp2pDR9zNLyl=UkpPi8}GmrzII`HWWNCuFO9HUTnL+j02w#1}-x6?rV; zJ#od-?he<yDe&LEh)}kN!?Bnv3ft(d;o7#aH5|`a?Ch{dc$8KFfzkUK4Re&h)ZGAH z*RTc>-h%g57?S!BexDVFPa_cKHiFjNbcJD#5-6bNmpu~yzH>ntD+w2ag-wyd)F>+n zNqT7uK`EDm{|WHsszHWL_QLS*xg5Z3_*gj!^MvrvYftWQKTKcx3}_3_mbp88PxuHt z^O^xqUCZEk;a>{B9)2_YR`}cCZ->{8I@q!^Ef0oiE{3P<Hh9yS`FoSm{)XrycQTs1 zthRpT;**$(ruIjZ?a{iWoy^V6PD^vTg`JeN0fPrQ#S@%_OHX0wxoJiarm01Pj>E(> zlUJ!S7v?zswR)EGsMTamV|0ob*WfjI_ImrFsr8PC<0?nfuy?37yYJk#X9u!W@-!aI zddTKK@$vosXnKD%wm{nP&Oc0Z*7QyH{aQz<T<?+Z*(O2=(>9ANUHLI`1Hdy)oP<-( zY?=84qsxcay2+0N^STkB$sEL?JL$Xo@veV2B57yB>%Qs_uw3l-=`<j%J}4;P(X`WX z^AVtF#=Q->F-CwU&p@1+Z#fJ=If!Jw%#X)GJO^H<0crI|p~57@={UYwnfkVO@cK5? z$8Lqzw-&Tc1JarReN`mH>9{KJroQ{3uMT0<mkh7<T?<;L0ckx6ePu>~Ca*@Esc%z9 z@A#;XPjjvB7SK$S0Z*5SJ{tRc+L5IHrJ$B;$)0x~<Zucq0Lryw&*Pi6k&UwFY28f7 z^3gp8Zyv{H97hVmcpM=8<G|_kuVs+d(@69Z32{0vVn7E17oalO$3BofZ!@mb-bOmD z&j?ba8GbnIc|5MD)3xG-d>i&WzOk{sJ;xf4y4Kk9Sg))Twi)}_^J3OI`i(W@+1~iy zEok%g*E;4=57HM1&J>(0xJYn`;Dv&GlV!Tg1+NplN${tF+Xe3x{H-A8VK6=I*2I?t z8w5Wk;@<s2P}zrY%?43Vly64_&w3-@U3hMQLw=y}*@B}bT-k+?PTTL)v>C{+Vtf+T z5Q<#2;0;8`EBg=R?hyViBII|9+yjEj4g~pUg@2g{p3b!Au+1XRYlj#X>?L@x_CIyr zpohJ6>MIpoB*>lw!`Yi4t`odmko|Cm*9iVh@E3yYm^1$Og1ZHu7W|{2I**WhQ~19N zvM0{;p9!)nPQHyGyWZrrosWHQ@=fNv?Z-aGXA|XP>y`H>!`Z7M@;!<e7S#3KSNH*f z8G?MCQ+~K$w%|CyiGu3>f!uWAX9=qN2;oJ-FA%H{TrSuksLE^D_tJ+A^UQVff55ez zLx*R1q6c2fnZpM$ZYdrk*JK&rcd)I72dgm0EhD}@WyI_6ufkfy#}i@68&ZUomg$iP zu|kn^+wA(3361p+b`4@hXOH@y_vg$#tTOC>_h)WVpuQTb9N!!PJE2=r-)F>wSk;N| zEL6GmDH|K>ADPgr{^zqVdEc3BrCSY`Rh@qC&d;o>V14z*UG;A-URd8}@xxf(iTQE` zD8X#z&YT~{8qb~3jeHj~U*@^y{XqYn(2M+#_RSHYEavtw*Ln`NN1av&y+z0q@{de# zKM2J!U(WGcgSDj4@8=m9<>hK4SGNkeLYvMtfL!CMkSj{Ab9InwC<nPtV6Jg;ln=k} zj=1l$Kn8Lg!5o9A5$3oKD@*T#Uh@ba#aht&K8q_tUW;{Jk77OOPoJ~*Cby@hlKYhn z<y^xI<c;&t^#bN^kKl24j9}Ggf368V0c%2GuUx8Y+&+mlfEA-7Qq>GZlw|_Tf|-aY z$t~~MvvGb3P!iPZ+l$={9yiwEs<okikFE_Jegf}7b#1uzKY{kC2;j?6ti!y-JqW{u zK{g+by7u*VFI0mYh*#}`8~h#P@1d+-8@iB;zczFwxaed=b+h?vLw~6x-6sgHd!@&X zLXm<+pkcKf1_=I`baQJ%*}~hC@P|f1-f-;DBjD`GwfGOiduv0dJO*+!*~$3xR)1av z%ClM))`H{_B-Y{2p2mikjT`9i=#)X#G|Jjg9(k<w??<c{tAN1heXW1}d2rTLLIhq- z86?3z1DH?^i66m3h+5cR0ZHIvaGzO%+%FPFL4wY(sZr3&uppXYMW7~{A=ZjUGgQU) zGGr6%I~SB;IeZo@EQc%|vlYuB$)CZaFa$o!)oM`^k0}N-4M}*1VazmCj}es_GxD6i zs+!}ApyWtjE$Y2~>O~VcpjLdtpbj=VwOZ6^o0fSW7mMEHEf!tqEf&3La!pN*bLK*G zvFO6+V$tH>r_}t!S<DbD7hQ;?r_?y--{f?im2<mZDLVg~UMUK5p0ZAP_PoQnZnTAE zqTi+Up9iq>>8rsog?fu;j?9&!-uq)qYejj3A6C1YS5-&&Ogig3wN}(@gmu$A7A-QG zE8pedJf<4~nq*&Cx@t<c9Pi<rn@3v;Ug3RP$~4eA4F#9NptG5TI2~6CUek<nP@q{x zfF_F(XXd*(#q#Iy@!`$mATEd3X+T<YP^d5oaXPL7ys57fY-LcXjw2DM^{FvhNlUm8 z`Y5NJ*0%-$roLW=KK8e?zUx8jG}Lhg^l@ZLJ5BNx&D56#eLOzaGhZ*YzMq0-nhbcl z|BY+iTG4e-Mmwc;)It9nc$_L$k8=Nn+)0`N>he8f(2UMPVRaeEvwU<<z?;XBZPW`b zLHamiZEp*Z=+9IjPUlO+r5Saoj2r}ZMu^tO^TRxG9KOrJbxM1Ic3Pk2HJagv!}ium zD^}>O6%F|Zplit!`ZjEFtS8ow=U8J=*BV<~SBSHY*oMT~;`D>gSSxD04~abvCq~&H zaNc;%xpwj>!7fBZ_9nuPG(f@!3o82p<i`j<QE-}|>Qf=URQPhiO2JD6Hwdck5#+BG z{zk#u1n&_1wcxXYe<B9IUn|NsiuJHnaGT&gg7*n(d)R}*KPjl{6X{<P{(l7D6#Tp3 zCxV{|y0`$DZ%9ztIl*@nUfDUp_Y}ULpt5%&e5mjv1l3YNgmbzM^`Z$?c4OWr<mrgK zTZtbD^4<<WC{=j%f`|QWz4bN+-eZ19=83IdJ6Z%gDqIsg6xNQ$duvCR)Wvh{Xy#1J zC7ZHma6kAl@Cy<eR*!cYR_6s9R*%7s^7%(co+_d>#9K$YNv$Gvv5D)1x`%ckEmn_a zB5fwpW~#J0U7%rguD6bKE7pd(!?=z#qYf!1PT7;yA08>P<|iUIrl2%)V~QJ!UM)Jk z2$FNA>=^>dAy`Q|gpw0jHcB!D_o?T4Qg_(Hjh(7*D1NP&>q(!*OtcMpJ!vw&eHvMt z-|;j1gxb6gaYgwhW4M0QYOt-N>N0jPJS9$rCAFzbjU+}=KC@5h#jmTLGIwQOw{YAz ztSBuR^8sx)@fBnL#B;5x)U}I%b_4$IhNpGKbZnq3NAF9236f!=SPzB;f~S!S#E%0P zpdrXn>q#*QtsG3Cgduug`k_n_3ewb$NocglM<=2Ejd5<gnu}(KrYjMbjSnWF9i`H_ z=OQrp7tpRuLOX_ZGwVs&T@O5C+w++&04B7wVh}?Z06J6C>MQIx?g(VCrMUVBoA^Ue z(WR$}a}XI_dYZ_sq@qhtle|xxJ_Q-x)5+-+R$JIRki#4`ScW)=!3T63-sOCIp|gvx zLr`1Z*z-vI0|bRUDL!NR!rSvXVNOX^f@nu6D}{Qx!xzBYB`WqZKNdmFT9^)DCYt)> zXs}Q6_>SQueoqv3IQ7}l;CL_zEqtmJD{0M7l_oX)RH-o=Er7<R&9U~Nj)nM2>8zyF zR2FT6)}W4zX-5LbYzpA8=~QDRi(Gm3V6mdX#dmBxVX-1GdS8pxNF^|pkOQwQRwQyg zCR9Tr;xWm}w6qKiY*u>!Y=S`&QsMV8U*Rne@r8tXMb0JYf<!G@eAVVffs#_~=N?J; z7rcx2&~S~SR3^sO{7kq?NzY<JYM0fpBtKR$a|tYB9KPdPh=sCXm1B<m;caUgp%C6& z1IuVC4OqkdB&;ScEXNPa@x#XXVa*x6^vwlvb+Ej?ndc1nweWl&`4#*<@Y+$PJ3M7( z!&7DxJZ0{K*N!qh;VE+lJY}lkDf3%+)0yS?392QajIyno4F?m4_Zz>Yr2O0k_zL5Q zxfRQno{4Ysg%>U=FRcvsT{(Pczqw~FIXAp~>C*DbFdl~C(zEB6E?7{yU~X30uyAI^ zpv-f!=FVTVWG+;dFPfizW=RP@ggJ1@(j}z>ON-|(N{3w9V9CkLuW9@u$=#b)=09rH z*FsB{E-Rf^a`yNomCKi<mEqu`wl{PH_-sDAczKx@gNp_6xNi4d?CJ)b4+Ckg?Oc7) z!a)Plo%7P1r0|L9J)Dv-mb~Ve(dp^wCve&8e6{Q~HYTG-_hVwhD0xiU$xt>Q%EC?` z%%IB+J0rt-5$t_>5iE<#sbT6>;v4uRz`u8C>%NoTaI%~KMyjp@OqGjHEcCaR;|xRi zrXLKA$}#$IEq28;yD7M7$L8gY2=`_6O;?xs!llc?qYEd6$H=7~E?Bm-q_nbf>9Wdx zVRbpH3x7~%#;|Z-d<e6&xU#fgcn*@J>$_uM*1TZ@=i_6UC1v4drROcG#0PrAgHFsE zoH68dYxD?Qrp3HDEIR{aFb|*cEnPWqQkE6hCVo;vATh3k+sQu4?(C%6UF@#*F-|wT zd!VP?%U1m?(@qygdhOU2<hJd$Hhx{)`ru{m2Ium?Mg)D`eckkF`hJd_RIsW`(0$-T z@Y)uUCGi@x+yDfS#zS8@%9-soANO?m@H!3o3NWu20h-J~Tml8e@w<%Gh&&oRyEN3t za;di{pmyU?(6=-L)cWQdG@}PX0iPk-X_C(%Gv82$=-fQ!r}L%lNvENX-6&L;gg6~{ zHh5FtF1`*SjK`4(ul213t<!+C-i1CsGquxkRR}Qk?S{TOgi&8Iyw-OuXq^V6Re=-3 z`7PRM@@fQ_`nGiP>XrK1!fSoEfM%Kuc)E6|3@%mCjwC$?a80?NLwR#{y89r9Q&j;_ zE)|v0oSp7T#2VRH(;;VGgDfB2WANs2Y{PNrdPWub#}R9%+W>uhBiBynMO2Sii3r2~ zmVv;|;BiSPebmo9^}PYoDnMXw65_Nz&1*En4~LzO#}#$DR=kjJ!!C#FJ|w#w>y>rF zHX~}6)6H_zC9gV^N5}T&aLkb#Lp`wBO%$9e$o7EYC4#(Q$*&N+Sdj0n48KP32Em&J zZx_5vaHn9c;LC!1-KU-;BHDl^wjo?>T_j%3fdkL63(98_!4DIDwD1LjoYp}(c2_BP zwjdX6F(0)y5;mI4C0zBxA-7fHw-FJq=ENafuZes}!k>|JIE*H?Cbmh`&wGU!7VIT> zf*`xzj2|sHMo^s(gck^Zn&7v!23DOX<iAVuWqZVOJ}dYq!B+&|5PVCJy*bK%C`iKs z`FO#$f*k~7=g6t^3wga3Hb><01=Z9W#7_}konP=Y-Y_2;9*8RhX=)&Ug`m2B4&$7= zzH*!c1TzG)1cwV|3+4#s3+nScUHDmoa|Me87YLRKmJ6;FWZ#;{NfQ#W6M3}ZsS<8< zPzDV#zo(fQ4ri#zb>9D=jEtc}VdWe;JY#rk=iF`KgA=bQJm#1=ch1?^C69CLus7X> zNfFGc3t?65@#&wh|7ZH=mwh@kFe<<`ukj6E^?7_x-1xl4&`-E-*3HUOt7WZ$<Lh=| zzr4=a1J5m=vS(-?ref+*fuRAWVrn<*@jb_n!&=&i^N$=mG}t~lZNKuSG|Oe5yq_|S zLz%8H%VeKi2Rr8`*g2n8-`=F1b6u*~IRiOe?#%7c7-(we45%>E&Kc<081U?zfpJw= zb-OcH**WnA&S&rt_MXEr>uzbbF`Zg>>uNja?-8rl-JSv3)$4A5%m`U`yOa@n-R<pQ z0(?Vq4`SUd?<!=&7t?*#-R?l3T6Y^X*4+k;b+^H0*4=Uv63w4y<Io(o_1gT&0B!yx zZN)ESru8Dq=0J%egwY8z8QY*}E79f!X^%$`Cb_DAut`sVvM0QWurPd*8Yw>IU67;6 zu4n8->f)`HtSqIIDf~nDHk0sYPhl)yyxP)I>aE~a>w47+Ubbk->a(AU%>&zp)+KxT zi}+towujFu3n<&luJCmBg7!G=DajD~8GMR`Kd#5O+Obx;gJ4TzFF2V}v|Yaf-=3{U zhhd;H5iGJjL9kV@&s?Ns$AD<R4Pi=lfs*aG8~j#~ZK{yoUdCfng*+dG$F4wZrz)Sd z+Bbmq#LuBP52B3{SL{ZmjN*#DQDyUO+jnK%url^0wqWeVM^;T^FRi<>@K{-Qqf3v; zwvEQ3f=$CNjms(8^4s29n%p5vEWsW1n&nPWb*LJ;5In5E3VC&cW-INxH$lO^N?>%0 zmf*iL1<xHeECFzg0(RYtebXkR_b8D<g4Pu^=2C#ypO~Hh>5+ub;XPw6DQe$m6|{?R zV>03eN^CC6#LQ$eBFT?cOd)}aVr{!U5!_!z%^;+~Cs^lUzrcU3S=qIQ>_{&b|H>VY ze=osILMD6yUQZ<f*;(+mH532JG5vY)#zuz>ny!Lo5SGCQV15KB$4cRmm<DBrF>5F$ zFqvtCW;E~8HYm#QPMv;mT)0=~-aTp<#3Qt=83d+`k<iN8qHh>yq=ck$Or~yqWm@)w zjH0Mlg=o)GWg->isqm^WrdFD%*=FjF4Ol>F;raHQZc)kU!)A3bgSGG*;C}^w54?7| z9w@`|Qf3o8W$uI5uC;QyGO4Qwp1P{xsq44!+O<}Whdva389c9*ZSc3jKL-CKymli% z<iIb1r|eevZSc>+zW{GKvz{Kul^^g1!0j*Ew!t?*Y=q#lu<T|`sg`F;$NzWFe2=%s z7R>iz(9fDzx#;W#t+wc6>k=o$b}G1*-6;<{$7DODX|Thucd}i_IgXb7PghOo(KCH8 z=EN%NezsGbJr5FlVfJq#k&{l5`PoC7i_BD!i)heCbX_3aG;I^@4wuT`w>s=RY3*z3 zZ)&b?@?QP@dU=iy>46Y+rR+O&5Usx^!vf1nE6*)gqXTMU^1OM|W=+o>G<4tLg2TIh z{s<f<*k_!8jkI=bbPm%ugFUm;pdsIn5lH2-#il!yF#_|UpdYFE(5ZD(KWC#n=RI}F z`f)j+VT$tI-FN{lg51#vL6_gR`Lb7F>N^=3(e9$1Cg;b<odP9yH<RxfkTdg5L59_m zFJESP97Ni^wQi8s7qGL0Nr=;N>^qqHwxzPebKo(8V~`2$L)vMw3IV3RyP>ZRk*t?w zcwN40LF+Uitvn=p$_UWp)rd3oRpBj%$47l_;kCY7Kr>ASJY8GZ3t!Mmz>}o^rJ$C3 z6NO@3X{Y7xLx4F(Fs)7N#t5n*r*%^&%SXqT7xOqa;5c+W?{AEt7W!UN8oWP!NJQiE zux52=-53G)<LupxJ|jqxIv+CMj}dfGV=t@|wi&Tw1nS{xOx*PwYskmJAC57CJnF%< zP$0-26?wjN6Bh~cWtjYhf|m+jE_j{bO@coa+%9;pAYa_6=K;Z|1bHno{C@?zqCUv8 zUWl9$N1Q}N8#Yx?jRD{WQ2QeyzLeqM7mGa29puj!evR;YEZ{l`zgfa*kD)vbNknD5 zg5H;fe~k$K9pM{@D9^`4v<(h!9;V}5Y~s;G#CIhkzMq7r2|rBuTq5Km5`L<L&lbK! z_{G9k5>bweB>Yn0Hwk~0@U+k{-&=&=F7Z2r|DEu)!apfIPJ=2B+ZmRNZ7h*(3o$I% zOYj82){c3o>kN80my!C)1uFzs2&(H1@jn#)D#0y+?3^+Et%5%n+#&dDLAH~WV@Hp8 zpfL`09YXFSk^fxqUxIpU<7hemv11$=5}qYUQz7#mD>zxOP_S4~UB8f1*DY|Rgwqbk z^m>d#UBBS3m2esq8UG7GbsdA(V_J_&xVo+pPSYag)%6T)avg*JLgK#??7-^+;re=} zF_QdHL7E@Qj~6TuoGwU%B;(5jX?G-lp&$*5<bNcnu3PXo3$NNcyld#|52r!N>+6%x zZl;gDPCH3BUKdn6-=itlS8#w}hG3T9aKUWB9Kn3S0zn!@sBe~_YB#|b3BN$FOi*9v zD}`SrSS7etaD(6`!D_+Hg4+ad6TDq;yWrh|I|X+M-Y>XY@M*z1!50Ky7JOCk4Z*hr z8w5WVY!v)bkS|577d7?^3<*!;H^Wl}y9pj8*oo<lF|G6yhj~L(^6&2>(ZZNk*3h9@ zp6G%1k;qO&W_Yd6QV_e3#D7;+`};^-)XY8-)t}ipE$t&w{aK($?`q&4t9Oy8{>-Ur zZWoDl*hOM<GrLG^{><KI7m3Z#9J`CeX6V(sNVrXQk(gNry+wK#iQ|to_mF`0Cc8Rd zkBY_6UKP881hvQRAhG!~H%IRvk)@6=Fp4`!T>F{b><$vw8v9jn*9Pt&aqVYLQS1&9 zKbIXOHmMyXMqt$K_4m79fN{4|bWN{K<sK5Xd4Vps=E^=2*70?-xYpn-UE{ar>U|`- z=v4=EPzU&;xVL);caoU1a?U;2Nn!+dlE~{8-AUrs+_&{k5`0C;<}eJJAUN0NwQRHF z#tuTP3p|dHfF7&k?SMfv_C}&>65b}~27g0A_54wLNnn)$2mYhG;VuOiU5Jpa62)`u z8wz>5V^of&NGwEH?{R!53Qi_1qjGFCn%zs{4n)Xz##6kbvh2`93_PV4|6%yp?~G4F zShL?5zXuVCe_^cp&bWg@fIi$a{YNEx!#I7C+uI%NoVe?9lTpT|gF6Y);Tw+TBt}PL z$SN1YzaEd_k%MMS<GT$gJ%&gKjNW%V#xu6>yO+dVsKAI!sD=dV9V0c=a<@|0@?H{~ zRD2;pXV`Sm#>>#$OTtzY{=E#bu|zaORqWf9!U6*G_C|L6;{)Ns8-qD)__4DD%gRE? zU=B&XLSUT$ftq3mbGjj+ckNK4=k&$Y9IxJJEMEJqn$`aAoy5M6QQnx<u9s4xPFk^p zg#W$qW_FOkH^k3xVF!t@d~Uo(_Kyhn=jIblc93uis-28*MDHU}!F?p+4*M6!l~Ff3 zQCnGbn;3Iju<?3(1E_yB1>8@gzH6lZqV{7?SZU(CaP_fq5Zr}UHk0tTn)pt9Rovel zqL~35!z`|^lp|_py7%sE&Q0f?t{w02!?cHlGGlmW{)pc~;ybm6gxLz~wmE_mhz84d z`8bd1Mt~-BApvuR@5=BF-WQRyhvIczJw5mkEri!;Kw6bBW^N`SPRA_(uW3fbqd=U` zr=2E?5Mbt8o#M@(XTHpj$3d)w*J(gnGf=2732{1(FHfdEPHcusbsT)%*ZTPCq|<=3 z&VxS6X{X~ZMS!U<#n6X05ntaH&^irutcSiuMt~++SEjx&^zrz3ynL<H<+}|u(`3NY zaSsXh`L!cSf0v+^y9CObvpesC98OgQK)DYg*WBE6@3lZnA%7HB>t-m+N4Fc^JdVCb zy>vvJK92UFk+1KHkmwbki`E&B1(&ApzJzTn2Z5atqV=VKW}c?LY+R@P{8UkWMvx+@ zU%SI$cV?NQ&Q<4+Hb2klTJpGWW3D={AJ&iOnwG9Ldq{LaLe>%6kQh6(ewxKvqP->@ zY50<@Y@S41#60Kw+e3n{wu~PpI8tzo;6%Y`g0lom1<M611uqfYAb6$VwSwR8A%Xfv zd&G8uc&^|o!79P41b-~JRdAc&J%aZM9_k(v?~9%f1lfgT`Qil+WMds5av6f0B+2wA z399Q7?Hun5hSL#wcM?AoWKS>vp;Y112kGyJdzPdF*_?)Eod|0b-GOaRAERA^^KDLD z&~jkUh$#c#4_tA@-Emi69ST*)UzKpR)iCptn)kPF3|@UnWJ6?W0~VR}e&u~@V_E%% zjj8q3iC=yCudhC-uif}Teah!k8Z77O2Oj?C8<kJNg9|WL`)51v*!#xn^?O`9^ne}N z-Dss;kKIU%>O&icMGj8WQ=P4A>}y{8hjkn^Ub@E(gdPY$qZ@wZ{Qxu`Y{{nV`RfJL zw9zkH2xW7+7Ncx`Jm|6ou5nPdK$Efsx9o0A4v+4h@IgvK=!29{@T%1x&%G<*C-K*Z zZb-G0QUiOfQ2aG<TT&XF1gl|l)$8vUY~1ypy|Hh7-;D_$d^IAqK1J2^uTj%hAJ%mu zzW9!_5wSkHw8}cp>d6|{HBEfF^V&Ue0Up(Bjot2M{ru(wJE3>v6zq#pqP`2?x32L$ z8#}<>j`JARF6S}8b2;%f`wh$}JdS5{AKeahhaPaEdQTcwhcfr?>6Q7!X0-0->QLnk zdOCwF<s6g_y7n#IuU}=Ec)UB`NxJ*@?**>Ft{qph4p`1r?^H!*zoUlrro74fPhIA& zeKycO69H^2_!43|?7w;|W$jRJ1P21a)5!(m`2cWe5q72&Fv1P~h|D|&>-|?pkl~ZY zS+Sflq2TdM9ExuQ7u||=FXP;JH9TX7)Tm3yRSlTy@|lP4!gB~P_yf{q_83609TlBz zFCsmS^fLRWpyS+o)&EoYj~~hKdu*FQ4xgN%l~&+hn>Itei$?)MF-bvQ3hczYz}r(_ zL)eM%iDme+3tz&230V#ldAqXmmCoD6<5UVi3!gj~fA)--_-_NbHk_M2o8@TxH~jU4 zr?byli^z7LkX8}5gXqhM^KNV!!8*!e#D(`Yjo_U7B1TmG!H-B`9voj<$%q<HKd5F+ zeZ8!tq>)Jup6-shzlST~XpMssuBLCtwSzo2?TAmqhMOajPCAH9J+7rG@ZdJ}h#Cq} zL$UL`Te-0Z4gpv9MZJjZQGgym<cW5yi(Up9b*lEQ0>Wd64_H@?BT^RT#;BZ}Cu=Gt zE8y`(c@AM;3=gsj(8)`me?L%k<wtc*HFcr(k*biuoRDjdj>cn7rW&h44FHQd)69x_ zfF!V^fn1~7{gOtL7qX;G=U7+WznTPxEMfg5P>BjBu^v7SMTo<0AEenlAS1%N@D9f2 zYxF@OJeq5vE_8DyM}1#WrYAg$a|V17`~vtgcwVp<z-xCq2)@Md{^vvl?RYzUNe0EC zk-rl>2X?jNUBRZK5PpUc&YMCTTz7(g8vc2B9@<;*eNp)w=pO-}4ZjMW1NEjek3$Wr zdb84x)EUI8Q=qroQj>^j?Tha6;ag4}R)XyK@kh&^#l1K1W&4h4`OZqt-u*)$8`FY! zU6h@k9y$g5qiO??-(3_o=(LIH;2u&j4_p*ha<z)9y=WF<Gz~dV$?sI;qZcs)pH@nC zshB53$$g4@QYq1Nnsa8F(<RLRMPaA&1ZPn;KC^Xc(ZF;TZ%beyiu<lA-j=`wMW@vu z;yR`39~Wgi=Pd+#v#?=IbDoM)oz_%jLX1eCbf-<4vph{5ny;!PJ>41fqBCVyAQ5(7 zR<K#^oK8D53no;rgLbH&@bWFN75P{_@$cQF#5X3>THJyG`kbMIaqJLi<qjm}iz?=+ zG~T{0%0jJb&P!j0y*<Lrt|gPNS@n8H6u)?4#jad*=91C{VWnFcxsNEzFdnm(X&2^0 zLpwHKxovx`O;{INAAecghT!GyM(2vakL=vG1A+xfqub7cWLo9<XD=_F4_v;?!!k`_ z-xO5sg5u@HR@(f^N^c%A1Jm}otdDqO@iC|hJQb9^g!PxjKf~!KLl1;ePBoFhSUJYg z^??t;A4o0(GO_uZj~#8T;(4WgO}qQ?UiK~++TbWd&-_k-*JS`{xhTNjjQ~xKMVzVc zHgvSB5lMaQn`?c1t<`BjT6++|e6`bYd?D8~<DN$!evJ{J$x_6b`DPuB_aH<vUxw>^ z`Ffz!fV57<{Gu=kaXOCu3saw)>e&>iF9}}jy8^UM1JXJd`gW5Lr{gXIZ|ds=eY9av zAI$?=-;JPk8j#k>sC>@1(oV-+j{s9&J~}o$Pt*tVsITu1&`iVYfvys-t$c0KjwJm~ zK`qw@4Zt~CNa85RE*(yNg^t<+x#n!j&q*3B_X6Z{Gy~-E(mexj9>+u+M+(At9JqA+ z<50am@Y;1krEwyHcKVQrMs-=QB4HH*QQiBsDbK}qf4Wu%)cTAdMVjG<!=}t*j5=>$ z;-~!s(6!`AIDRXld7km8bDGp7&l9iIsB_enX7apJqRxR8m-Wv2_gvG`IqHn)IO^{Z zWkAv9+|>x7p5tTq!7==(7=9vn)-l^E-zFXNxb=+E^X94TxaKX)TwrN>LGc2E$z141 zEX*vwKn?4gs+e^ad?L<0`yGim+!@59ynXx<(S}?s@wAIFew)PKMnpV&>P-J05%CQY z|FOh#PBY^<r<w8G7l!(Ch)92v#MesvBND$`;=h#mZm18Y4-=8T7ZK?TiO^pm@yjKC zrNrML@oak!#$G>w^5{$s7NqHg{Aj_5;55Nmf^3H=w^(q6AnTFgn*^^Byg~40!P^CC z5TX1|!CJwm1>X`3pnfQqK*Y0wrV?U%BHFsn65m7k<ArD2%lIL}j}l&4ix94CK+vnK zQ{XEY554T^29jle8Sp<8{uYU6_mJ_w6aE3=Ul3l+DMvgV_3;iTGKk1~hRF7csJg+x zUc&bkq&bQ4Cky5ajuR{poGw@*c&6YI!DWKy3tl9+R&ax0wcut!-Ve-wo1i*h;O`Zl zU2Vqyx8Spa&kMdH_?qBbg6|6cui!_5p9}s=u*rEwKCyF4drN)y6IACLa#_NkEI3+l zlAvB=FiZHkf_jcN$9H*LO|A#<>i!Bv&mW4Z<Xk~SDL(c*<Ca$8vFE$5gbxtR5X=(H z5sW?W6D2(M{1-|10>LuDa>12?s|2eA*9vYB+$0!#J#3Ni*z4jp3BO%%yWlRt`vvuR zR`rn*z5dfN5W`Ux?G89DGkj=<Cwt&=nE|-J;bO;S#$qq;1dh#gj$Ddy7^~shs+#w& z**G~8j3h_?bkO55@ff-Jef>L$DX{!oAGNc4RQ*Yf#T?AY31-43{vW=k*1o>Ff8e8b zPD#~|@g=$W7&B@8Xbxt|q8x9I=qTm58Rc+BlwbrWWk~C_U`{Pc^2mfvQj)(_g|h6C zt3R&1D{*f^*U;W4LY*6&c&lLz##JI4e=FlEtGdUIP`C}YyZkjhlAuRCf=c_Nv+Yqw zp|q^6zKwxn=GG0kS8IyZ!;vqJp9Efw>@$86r?fq`*dBGXlor}f8d3*c7xcl%Ni(`y z7&X!7&>p4FBFpvpdv;>DnNgG9`lBYlc}K=fF4N;imwV$SWBDA%56-n0;$O|sy${52 zh8Yfn6{>A@UEUg)N=!Qg22rkLLu7}-2y{cFhs*?t@f5yUU_50kBT`6DsbGW~ya0@Q z4nqnqRUz?12$)!_ApQd{@GTEigKCEcQ9k6d%N6A9;*{8IVuD>sm)WJHhawVFk263w z885N7A;O-BKW_~P(GLBDfs@$o4}cF9GjQ@t_@70#4`ZkBt>Gzn?=yC4{Nz)xiD~$= z3mIDuxdaZ0%%B{fu1S1_n-5R>d14RhDW~KXRCD5N5FUFcYdz^&{QV667ryK{Do<Zl zv42sre}>#1_!oWI^C<f-Uskd0*&|O1Lq<Jn7^%u|A!P?4(kp{v^C_DQFEZCtW&r}* zsB$V6AqO07i4L&1=D<sfqb;!$tJP@AK~1bqN<yJJ*xVu~+1nYXO?2ip4+zeM=e%no z4-#~ksFnd)7xBsFyD%sWiV#Nfj9Ie@l-zeZwkJE4umIk+rW5#JajfNm8WQh$j9Wv3 zk9x$!)sV<l+NTpXD{>a$et5@Pd2!7w<j7nx0)mvj3xmR-3L&CW%_QjJMJI3Lfn`xh z4yBhOPbHMWJJz{w4T<d@6RIKcPmf8iA>kG8R00PaP!9wa(XlRA<rhye5LYgm>1kmE z@F=kz4ME+I)_JRINO-De5LoyiHnPE>49N}f*!G6t<+Q@~b29eX;bBaG4_MVxiId<H zv1NI`PXhgVewv|<tlWzqP5hBZPbFRjZ_4t(@Al&JiRyI_dWnC6H)R{Zvu=>@SfXl? zAWJ+3-jro?a2`Bl3-q}pjbzHr$A2X}_f!(652bDNP(B^@srsm}l3?@jU+~zRUQ(zd z;}Nx#gssH?sU&_b`oLOB!p6coLw+v$_*zQR7uV@Y>RR=4>4r=Z0_UoK{_!f+j!oiv zc%JHNcn(^<0M91vFYsL9*Bw3#PxYoV^{C!Z>j$g8i}U)U1^~U|jXykv12+b)*hcHY zsy|>en~rJD*=g8eK6{9Bep)UEm##McoM~xJ8b+g>snt&BurqM^)Pd<Ihti!D6P$#w zvtnTu$9;V6c&2ocCOGGYIj)oOqEj#dE4ytwI=;cjy!RDHRbuN<hjix68(lbdkadI% z=-}wpb}_)FLpsgw5~FJ9poebM`S(Y<`~?Y$XlV?m=>P@xP&twYb&_#Gn`1hCjoX}e zd2ZYA+R(cA^>LR4H@KHO8!@7j-?neCAdzy1VLazQV9S|*+uZ*QoB}k|z6F?e0JCM* z?fw9SoA&e<I(iS>&IqRreF**(c<R$`E6zV{irVQob|XxEYtakgQ-b<v^U(Tcg4St3 zTD6F{mxMSSw+OtZ8C8ki#|qWddV)HR9Sbwx+m6PQ3v$et;X2=ypmiFM)*c*On1nbT zw;a5wFAE)*6ogS9t>jwY6`*w*kX9lRjUypW$Hk84<U?NtWT<a{<2i-USFDvlR+HC* zHp{mY`goqGuN}ND-yNWthSvk#y|_7dXeHoD((e@1atm<tpMx;%wA}9zfNEvYmHQLq zS~H%Lf`iP~iGVC0-81m!aolgzGcFzfINF0YPP;V``u>72?erlLjmy&F_dzQVn2K;* z+Dcyvka?Q=)*`Y1VcKbZn%8KCe^cW*+y}vPx|TfdKXp8Zb;`D>neiKAdmBAwv)}QX zeV(^$-#FgEw|9<*OeSJHgcmTw+38^T)e_G8fZ==(XZVW}{<(znWt-vMh|oiOFXh>p zU^tB?48Kjn)%Xm;cT0GKgtx`<Ql5KeP<}WOde}xD%DBsDrf=)DLBvVI&lW5eTqsy6 z$aazP>jXCmUL$ye;LU=!3o2U^((M$!R`6-Tw*}uLqU~-F{FsQgi1o^RUDOMCH7*0b zqwuN|2fnB9%Dx0S_EecJN5Y$o!yuoT3<nm8948-A|5D-AUO(VhiyRGBl;0%r*Afv= z>l5?&sql9aA;*cj#9b2qki<VO{By#;M1<V`2)-rp^%DP)@c$>g+8ZbtZ8@$7=F97Y z<>)Lts!Qo(%Rs#lRme7tJli><>fr$g2%jN1Opt9h<#Gihg0lqY3YG}AG!As3#IF|I zAh=2J$AWxbF~4nsw+Y@UsIDW#?-Krg!KVcOAjtcXdj2f<SHZUhKNb8!Fu;0+e4JqH zI1tBM8Q)!y_C)e(PX{2)Bjkq*s&PN?dBRT+RM#KE^>sH#!f9w=dOm}QD+DhTyi}0A zZN@7zE$|xQZxFmm@D9OW3hoqC*CEn9DEy;>?6p(x%YttRs_PKp9}C|o$cHE8T|ur_ zBcCG3cRBKW_ahDv%n)Qd#&Aw$B~BD95Tvn%;d2G~tRv6%pSV(RmEc;z4T4()`Tj|H znrDf32|gwG2SJ)-8ULmrt+3<+c=!`(h9w>)NXskvA%Zl$k{>5Xt1J03LDgR4#Y^9R zxa?HCzCZa+M)}zL6ivShkG)^R65dO&ub{q<hYQblDeC=5Q0YS<w7&E~LxzS8ci?fb zL7ATTp^t-AV;rm<#=&mDIM{WEWgN`8$N4DU?on}QBVj4`SRchZB^8G>7S=WKp1?=( z);5&okVeBsbh*d=C_eCJg{#KHma6fv_`R3Mr#3httKl+?hmG^c!@kVZV^6#Cxy~p* zpKF0QCU#znV`3Z;^Xe_W(LQGEnA7XBS}`g%w#88~j){3S2z@7w9djt-Vi*;ZdW5c| zmd3??<ByB&+GkwsGH+ZgPqjz52lxiTXOw+6{y10^hBqAsrPQ;-b&o+X#=VxK#p4<j zY{)a7|90F~wt@1I;4IQZ)YDQv6zm2sV+xu^0|n}_=f)3ZLVrN)SVTov6{S$njn5|7 zp;HMVw;B*k!XLKb8HvO}y!u%fBb!NjHp8167h8Y`Y;Ob^)jksK(CrMI=#PudXW*ol z@IMPaL}`0+1xP+Yy>YPu3iAP(n2tYtDr5P4QsZK$Qtnl7YFumq7#?Zj80tBTlH1U7 zdY=_m?7d*Eq%HXS1-&m@LD`plS;g*Avh1!kz|)BA={=XSZPAi?dKKFPtd(>;WYi;< zic}dcpzIi5R<W}v%a&DSwoqmj0^7_1VJnuz;a-LG?;RIYkI0tC#Ueao)@%YL_d71e zUI50$2;1Q?`em!JrVl+PP(y-OFk+k<5`5o53c@x;&Llhu?^r8V*YGjUT#ex%Xt2WY zGA_m!C5)O8bn#j<F18pN)VLUlyFDgUL*h%1Nv<K`74K96?<Uj(VH>;}3e&~g-?$i$ z660cokt!X=#YlLnXAoHUAXW}xT#V#mcpMUeIqA)ibY_}I@s#7EeFA(-<6}I+C0_h! zVztN;*&Q>-u~-4B>t$8v6IBz3F)t#gOqsI(1kXC+_!zM}%E~ejd%&BrE5WN*Q;dn} z<1oj^*gl*K-^}<}ho<9WIlXd@uYsg;zrg?R;EgddeN_8P*1*Ilk+B?3AK?Cyu>tYU zS|JtC>0{k*GF-po)HPh-C6eBhVq6{Mx5NzCh@7^<{~7*0_~Vg$IK1h2wQDyOGJzv| zzpLoD*f8%y5Um&%d$!qOv27gOlE3J%*iF%4F=yxM3C`12_#<b<b`GRrTx>hX$4<Fp zKVxAS3E~z}=8%}bGN`1ico{x0d{&-bA>_w!6s=M%=V=o3jSPkzc(D*`Rz{ZcM$FBd zG;RDe?|<I3(&gt?NJ##)f_W1c&7V7M>AA~FO6THB$5yMqwxGw&{7tJ4FkaTwzBWwi zinVr-17rUw<6yk2zv*$XVMqLz7cc<>O&=OPW#42gn8iQC>Bg}K!YIc#JGxjo#?keG zXW#ljav6|`&DU&+b$ibKwK)#a(l{6&+`0@Pt?ei{Z6Vs}INH-peJzcH&48RvLmhP} z(7hzY>9{iRnr74*^!=~Y2XDmj!EEN+(m2=(BMnHa0}_Qvh|_shf;aWGG!C{AaykuF zWFiskUOOGP9s#C4j)UbOtTQ}@bo}w2t)O)pkk))8VjoI7O|k=G>RStaye_D(9X!u3 z`P<={MwjWo67f9-twiF;vhGc}md3&Eha9TE0-#(=<6w1&HL|f<8V7qCa^`Vt!f~V^ zjK|RlULQwu<6!L8X{Yld8kglkje||r%79v*5u`{n{BVqeb!?J>w^tU|rg)A=A9dfx zIM~t1j`i<3o`I-q&AO&8QE{cGcMP8y!_yeRI%V6`%s3d|1P)>uQ|x2f8wcR{65B71 zJMkQI+-VsR;nfnpS;BWoIN!4=PkTG%SxCzBMVjF~i3q2Og5m5mFr4;mhTkLM-130o zs`F1hI8LR9NIgV^^TnItaTLJ~!QMT`L3rI0RZ<}9m+NL&ul&XV+i%7X791hS^<oU4 zC^$`!MiPdX3YH6U%$wmC3$7E~BzS}1&4O%KD6hsZfIEe+6?|IoZNc}5!SBbxz8?qk zu7lg;diZ`E%&QN!8@xXbbsX#w(Zg|f>U&l24Z(K>*;{A)mx64U$cF^=_?OyQ2jQs_ z-d9kMe`N_jTrfv4UvRqMEWsi{J^ocLJlAD1|0+Q}{<TT?YQb%Sw+Yf5%XI%GNDD0a z{}H4KmVCV+t*+!d2-56IzPBJPt>niG($q?Rt{|<f<f{Z#dyN+@J~J6kN92>6$agSe zvY?hj)33tAg1rQ3c%;0Fhj6O!>dCgKynG(!x8n2V;RB6pWo5Mf`{e^zNLco=6nNiy zsMvXJs7GC8_hTdYs<ay_8dtQTXm^qQL5lO!9a%e4i)IyVDSEjm1>YJ^u5&&}adk*) z@vP!4#V;3!2M1365ML~aYu};433RBlM|6ygZgi6Z4R&D8j;=fGlgP!@B}Q_A<BHlJ z8#t-)xr+NM###^11c}oH=h|wWi;Dm}WA<?vyHL*+JH*#!jBPxqAf6ULM?LBE9%+26 zJL*}b_ed+Es6T|k*MaB|MmFQz_*E>29r}fmbk&Hw>t610jey{J5WwP|F`(mIJ`v;X zEAel0(PVUYB|F4HaC;;L?1F^xgi(B6hT#(?lg*il|BK)gpC_A3_9plw^?B`aUP>^T zmOb8Q24gXl&tzes#AA^Do3{J!^PvHBDiWH0cOd3N14+@{#W<@&Ii6y!e<5Dr(Y#B1 z$6Ez<Rze4Od`ZBqA;DWieO7=(SC2`qArXd03cl;{?1LmMgopep-x>?vQq^u15|}qf z3!>(D3h)LtJ_*nlEUe>H%{1~!0Fs3&rjRff-m#Y1>iYxp6;o-~knqY-8Su-{4S_sx zFC#@X*^Y}LESPp_xP$`Mn_!RFF9j^r&6nExsugC_T9{4ShZpzg(Zg8~c8*HR)Ku6x z3)@Ylfju@F)OEt#NKXG=)4An;V4o!d2WLlYVgyx70iwJ8!|11`(5w0HO$4Kh5}E{i z>k`Zc-16g<MOOXoYe)3#v9vLYEwpvp{G0RgTQs#sPsL%|wCcR#@^edN`Gs#*J(A3w z>KSd$`%l$3ACULr=(fpgopk}esvX=s|LC4gQtwB;Ve{W**BpSVXZ`cNi;nLss}$w^ zvDv1}gIDKCao*5rjuD_q_LEKfQx5vnoWVdl2wua~V;}^a0<Y76RNsWVm4rAQR}5a$ zjJgJmyln(%@^r+R`4+UbtS};(FZ1JZ5G&wy8j#jzymy94h|_W9;7xrM?YwtV>f-}f z>std_rvYj0gg!oDwbOB{5n$@8GW78&t@ZsFv`#}Ek3e6h5unMd5NGPEhCUu2%ZEev z_1z4bX)@sH{)vp%X(ixE(r*{kau?!)pbbMiE%$2#ph&Dn<=%tbNtyv_)lV2Sqx;5t zwiVKJJYKp-;mzaNV$=)FH~w+ZZi9S%Hy!$3^touh%-?$t@FL$!!m%Te_D{a-FkhY@ z=4tBdhU@e=glVUbL-QKV@WWxRWLct4*NPYNZS3XGI*yisT-3E@FMnQdtP{59QF~+b zaTwjmU%#%1O%4|;uY20ucy5WxCI?hDIh?oN42RGFi61ODLU6QTL~xoQUs9>BRIpsI zQt%SN4T4t+UMqN`;BA6;2;MLFFcFQ}<ASOugA3|8iRT0*=Kn4c;qMFosqkM4ABT!# zJRSA%>^Il94NG`0!4m}21&0e}3yu*SFUVJE>YX9Twvv3YV40w*Cxo-(#CTOtKvhq` zO%l$Y6yt9Z{H5Ry!Ciu?z9846p1?mZ@w|Vj=XJrq3BD)TAjoG^0QWnuQ_9m3b$$J> z@a(??(0=eegYnT<L@fVkO&>pVct&gIu6FazTa!LM_SSc5_gHz?p{Ji5xu|=7W9X!` zNPeT69c-|Jp{$?n7_tLl*$m<CCCPQY5$o||AR8DthO!@oT=w)Ix7pJ#t$XmJocQZ< z`##V)V)x&I|9LMbMeN?kH3pJab)V7wu3XOE+!|^BO4bgB1$x^f@5<d8NqD7OBrv2G zLq^W3y0sg_K6&}KxhK~>zBiCCWzT8Ols$Q&j`v!<?OsJ6wF}yN$J(>I7k%`DF<WzC zNthGBj{9w%@3|w;%j4spPmBbzU|X0o3ATl;xu5>=!7;n{#>FM?9hcm(&K_A3$&4`n zxCT3J_Kxm5>=BBq>k{dK&{<VibPJ4V<kt=zSR~SKd~Q?Z=*Vv{_p+Gx0L~ZAro9#a zHXmNR0Ad|%6F;Y{tv(v)sF^QAav=pXg<5<Oh_3+WoJOH3a~R<UuLk2>&X9t$RY?39 z1m8?C0#Q*j#Xt(W@v2C6=mK&f_idHVJz1r5xr`$?3^e9i5`uZ8%j^Pxw+BhQy#}<H zEcBBb`<XCs<h}eaNVtwLip@qCK0%o?a^{1a4xcy^e|9d}bIJBVmiD*@K=OV{;zb`~ zxSB0Cg<=d(?n*Jm+6Bqg2+<75@1Oz0+t33AkM85)TQby*EjxLz3SrgYJ?%T*=rBgG z(rZ031gQ?J0c&nw024s~alT{KV(K7)vHKb*_*N$d3X%hq{7mM-G-9A2$z~9mqWQ3W zRgULnF+8TFMPvC?Qmz~R1B&ADd5%RfoyiYC+<%0L;vhCWsD+85ZCWNjOxQK8fA49T z>BDl;2lnqh#!1a~3bUQe>N#T<ov`TGz~HvMv~|K6KMSicV)roEe^*@<NqX3Wh8(v2 ztIa14_JG9B2btf6oe%W1v*MDoN*Byfb_L&JLVHLHh89E}#AXOl^UA)a7t@Af?oWM0 znKSmWXYhvBjyG;@+tjsj>w@du%bX1XK6MUe?}8Yc#`k*`=$d77>bX7uHnEQIS%Mn0 zoY!<WwP|o2hIYF7<+Ixew#vYi8UdP&wP|GF9i<47?ECO_R6mlZ#OQklt^Ia{X{YrS zBS6!PJ0A!1XDwvJ@jYF-2P&3*{030Wm-V3YtpIK6n}&!m!nD(I<p?nKRi$|E)YP{> zn?@z{LDY9zU#v}o-&3R<^(DjW^2ORT)*ym?a_uyE6#~riZG$o%C-v>mrg1M$!o6Av zWJ%Jq?ui-jbPLgkIL9N^zm|)&X}kov*4Q*Up>SF^74mrL9)&lL<8~Yee(E?n0(HH# z2d%GVkXAnQy+}eF%ST7_UK|u_y^6|hjZGsNm3Ew$MEz@hM$kUt-`g}gsPn|SVw)ag z(=hfCX!5N0<{9Dq@w)#yHVxH>hs|J&q@O6rcUbCE{T5(}@D+k91TPlcBzTSB4T3id z-Y$5T;7-9>!9NPJJz^jFFM@9paZ$f3@t+8OCE*-WW;xpuA=goOu4N<7`CW`xFSXzY zk%xY@4>FKFF~;YM{CMGK2qGIL&wGdIR6PTEUy%<B_7aS>kqnh^WjliWXyL~Q^2MHd zW(m#{RP~K;cEuQfzTjHH4T4t+s(OdqO~T(Ic!%IG1=(k#zFNV@1(huc;fHSX7)F!F z0p~Rg9@hHr3Eq!(5w3~NqhY5L_t-sod*b3<ckG^ydG5!n-RnYmd)&Cxx;Bwj-C@x< z>$r$JgjR~PcJ$f-zT6#RpA=s=AVM0pkh6MYuEM9VcwD9|9;tOnk!SK8tmmBA<)^80 zyWWyZ>q(nedhcKu`FPkiT+p*BO1hE%^yLOM&%x_3v52nC>(QQuKR!5OU0@eN0{!^@ z;CNFW96Q7_=LCZ1B8?MJQyv1!uApoQ*#A`BF+XEMWlM0}_ytVi*%F*k{CVKSmVmfy z#<}r7q&7QrvyxO(9vs*Gp~p1>g4a^u47(p`wJx-&ErFM#*b+8^q_ablkjA$qjAu~P zmN1#DvL%cJCDR|~gX9IB^Z;X(Ey11vs#&vuxud5O{lr>pzN!|xp7HC=Yv8BZ@SQf_ z8o)=Np4Y%i0L{DJcf94%+2@h7RgCHPvvZE#I)RCs_WL^_<Y;*5_mkvBfmMgp#x&CJ zCplNekW!9s|16sKJXeIu!K3!&Rm*7o6%j}HjDyy%)2c;pzD;;@w@l}(Fy<HZUpzc# zI=*I=6T0jholy{dG7s~*wyo*xdb0|A$^A_vK);wv!YYjJ^w*wIaG0kH?BD9aGZ*cq z<1p033vY)<e~N)x^L0dZ%=s?R(mVs+^P}6QtaaBp>jP|H51~)~{rV}dRpUQuQn^0( z$7`?Ok74s^IxRN<fu#9fLq|Ejt7_*8kqgvm7*q@2Twjq-H@rsrt_~LgZLVHx?zPD* zmwF!hQZ3IjD1wZcFXtKj#7L*(_z*DjZNxk4HiR)>=Evh8E``@=Kw4?&=!Hp$({X2k zH}!348|^D5B2MeO6tqr56_cUQd<yEgixFq)yWP;o`$X&83|glFX`KOm9gF}?RwK^T zw+s4sd^}!|zP@dsnI;3Ct^yfxutYnO^mhtsxg=Z=&GpH5LJmc$04TQ#a?SP0cO%xw z#@YorUTfO%c<CO2H;<#%sF#k2)AbVDCw~k2{zL`hbY4X7>F-6XN@`u7d^=7;53LNS z^%+5mG{X-^pS)d@40Nq{f#1eFgAT|l#V-SEJnC9A&)_JCvrgEa$MnggYnsdlKjd)g zFoM_mK6!u2<9_DA9+7Pfag5+Z!T+>AISxzpMR`@QPs(<J$n!-E3-%H`K`>oV)f42{ zc2j=5;3UD=zVs3aUnZ#g)Oy~*1_|FJsLmt$p1M2;R{7}i)(hW>hzmVcc>i)(I5@M( z`2V1A*c<5g{s(1b3>}JHt_Ghtq}6*@bz>ul?g5`;c=906qpXabKMbYUoz=Y?=lBPz z-nSB~@WquXe6POheJ9bvT>p=E6z*7q@HGfugYY#7$DIG{4t24iYu<Me8-$O8k59z> z|Lpgkv-Sp4+BZ0M$GQpKJ4P_~-`<9Afz030WyiGcq~hxWkz0VwH%0ZTGte_0iW|pk zt6aMqXq&xCHr}xgW9-U~V~5!MVql#U|M5+a4+w6s4&W$5MFGdCF;spb!5>ZkA-L#h zI(xtn;SL}ew0}rRx_?uF?rk2Ig1F!>Kx4O}D*<utjrfnZ&tf6D;|9K>!9O@VM9aK= z3IzhyIo_=QiFbet!+W#-C-Da5MU=P)fA$ozH^F<e{-;Y)Z`%K<Q4aI|XGA$n{GTZt zbTr#Tj&_O<`n%(Yr-vNcPY;<Dh90tad4i^6<BL5{2z+ikcskdR=;|>cHQB$X$0XN~ zU{i<`3jr%Vl5i<J5;vXszgWdjB`|Mxu&365@Evc{ARh9Wy=zDghqtX$shVjRgZ*zv zE>|&A30_W^`43vT=6c)A&U7Z<4_C{7!r(cZuGY@)e+J#{l<?wo>}FD$=5$KS)Kr+% z2|ni>Ght<aOzoflO;7FLZ*SB)GBq;n_qz8p8141X)xYYs`f2vpQ;&9bzbhSd^O<D% zeeNTwyRF_2%>H&K<0j-iIJ#|UZGe-EzkXjE7svN=`gOIUCY3vszP8ymvK6MwgV(yr zKMl`u80|DU3IS%{DFg4sAw=>Kg4aF2?=%IpP6N`43s}~zB*f{sV(^+~)E$s}M`Q>( z?sU**zQg&>f=K4e=NpfMSOKrofK>bShe?RjapmBf=)=1r-zljt5nk(C16rp6Y2EFZ zeV1t5YQ&lP#u@sO5vTS27__Oc7Wz2XP&-Xtg#c6EEa>C$v3xvqt?y>gOp^gm_dX&n z(MrIRq~9*6<(A+@`W%F5r{#W)02GPUsNCz2Yp$>T1Y(VBtZsNG?<;8tEFayY@aAz8 z8TGQizIHzJy{I&Je>yKBE)n0o+RDCmGOp9({8UZLZUi?uro++K<~0>{x>mf9Z=<ix zI*yj%;QQLV-dN{sGn)3by=QjJoPM^|2i?~m0Nw0c4;ED8vfxJxKTdFx;B3KS!7{<~ z1TPX?D|m(A)q>XxvOmClcL?qxq7l1au$G96_c0>mUJ(3?g#S(W_l5sZ_%DSAH0hhN z9XOc2^l*_^y(g4wjPT<H3k7EgvW=vERWCqQFTipMSM>t^65%%pZW2`Wf%sd5|D~X+ zAB4x&&*KvRl;HD%yl+_!G#y`iu71hViu3nbkKaOHJ!`Pum-@i_OozP2s7YU)bM^VX z)y??QYIa@qyM3)o${s4)-DqVbeDKxa?(D(GqsQ*<ZgdNFH-=JnHztQe=)oU-@4OwG z-(73{wCt~CHx{h{^-57n7W(ibkE+}J?l$Y@vNy|)Epk6d3C=6JzUa?I>Bp}BL$5`r z6?ZGXq4;&K;vX4=)_*)VWlutvarwLRyEQmYSFHH|Dmzd%I#Loz#yC0V-=DB%$ABFL zjc&SH*MCAnU4EHb*?-E~vQWlEwYvWkJ)8akHD?0#juzMs+qidM0=Yy5H2p*Wz7yin z9p8!w^zYSG9*DOQ=qRgK%j^635)kd`PX!n4>L0^6SOYxWzfh8HoeFf-1sQS|A<i3H zZ@Ru;J<`4P{ZAqRogI3R|08$eKMWu0OIEG$=UosQNLH=zzYSEv=Va9if99BItni=a zb@kP9|IWxCEc*J2?eDSZ>?_tQz_;Jq$K8@(%zp>Vb^b>8-dyL;TZ@hl6pn`zS!bYA z0lw=13VnChT8>BZrr+j~`1c*(+c8>BP*rTrBCut~w<+Bk5*Z#7sv*I)2{FkvBqqaS zvs$YDl}F;=citnUkZ?6TrsWe@+NJ~Wi3llB0;f?ETcse@pTZzOa*K+YM)(E1V`23_ z31;Y6tD@Ec9&!#m><ai-jz^UXZ%hYZX>wFhAt4_=U{xdol<S87fTCElo@0qkXY!m~ za)g^0{1&&hCWcy=7}};e%hK@QJH1Cwepzryw$m*QHi!9XPKUI?={<V=Aj6p-_UN8Y zX&A9RdK`1Y@jvL<LxqQ(C5+|&pb2wfh{){UtADSHX^hx+8bABXx|Gpn{<ISuo^1ra z<~|1dGdfgETV@Wki{+suTZle5Sb18vAHiXpF<?&0kC_8-WZNTnBWuSS_VCQh*C*<G zyM(S=w13L=!G8!IeMsf91T|<mK2S*O2N~s_)<Qtt?B;>iX;d_raYle9W9<^%@G`-< z0&M5;DysCcTvOn68jxylz*|X(({aV%HO;8KcpA(#0yG(GmzaqDZ51M!FZ1JZ5G&wy z8j#jlM1)C*({bhCy;oE(;^~yu*(J_|K8X5G>x;EZylUv<(@vK!)-JIE5i_+C$Z7H_ z&}RAGgFYS~j~7+$>$@2=(`3NYJ&TjDRx1Hdl773OmMcWR{~UyAr{!Yp5)VVJHFgOW zPV1&l9xvUa@aA!RjN`yh9moFc5*g6<A{B_!heY&V7`%wxs9auuox$>rg!xiG^VIhS zNQ-kujw2yX>(jhOGyHqIM08$0+jQS9p_^rYW78&Y42Rt=5kcOx3rrK7C0HuRdztZ- zf|m$x5WG_GTEQCyZxg&j@P5IEiMWrN^t*9EJty)1Lj?bZ@Oy;+U*SI!{$Ik=ZcF)I zf`bKf1tF&L<F(6t*<KKN9TUTXy#!<D0t}UKWzT{9c;P1rP8U@5hWL5Hmk6r*LwJSo zv2z2~OZY}XwoNP-+cKi6N8s(k-z9jj;BN*0Td+>>1wmDxNdLO<sy@Nj3;&6rs#k;u zSZ_eJmjjUfO!CJFvZF~pO^`iJ@}mX$Tq8eGkk7`iyVrqtE5z(|(31TmqxE|oEJynX z7i&MUVLu6tsB<Gpk?D=0IeGA*555{Yz0sXJERu)+IP3)w8XU^%bMF~DATbvba~T`T zVr*!zJ*smZ!pjj}&hX<^su_);r;d%pVHX7KiSX16tPu!|!k!2YR|~uYyUFg*==_{~ z?u~GAUGlNcvDfDge_&f~)&tndp!euKFoE>n44P{OIyE@$Ite{xPoaxzY~b;A)(O4p zf@QZ^H{`Y{3g<t(HxTTRpIW!LySt$y_bNDrJ1VZ((SJuqV*u6{gwXo3Au-YuaceiY z8566n>J~UjSzqjmu}4Mz`p!{2cdCk9`#qptgaAGmV;$@-Zy*fz7hbO3j*5B@19d?J z;-66wRH*kb*ufBWIqN+P7E*^l>tZFis3|6!ac=w?YOq7MDoOVN73iwACxq1(IG05T zl06Lcz6I)2PuwdKcfI<@k%V}^*e6p#7+&qYV2>or8#^=^fA*;P_+Jg5@EF<AWVgYC z{??~Y;P#0zsHlRd)=W$$JT{n2I;jZx<C_djCRG4+3|0Z#NlGGAWE6OUQ=@`Y|BP^+ zbnJ+DaZNPUR3$EdYk^pUK%ma1CJ@T-29q@iBy-_mTd4vtjjTZ+>6NZfX9{~qG|NK7 zE64tiT#phGJ)=56pJDQRXZepXWE{js1EXvwInAla#x#jD`}Ho)JO#g*=k^=j&#4GI z{U$gwvz>vV?as8YGcfJqUJ>6!;Y`jBe8amTsx|lC_ht@qc0{Y@L@4vbK~0DFMl24| zsTt81HY|GAixT#4E>S1?h@3sa_bGGk1n*Vtcu(fG?X)(y&Ry?Z7T5sxYtEt2Zvx-j zDs<hdx&+e)o=vPH5m|y7wA=s$kj4W=Im(%BrYqrjK%Is`IbgaN0h%0zIJ|cIZUg!V zVMOwQg4aL44?P96PDA0-(2%bqAx_5?gV!{p_<h<BMTVf`Vr>;_Jpdw^FYAHFLFD_k zP6N`aLj{FNh|_W9;LYRM)h^nHPDGs6w+6IMLlq6smqJ3Ej#KXqIuN+q(8qg4>*EWP zPNT#TVeaXm<JccC%l9($@%VVW`?FPK;UsL*N+3&;e!E}>kggUN#5o?R{<Yk%!Ql{D zjmiybrLCeIa#}YN@_6YUg*T7m4WnN6XRFu>eJ?5v-k&}sqW4bVg=e91TVtzOfa~<Q zX7m|Biq!d#`QBC$wN<dqh_O|~>>=Q{)vB#InDq(+P}j6I3>F+NI7V=yAm2qPcZOhz zATL&iuMoUgaFgIQf;R}>EO@)%U4lCW9~XR<i2LbzL0*rvIlL<Ie;0nQ@Sh0(x$rLP zjPeOYl&_=kh*o-ek5E4@VTCDzVZmO4-?VLlZ5PY2Mo`-%ekA-h!P^8?eL=n@Tf`G0 z_l)2Rf-eicF8DV=KAR5BRuHpCKnu2l!NXg>E}|Cg4_p&lLBr1LLg;fxk|U=!x+h(X zeG%Q021nd1+6UYrx9%9Z1L4>e0O95MiuFlJb>ztb&jOx>{R6TBCw=tX1J)B=YP+!S ze++gB2(HAA0CP5_K9M&+_10XjhDfRFw<D#|PDl6&x8^3)jb7O`62MohFIjn7#k6jL zj9YU*dCuD_!0fa0`m#Sjsri72bpdsC>%9WrMl{y*Uq%Q7%a9Oz1*q$M881@3SHRB@ zA9#p=danT5yP|sqEC#pFUIE;25W4xKb3*R#2(EjH$8i`U$WFcN6`=d<yftNifU7~$ z*&$wMUMD>a%ImX_dI01|vXk-W?GV8GK7kW?yxj)3fCAlYpZ%NM6abfTbA5I`tvJnr z$YTS|8{K!EK%sYD0ARxw(^2<g)fR#CRqRxPs&_oU!)m?3c?iLrg4H!Z-%ST4`vfxA zef#QceWb5Wl8rF-3t%yrRQl>9y;2o|Qm(mPxjtuF#^m`H891`{3-BIo2e)59a@eUz z!^a7xonJiodXoLJbDU`t0COXmYLdV=+5JBB4tKOSE1M6fxeA;T0D{{FNY~rnB(HU& z{`>C_vx>jrUb^m>`@Qb}tbGD_=N<Y!0dm0~`Q7e++jmyI=Co<Qd$VpHfoyW=a^cZH z`ffL<=K9@y<eB}b7x2;;Mnd)n@hYbDv45zZia_oD3Ym490qVSp44Tmk@pyWHgg7lX z7rdG8$9Ru@17XaU`SCc2OW}1Ikk$wX<s%_Z$DIY<)b|43RrolhKC|C_DR`X*q%|A* z%*UpVyBKk%zPAj0e6QB!W8LdCAg#sF$34BY(_}RQOno0iACHga1L^DA2AXLy;OQ<y zMxLR|;~7VPr=XT=hqr|0`rSJrhayz~l&gYVb8`fCBUYD{g7-s?*P3?vx_Sfw=5c&! z)XV<*-S0x*pR_Wd&Wngk#CKImt?PH+jg!#BPZiZ?1SwMIL*{VwyW2I%K-Y>F_-(9R z=zy$J{4%h{qwZk#31E93)9-G&Pk?T!A%|0k@iggA;y!Ip9(a^s7b4DEZz9f1e+gGJ zrXj~RhVo+sCklSw??%0#kyZ5rWSdQXyx=6k>4NMdF@C<_LP2GpK=_5ivyG$NdO>zv z$Zr+=xggsRhTkK2pWuUnj|!^ukA5q!JId1$dG!<P1v?ROgQN=Yzv^*WjQM?m7W&ay zLo&P#2f_zlvoOnRgqrlDv1TD2WA2Fsb#;waRb8W7yT0tvvIjvYV$H%2xMm@NYZe|v z%#VtmQC}Cd(udUj<^!u;ySf)3_X1*mR`zz;!=N7qeN)kE-s*)>J?nl}CKA<em)*n_ z3~{d&iClHBMK3nG)h{-Ns$OhNuI*NgFBAqJZtPV3aAPX;bgRX>hEZ|I`BjY1Cw48! zpQ^^^Q}LBTw@iJf&>vNA#hQkAezWi-G;ChG>DoO(+dEL{e2~OCjHw?@SvzzSqOhjn zO$G4|1Kr>Y;GB;br1vaPSD8P0ZuG=4Y@SU)H-3eua67q>`<@DPFY!3;O%U7+I%dxT zngnFef;}MV?9glcKjjYmhvB`RxZ1RU&nvGdt~M=r25iEY`13X`;05O`WKf$HOhuMp z@v%b1F7Q|^Wl$^&i&!jWQ0zvq(9>)${+rym05?%gFWy|rz*~$?-BL0T&uT)azMG1W zKb~Rq<OzHdsGSN(@YVwpsv*(WW0Gq~<iaBb&(-}NiGSZw0xJLr1K`y+4@snXOsIxL zmd7O5kl^Kk6j6OssrFe<r0LEFcPr6C0*_^1Q$Yx!#<2cuB|n?0nMO<nBzLNqLP9OP z`sN{tCl!NL4<x)Y7~ec>i$L%2S!m^0R}pwDxbOnJsvD<*X6PWklub}Ur|KY{1-ajJ zkUA)z0l|aRZZ<Bn|AaXM-ik&XOe?;h$o&=Cq~)Z`x`(CN&M|4}J)DxT(>`r3L*YFx zt9yDzF9#o4JT~pvbmxM!9_i^B&cZO|)18tur+wI2%2fQ%m@v7UF~OjjH4tBOje;^f z<X0}ox`L%8XH8plLFvKnhG;(Eto?4iuwV0pSI5e<;u}Mv!~b8;9`emtJ5Wc<&#x%O zRPDUH5#hefWct#j<(1)uOP7U57fuR~IeUKTf(4}u!UfBgmXuajE?rjHFPxP&ENyT& zGh<NZpv;V6;l9gC%S($ZOZ$cAAW3?uBpH}BZ`i>3%Zitjl!cd-p0}uS(b6U1K__Mn z&KPpKHF^YYtm4w*`HL(&17t97=`wr~VBn-IE3Qraq=Z0XTnD$4eU#nVNwvG!UF~C> zZg%%TPn+{NlaaujQxFEP9q-xPw%yjouZvqByv*I;TpsYA5MOI=2KCa+^}cC1#<T^c z^UpnV-l8Q7ms&y>&!3M&Gh=b-nQSqR|IE@#GicwC@<mHZwZ_Wj%dE7LrDvaAiX}g3 zsL!<G<;#~Xnt$%{rdk#*D?S_Nt<~-rNb*UkA53ieP3N_RULTBd=wm7uE5|sxKJaYr z4<yHjPi(&LAxt~n<H|#T*3Gyum{CT6CPyL8?5~#Np}=unHt~3MRS#U2YYMzh1Jas@ z1KdnPoQ^97uW3eo1i2fH08PfuE7*$n;#x#9U*^Z-AXdQZG$5^NM1)C*({bhC&EuGh zPFM=Us4o#->std_rvYi*jR?wVr{h*5z|>c6=;QsO^>MUCr=gBoM4Vv+X!0t=nfg{i zACHga<8xT+yBRdo@Fu3~jti_xD*;cEe!HNSy9xb|a}cJTmisjVa7|U{@OH?wIs3*F z5HPZ_CPL1<R#`r}N8!!mSZmbF{_Go7(D$O!;Qi^mi27b(Oe*@pt(jLa4wZJCr%L^6 zeMZne;fKS%VO}fVS&QcQZP+(h$I&tzynTb$8|#YgdQ<y`_mqw9&EU7ys*O7s`^Et1 zrafb@AfHX-M+=S<oFvHiTE-U(mI<CGc#+^*!7Bu>7Q9|ib>N_9hw!_IXvFvePOK&3 z;(d$=xjNzhB>d~bza@OV@E-~Pf5LN42KA^p`am^DA9$jKL##>vpX~+n=XoQB1$zme zAeb&VTrgX3jNo{|Lctk=Y)h$E)gQ1z_~nAy?!h*VavKCU39=1ixT;6sEyDjy@Rx$B zUJ)N#ug^&QbAm4mzAC8d5$Qe@UezOboAm|c6l9{RS77WK2=?C@f4pG2pjvx^aLzer ze2yUdyW~$5WQUhLhuev&dk$3l4FLH<!|)#oqRIW*^CHeGUZ%I8h<-bv1$)lW%)vv$ z${pCABesiJdrrIccL%CBgq*8_SG(0#!&g;->nD}9E!$M~hqAq$?csNH$=mz)%5`4^ z?`+d0aQ*r(;x1ns$=mzZd3(A<_P$fek0w9zg?stqU&P;;GU$F-d5Y@lc3k!DRI5Yk zAIkFfzEOD;(!W&+nLD?4sr|yb9NH@0?2?1Dl(&-~gGQ##uY2Yn)^XD#r$-)&JQca> zUE6sLJhil4L_Hn$h5~te-vuTB|4|8DcRt@`_ZOitdC&z7W2(DMS@gsg33sx*(0b>O z7CqEuH`02s*YP-(F??id?%p?6gpqq78F`)wO=BK=t}gNlz8`r~<d(>tk-+utjj4MR zEqiaUQ(*J>y-BuH)49P3_OE-bF|=(-_u2RcWmcrH3uli!`bE&r+xvFqTRS2<7+#2c z-mbK>8Q!68M&!@fy#p&qE_@#0?^G6cK}nT-AUo~@H<42BJ?Cs)*gd|!da?UO+$X#0 z&%EHj>aE1M`s&%W_3??9ei1mXwq4Gi(bm(QpKCXIPhMbG{lcy_@2B>!t+%@t)nD5G z_4kh+@%#EWNA#$_bwbDblo9_||HuUA3-{x{bhf^T8`G)2dcv(=SoWgSs&<!t;f~4K zb4lQ@&@;yRW9Q)Y9lDmFZXZNV$K8!DW443cD{S=$U_H&ccktFQ8JF>tH+W5hz_ZG} z8UOaN_~YWESQl_zCJ2P$M<NNnSHZ3pY*~Y-z>d35K}a>#fuOom0`Zq1fm_6wDdkM- z25$!AZed8l#VRCzDP`cTz=~@Ahl1xY+KpFtqaC`O9KKkp;@n?=3r+`(Z(<e$g1m>F zv+Z@H`;cB{_XF+CUx`yIQ{wGhgxLJ51=gj>%Y+@O18+}$31NI5h4?KFdrBq960&^$ z*#)oRpRHa(<+*2DcHsjc{{Wwemla`CkSy^brk!>#0xu=Y_g;^E5Ny&M#!hE!!lEUX zeJZJXge5G;#-L<ulqr!9HG5_y{?8)Yf$Usn_&2afILNY3r|@xjTLTMYonrGm7REZo zmU=9Vb&9<VEc6`6SSNNn9F=QM#0d%$9N!T&+RjSCS2M7EYMTB3?0pGf71h=M%$t{& zM-m`FL^kn300~PHAS{K5Nk|?L0to>%Aj*!Q2m!)kL`4J?mo_X~Txw9MrPWqYYpu1G zx)rV3P`lVx>rz`Zk$g}WBJtDz?|0_hd6R&GRr}Tcy~%yQd(OT0%$+-T?#!Gs_sns< zjADkQvd%af0ZC<@`S1ov`W;b{EkxiL;OjU<nP9C;BSaoB@OjPi0&m!%lOtS%7_c^# z^hSr4A~M|;RorTuO1wHqxc4>_2`qx5lZovSp^+nKEe<d*@G6TJb_iN)8nJ`aDkW&G zX<ij1Iw2-lw{f6IAf1I6Z>_Kn4?Ub&O&P4{FXR?=e_7a{M|uom$ST_soU$dz9bSPL zvP!lDCvFL@Lz)*VLo>Y-F>JkOWfmXK?DeTzG^P8S((k6>K&&|fjU9P7t+Pu_=!%w0 z>$^^Xz{4Y}-KJXBKd_;<5z&nw0?@Tg61baj@Vr{w(<_{Z5<FBsXDZzMUlBW7pS;~_ z7T|`um_nP2k8WKxOZ*ejwk8wYLsrFGBMH3M>W+xvL(t(<#@<Xv3}H-!;2nl(x++8) z32d^1?yhEns!7MHu&bHivmA(k^ll1v7J>;nCdy=^`g5#9T7<liHFZnyoGrmm8xkBp z!x3%kEW$QK2Sc4_hy>=RBcj0s=EpHVRpwSuGWw<h(J_Rjh;9jQjIw>_Nr|%wu@dYw z30?4uokQ@%%AN{Kf4Ju+{209xu-*W9%x}_E%&b_jtYX3JWzpq}W>-L8wal75d|LU^ z#pgrY62S-E=Prx%UNvk;+O+c*Ess=)rVKj6h*5b>gJw+hVoS27&04T%8nlE97tG2y zfA;JQ6zjie@uIo?sq@MZ4O#}Wz5k~93l_~@xO@(jLZ-x6Wym+a%{|+RI<cv->};o9 z#JMQqv`Zh=|FqPuQ!;xw%Og$&HM5y#XAW={M4Xm|eS0~Tk%6aYb{>?OLr&ZDOlM)b z)3Ty(p0gs-W8nCL!CeMsI4jb7^l(<CXAU~0XXlK}(=()OyYvi}?fcK-NvDm-a4t?C zl<8cY4T0%Br;a7vlX_u%9j6pkhd8SWxg2d(dg(BiB+HF`&*>{g&RF3*csZQyq~ncW z+s4IxNETiu!*y@clI1g(&W)u8u$LwsedyTb(_>xbqg>Ul<@$hWx`s?&PCNRwI9Gq` z73R&xGHFQhyzz5qF8bo$Mk_C!yKMPF@3pzlrVBrY&YCTi-8p)nmJAt$F1?7&M=9|# z?7i(4t3m-Wjk-7jiYc?bxB8^Kw=LCi`#*il%@ogb58g6M7Ug}Fw9cGz{5t0zW=a8a zhRJIcSr=X(zaey0+||L2&NYE+?c7$pN%)ua&N^)UYU8h_+W9}~y*mGuz+5l#aENeG z@Io1m<r_YRNsdGbs7$=j19zUgkja}jJc`TphZinBlbAY4TG`mp?M!Gec{4zpG_zht zx$n!}F{xM{Z{qyh{ap$i$e|p!%k6PLh<t4E%i92E1UwT=-i2WJ<@M;`>Uk)S_pe6Y zTBJ=KB(1w3kNO}JOdg+_{PMDV@_5b|d0UY-btvOO$or{}VUm32^2^JIJnkRc*9y_d z+m1BrWFj&wM&o~Pgn(v}k?+L#%jKg{OTaV1lzRXSbZfcc{}|<trFY(o0<2@gL6qZZ zZUWoK@C2fNKZ<<)f}!K>M;oMlhu!K8Js<UZCYWszF*Lof2NiR`JQ*A#kH?4G^vh#r zH?LOHw)@yk_UVhzJGb@=$KLY!v5U6@rkC78{|-Ggx6l4@1A8nMKFfP{oI^Z4bnNaT zriM0CH^j?hBMu19g8&9Ek2Ucm#g0T|_9WuC_f!5L#azWPip7d&DT*Ey@@6T`Ie_vj z6t7U+ptwcxX2shTcPiei$a8^m_9#B9_;<y(iJ0^bD!xm^`FBL~gSJb{dN#<B^=!!R zKtAa1nlGvv@a20g;B!1vPJxyyR(i71e70f!Y^6mn3Yw3a<cn$sa<(WfdL7VrD7{;8 zkK%6>`5?#o4BRh{P9hGCAjb`9oWg?g)B#$K7cf)#@_r;}&KoR0N^!KJ96#_UDLq^9 ze8okIe9&S2N=44Gq}MBMR5beU?<l=PQI03d?^0ThC+MFkO)U=P{YLQx#lI;+87KL2 zTv4t;Y3i0(PkibEdnnC^Rr0w$nK(=_UvZ4097p7rDLqf|0>!n88x*OnqP!;+pH-x$ zjQl?;{zZ{WEb{rBO{5-+7^m1vv5#VrVu|9}isvX&@x}U!6;~)$Dy~)BptxD_M#b+a z-mdrq#UCmDMDb^ePbltH{EgxZiqyQZJ^K|8D>f>|W8!Ciq9Rpuq)$`iH~B~pRHUws z^k_wXV~=#HB9(Wf7buo1Rwz=N$NXy*H!I$#DDz*~op)J|foRUJLrSL*agv$y%X?IF zT{|7uE-`diR#rs9@$C{X@?^%4$P#eBV}<ujTd&=9b#!C+%Ba2B+GHL4U`>3~hL7sA z8^V39p6yfMr8*&WWbONXqmMN{HtFn!V38Hcsf`PSj;wnhzMJZLL^nmBXnf*^oZ1#v z-)ML!Z4*;#9>(t}HIQ*@=*Y%Hc3oP*+Gxk<(~VEx4hgqfk+>rp-_NN{2!!g=qMM^F zne$!NwUfYwoNuu1J)tAlpl+XnD^T~D#%DI6?mbo{DH?a=8q{uq+I@1{MSC)DFv{PZ zQ|kn5<OQQi*aPIEZE0Rjwh$8EalpR<+eED!+->Gd+n?NLRVUW{DzU>sCw@@Phz|mN z?K9%xTk63MLGJq~D-s!X#{F}5Gbb+kV26(6PP9_(Ge*_MS&7k(HRndxMF)Qn7}qg6 zq$B#|mp^L=<gmAr0;%`K9SS7cXT0Cxr0C;~k59DEKp&#c-}k|fR#vz%*bu5uE)2bc zwGZ{l*F01ot!${zx!`cURr#y>s}lAk=G2bLYu^}pKk!cL;K6oR)!)_Wl>;X+_KDQr z-ltoAcUU7HgLUF@SSG55r_?8R>U3X=`_?qt_U})=qcNBoY>XT9L}FE9er;}EPVJSs z_O@#~IWq!ki)a<KB3A0!{T8OjHBk(Y(J%76l5rBWIak_0zz>!B5k!B0EusW8j07^J zh2xiT1`5PIPOw9D0^tQH;#4s`m`@Y<&R7*WeA^^YTrTzF{s6*xj+~OU!ineP@9-`! zuyQMZ-8Xri!A!5>6({Bk>$|LHhaV+`gKQ+=6+m1e$~m)bUU=eWGd<s)%QW?zuvYMb z;#w|@wc=@TLP6e$#@lSHO=nrIl9_0SIj7o1G|ccS7=8fR_V|@ZUPO{tdV9jhNbW{- ztraDd_7}v2!_1vXas*Ndyq$5$N|1@XYTKo3Kmz62llc2RFlnEdO#Ttp>dm|}U&HSl zG({{K=TPKxppwKqF^y$$TZO{lCESUGeIbQ$-b|Rz&BRFDCMek+vsc2Y9yu7K)p9<5 zc$sjeET)vnEGJT8q(~9+d{2sy>qW{gRO7|Lm9mCXws}%wq(~9+QBR7H`zWQ=H_`IV zhz~<j;*Yo+_fk^go%nH+R_id>36i&wdH;ioZoZH#csv`7-|+H<d<z{{gU?`tNs5_k z(EW+_nIXF!_B|2pZfi5ynmp_XgIrLKVXL7r1hyIu6)$u}iaK$0P*?Vybq;~IE{=7{ zW!3zK4~GlQIRHvP5wA89yitTPfxy!Te#;0v0YnMU1cd~x%|*6|b7hqIWw?zfC9o_k zKhubA$<pQ}16F1=i}pc`hZ;PmM^3M55+f0zfG6Z2w#JH)P)@H)tgQhS>0V7{J|fB( z@stIQ1U8lTO#M9rMv-+m(=)F`yTaB&i^k9jvWIp>suwIpruv^z^>sva72#dPI9QX; z=vB=mHwe|fDa`qC9wK^<unm#cwG@=(WD$qY?!nB#WCRfl%n4CM2d_U=Gg0Ic0dM;o zz~C-;MjC0RF%G${uVw)@G-jkZ#y(cFL<1sPM{tix)fhC=3pq&n?O)uXHO+Y3D?VKL z%dr9M<aj)mu@}7|;V*<b5_pt{Bf`E%$UzL?pb}>x`udZH-&gVikSu8evonR4DW5W6 zYi)V(kP^+ab0qsr$-@uF%sOFt$FC41Hv)SO!Oi9ML;`c&22N3qy!<juy0nzQcV1dp zm#lV&rgsc`X_l{TWc5Qt9}rm4x8J5aeXL^{fp{jFz)p=I_CaKyWFfNa%xS~@;Um`y z#8rr^5!WEzh4>@H`w$;Qd>HXj#8(mDMC4=eK|~YSYdm+^H{V3ujK~r37~(UC&m;a8 z@ehbEA~qlfuy-NEBt#R~0hx&Gw&95E0X|Aa5qT1nAeJJYg;<6-74ba8S%~uymm;o0 ztVCRcXu@4c--Eadk=^JI{{H$k^8QPVWS_x7;`20;r9_;C5x7Wn=4U&nWDhuX%IW<_ z_DjuhDk?6-*CfaF@7`|!h%(x)DzbYDE0e5?3kSoxm6Zx37D{De(Ss@Ev@gs%-?_Lf zE92B|D0Ui*Vm-T`3Zh@;h*Jl30WX_8r**{XmF`SUcTP+1Pasj40WT2F*>DMw-o^0T z9N+J`=}zy6Q<m-YE_2Evl7<;BeWdf9%ggW*q-{5~<V>HQTRM8s^f9#fh(%2qMKq|z z>@&3MNVe};%yNA+x*w)&V*L8NmNaR@@$GnWG{3Ng5MPj?P1-)N>8CnkUEaiC=-S)R zv*Pjc*mk$+(@P5`eQj^EseAh*dmAUKW7^wzqxY}d+u)7!E5FR+Kg!<bzo-QDYLf@_ zUwf#7dkN75lgEp53&R9{coHsLDAyios*)%#j5q<&)IrkfjZ8lGnPBo}fML?iJA`rp z(<onFb9>u89iRut(-XJL?V0T^_SHesdIyb-FrmS0tGT^xA?{X^QHJso5RJUGNSiuH zTJ4a@n*b9`@-i^|{j!?!v|o767<pTfHgzatR3h}fK88tFA<r-Gx~}eivVE-(jlAth zvkq^d7~0~&nh!`OFpsghy{${@6SKEnk8&f7FqK7euP-e$$_-;e1KY>&1fqXGwqid_ zKjUf9+Yj1c_!R906r$R|1k*1>-|ku4QmhlQx4npQ+RX?98hJjJB>ns^%ihLu;Re%7 zF7;Kiw{Z?>YHw@iPEzb`n7R?D4&XWmo(HrueO7xL9|~A6S8<GDvEpRKnTmXnW%*@_ zs}$EOUZePJ#ak73DgH$9QN<?}`LIB_e^7i~v0gDAgNOO8h?vLPDz+zL-sqzFe2^gB zSLwk@^Pz(E#Xf`lNy_KL1o?cBV7>E|mKUHwuTc65#cQ?PHbv27qCS;%tS@id0mXiU zgYvxAdr|XWR(ikEZ!7)2(v3=s{RVOpF&1bq<@=0mXICQlsY>@(dZ5zTO6Mv)PU(q6 z$eF5qDiA4Wfzr#AUZu3yd60jV(wj8@+eGyLEs8rd|6WD0>tH*3lzxT?IbzpA`x*T9 z5EK=f^A`EI^ax^#7wo5)sW?=T^CrvZDMl4#+=9=?bmmW0JWr9TTJo1D%J>DnTInkl zuTrd1<h6zMZd1HNk-A>;f2{b3;vPlL<;;Ip@h^(6C>pzCoze}8A1XQ=52!EWA1LD- z7*YOdiZb58r*@g;&rsw8G3m<`*DH$M82qhD%XkJY;~03i^6yi8Q1Ma4#}q|xkMjGJ zmT?aHC8hta_?F@k#g7zE<@i8(8PC81rN=5#0nPl$iZX6N&sSQ;E9liqU#D25xLr}k zDa!3u`p1e?om2ik#TONMe@6Zrif<}5w?ASjzmy9pQj^YlofNw(_EMy3o%!ET%vCH< zq=udOWs20PlfF=Ksp1MnD%qL8QIWcJ(zhz!srWrbYS)?nm?G8dq<^pYlH#k1)S@&0 z9Ywj`;HJ==uNbD1&#NuVAxp6Nd5v2M;Wt0OBg*fg*jusrd7q{HVT##`Ig0s;V-$-N zOBCfghW3>yJxy`G;zGr8#R|n$imMgZD6UhyTJbu?=JUli<=?8fU2%uvF2x5FA5wfo zagX8?ihC8GRjg6`o#Km%FDbsN_=e(}if=0(RD4&lLGeRH8dZ2)sjMR=E2b!#dC0sM zaY*Z>5R+r`xzVX`1uNVr9oN1+c)-vTUU%06_xT7-?AzrJTpzX$-nXXuz&RJx9I!4p z2+L};{;tZZ19s(*`d$g6>*FhH4g?Z1>*G7+)Nil6uxrf$r_+G?NS`kBu@o9u->njU zmYm%B+bgYp6Kejj`-KBmpS=1zDz_Z4hp&X)byU5Bbf9oq{gs9J^+BYasTK9>rs6x% z*@NrXR~FRARSvA#a==Q+fi)MkE{N8LDqlDd0Nw?35{A}Wvh5}Hp-x*41p6$hzYALk zplsamrS;oo3xn#{7QS#GRG3xoOwF&~fqL;%2iNzggg2(_q4hnnmG4$QyU(hNOKx+} z38vQA*}LAh6H=p)T^Yo8rn&9McW3Vg_X2ywP_%%pXpJvAy^C_(^BS~lXnmwp<dndO z0rkD4m;Ps;U0>Ddq57G9V2SQCuzuF?U)2YOudR0qe}%nGt-o_>L4AJVV+X9l@<+-? zzj7c@xeKf6elB)oS6Pec5+P_`j$aHFytu-jW&t+jfndR0yn(~X4i6(0h@)l~rsC<O zg0dD3##^LI<SHJFAJ2?%TrPRxcwQ1?2I1CZ2IGej?C=yR5|pzf9OT6?E)GB53N^k) z6mNeQESoB3Tu0;=WOzH76Tc5$1hEBQ%(6>)TVYRZ!L!hw#5}t+LDr?6MM<0t5_^(+ zHpy2J;kC)K%SbJRfJEM=*i$Hl8tJ6X6gr)`iG#c(Z}AeBvY_Pf&JOAS6We8+w?d5f zaLt@O`PPpGa9N=BvgvX%Hk<}8BKsBTB<_m|m>w`TN(d1|aRkLgKbHv0*Qv+2L{c>q zXCb1-KLH=PNdo60R9;=pF6M?%1Z*!vkP2D11<7EV@&i^?4pE+etg1XBw*Y=Vah97d zG9pcVu)PpL%J7-)fr$FWM9NOG-W#8W|E}*9rFHi{wapTl$mJGOtoJ6Q;lHb|VE1a~ z6d|%C@px@99f<PdBPh>pBRzqr`zO9<*n8lQ;+!t?H~Ii9FR+8+aA!QQXEl=>5Mlaa zXTPsGFjq2pL~<q*C`)X>Oz>e$KH$wnSC<I*j>?&+AYn4{*t2NO=;pj+>%Gxw`0x5& ze%gD{w9Z{U!&w&;<ydkx8<OzOSC@*Nzogg67T!SWtG@Ed_4|O`IROt=FIcwIHgay} zxPAjO`VBn2A6GoJ&UVfb`$>6vZYH?$@0^$Jw2#0FlK~gpJu@@-2V;(tkdCEGeFmpx z3{LAah-I4;bUI}hr}Y}g)lO->WRcUzei{8cOA@ly51>(Kpp#nY+;Vw(e@qOveVnyQ z?#?wa#klqs&yXMsaQ?qqrX+@vf9t{|-woPV%r%zizWjER4rVd{@^X;dDrudwKEQj7 z&tWUUF#XzA;`OZbC8*O7!-#l1l#r!pz?9>)h-p4QGO(Pv*CNde4nrQIsY9B#NxWK^ zV3H%j@aw+E;37B%neD;HV~VHyo`AHegQOKiLw7Nu!Q{;VZPLto0OhE2Fu~+a1H-@F zDkxmbQI6YXKX5;YixEv7B&{%ZJi>$qlXoF#zdU-u<n^5LaLeV%TZ^=*gQV3P@>tFU zlXn>yetF40c}d7K?c0j9sY4mVAn$4)!z8Pa=a(0OJnkRcM|GZ&w;gHL$wXw>6AxXB z5dxY?#^$z?B@j+soC&7f17P3~lpFr*D0d>Zk_bADW6cCJuATtHzaPDQ{n8G3W<PK^ zy|GLgMUeM<CN!8`BKn4hMc?W<V4e)Vk;mi1ZJKifNvj8#-I&l|<QdwapZ{gqN>+Ir zF}>t&{i|dv;T+P$R^r}GG+mp-sT+ZF&%e`FGN0SSxZqqsyi{?W;<bvK6=iJ;%H5{) zZpDX)nA9Fo+(X2;e2R$jHA-`wl73y0Zz{7KpOMJtEjnr5ofA6~!SAVbAEm`+0>0>w zQBG_opeJZKh?Dkk)QE0Kk>j0oM6rirU&RbXdCEt*Y^4hn$10X8o~3xM;!H*9SJYpq z^m4_E6|YvjPH~&!t%^GocPj2u<eYO<KVv(4mH({btBP+Z9#ni+@k2#Uc$C+~Rt1_* zM&x%_%vR+5K|Zfz#3Dsr|45f9%99i5a;145GoR-IQJ#B%oX<&DDQ;D~RdKr_udyum zfZ{I{<yC(0pHW(DS)l)<wAivhzoGP-iiZ^6Q#88%047XsM{HWa)=G;_3v_p-#g+wn zh|+T2hTZEO>oX9|_&cODru3t9j(A`?abI79PW&5aB{&}tJe^}lToK(hHP?o(#VQl5 zso7#3tX(sDKK!_g5BCpF-WEN%F3GyCF)5X6KXU8dUIJfLsaJK(t^3Ck_{~baBf6?1 zbatWKy1y@J0Z;4jU6so9G-uY-?}p#@J@e*&4}RT~A%k_Fg`72B-KUV+za%-Uoc^y; zDdFKmHoae^4yg$q3dh~>W~9w&^XJXqBjuWIcNKVlUvmC}yB4r~a$Okm-&_LEUq~le ze_vp>*1T>cYgI?K+ud3~wzhYlb!&^dV8_k}olx(ZDe%{|vTIJq>?qdL$bNAP`{Bt8 zIhVNd>}<BCZOw$}pVX6=%g^!lL_B%5V9mGpC8FoJVkWUM*l5*PJ<Js|&{1O5%!~`J z!it$S@Wl1o)~}qL2R~kqw;olS8)x5SR?So&IB9sKzFVJ}^$u6f^!a)H-wNBht7Z!S zda~0Pe=yJ(vev_g*PZYQ_IQUkT35j@*p*IxZ9!mLCwI-v$A>@qh1K0kO~%Tk>)drS z6EF^n#cnG@B52dbZGV8lPhDj5pgz1}&bzpr0$NNtsqN5Ej(OYo@RBo3eRzG7<%|!n zgCK%pL;hqRUe&A+jK7g!hkrr{2jv<N4)T<Xvz>|dezY(2Eb^?-X#8Ft!g*$07NVK; z($FK|tPagY*-JvVgHvh6p9R91V&4xcelmWbn4QV=l_;t{z~WD5+Fex>4!(oj7B(*d z>iz3O<TBWtHWFx;wkMp7B=6c1eu#wY0qh=<oI&jp=5k$aqG(!6KSmBOO-Z9zcM><y zaw~q^BqzHhS~u;<%t;h?Urf=GnMikEBsfLM0vFfa*EC3K*_ATND4nZJT5&d$Hnz4W zI#{cK`N!^q3@7nq={36z1+`)0Q1E!_*-vus6|@r*hbNAR<MNJBZ)i}v;3cDYztgX< zar)Kbm`=Y~F~O&&MLVgO^|}3OqL^(N)6!CD+iK%l8*RTKFKGbew7zDojXQ%)dAR5& zds~Y;Eop4K>?G`KoJHqj5@hZ@#_|&$-R8?rI<bSS5<)LT(epCVM~I7qvaVx@ON6VL zC~=9TY9?kN+SZgLNamdjl7vh|$GUiRHIGxh@Jk460E(cF7a~Yul>?z%a?c@faEs=W z37$&s>J_FalAiu2itvM=P@UikKL>)mz@5Nr`vg|wvKC^kR4OH~64_oAB-j`J#So-< zj~b5`5wK!nWHkxi9~oC-lu1GUO30SL9iTlv4v$Ol@%0jtEbdz_K=E!vrxDo2SZ+ed zk>pu~8In9Rf~uKP-BZ`j2g!~2SDg&?*37^qS>|D@ngw{LfXxzQa<Zz%nEpJ)EV#LZ z-ph2widEe8aGKP{wk0Is_eMl4j^F`LMvTK64=A3QWZST?hd=|596lzpT_PaI>unII zPWTX!76^Y}2l#uDG-tAqRk|fOX-n|adb$hBVG2Ot_;&-h;SYZLx3Yb?PqZ6bwS+Fz zW@*GvP>TLX`rb14P@lo~meV%dSrox<{wZ0hoyQcxXO^=JdgzFAP8r|xFHbKXkcy=P zk#U))XFBI)J3S*^Go2;rPBQa)p40Vo@Q0;#&m0HWTkw+=fq<jSIh_h~Pj@OKdE$Hv z&bGL&Aid|5asBCo3(mOce9Jkl(3w(*H3t0ci(jlaD9m+MMkM9ZO@E;mKdnr1vz;lZ zpFObqaObwm(;-w=7npSd@Kis2eD35i(~Alwj0O~xmKK*bT~k1<s&=2{6`wSAYQgmJ zV<(~Rs4-uLV=iw?lM5zIo*vB|TXbe=!GD~)F0YkXopI*)f(etg13k4r`uPiY<K=C1 zLhgjI6QaI@a^|Q}1(POOpXTT5Qw$lgb3_(Gs53A*{7aTr@Dap1j%P4;sLZTbzRcIC zu@gq0S(J+h;;+)jDsZdtiR&0RJANVS82E7td_AKg-G7RGy_UgTiFlb|I8pt4Cd#r7 z?g=Gi;n#m9GuJ!brTg`$58;N8j||j%vJ4~XD57Ztl2#@zn;-iaCYgskzq~z=w-=d| z$F>-G)Crk7NLm@lIKYGklQ##nNi(Yp^aMxTOSY6Wc{4!yw|n(TxY>ZBmfI!YY<C&b zrVf%;d+c0<2@NKXIzGR=Z7@P5gGYIZh(_K9q)i<pts#)daweF(wP5(=?exjR6M`p? zx-C<OGNO?82Oq;E`GDk?_YmaqII(@L5l#DcAk8`)4-8#!L&kT5O<*45y^5yXw{X%Q zOOO8$%As4!0d7F!kEO?d7P+RaESQaQ!`vG3%l0wsMfC5-9_$DEkNc5=X!fJI9=`(e z{)BuJ%(jTW-Lr<Zb>Clt*iY5tUyX5^ZiE4iJReJve*TxG$A8z`i0LJ_6^?f{8=6Nv z7MvzM$>YS48Vio=Ny1||GEzJe<=cplGxon5cm!g>kt5=#(Yd}rA7nV~r0+P_P><iu zmoIXC(>p$Z9n<TZyQ(IaSXUR>70uw>@vrFmhqE4Zd%22Z6pIzlQWTvu%FR-m=RfOJ zC|;q+^PT)HiZ?6XuDDZ?=M&5QT=8+mrxkyr_<Kcpj~wM+Q~FKCcNN*Gl*flC;;D+V zJ_2)MAJV{dBF=F>MX_9-(xa80sPtJ%&ro`?VukWASCse4Ay2ebkavfcyH`<k%-}z& zwCI>Y%X{RgCr?ah*FRJqcBhH1m-iNIH^&B%=P6O1%z(T;k<L^cs>nHq{Cq_@uAnC> z&1YTapQk9t74(Hl%kc%hLg`AyD-_o&ep7L?;!TR*QM^ZSm*P(pf2R1D;!}!p+_Bvk zlzv(9HN`r`|51Ej@rWYzX56oqiYba66eEf~6wNw<45g`PWBpNzvTg?S*-Fn*q>6y$ zWIO;@DP5(wRk2#}PDN_vSnol_pDX@Sk@o`3e^&7Y#Xl<kRq=Jj=C+6SnA};vlVUf; zo{F-52KfV&rY4Z(XDFVpX#AqBP`Xm_3dQw`-&EYJXx7P8D}9&Z4-~0t<n|s_d|vUl zihosnT~X$>aBTeJFiU;T{FHNCTS#;NVwsT${t?{Y0hyUYh75^B;9e|C*eCEE+hliI zZ*B`IzH-;}+D(b;XbU-LUr_?TV$j}?EUxW7#yYJPyo-g8to>jFeQ7Pj7h@M%J@RYg z11*khXgD<*uIn{sQ}n6Er@jpjU4aqNaOR`1gG^EHVvuxe7=FayLu~Zs=qchu3=(d& zdc+^u*pLtJV&S^9F`J_q%=sSc+O5bP5gk^8y7z?PDGWZsMsGr0`T|4Udsz1x)NO&f zea5syUE~Z!>AUmcTMRYcivzz`v=#PXQ}lGN9%=-U`_BM!Z+B~b+udSRTK?3&q`F@x z;oWTO8#NZ{V!z|FKL{kYkM3#QgX>v-Z78rNpL>^9bN+7ToWh)*Rw`RPsy2Y!zR}J# zuSHLee&d6{g{QDrmRmg@X$V;CuU+|UQ44%&C3N4(lIQMie4uZ1SN`Ajjqm!qM7uHW zV1DhWeAq5N4!_eHI{DT?yorpjkUakW!6jCNe0->@`xF0s)nS@36zv@)9=lwPSFS^2 zxS_cA&0z!YyBao=-zHl3ZEp<Pu)d6Xysj$KzR9}Jp|7!G$P&BBi_um4qqrf&a7GB) zHTbo8ap{f7Ny#5z7Ln^kIM|J+cQAenArO~`+Qxtqh?h&N9lC&Lh#g)C0YR~wh{v&@ z7-ouBf{Rzl&qSG^xIiq~D4h5!Se!SHft9!L*L5`(l-qz+X>J+EmE46849<{Z!Sg_g z1?7K1hd6y$p-a%b%R^l9bXjN=7?*}P-K-96#qTAdhrxjbWg`e!P<UaFpNb#&D4WUj zJf`Q{Y=1mwH&|1ukPZhqg}1POjbD2#esHbh#t`fbbY#LhP<CN|<nY>;(3a%5%aEK! zvLmY%G530qt_5YnNg#QHk;K`|E+(0PnDj&NT(X2@Z;~b4{0fqlBqvhxMvye6lrrxx z%<Ip*NjyruAX1DjQz-UZE`<-LOl8@hg2atDF2hJ9-Ni}^D6lVfB<Y7J;3IKUpkyI) zPxVN;W^|1=*LYGcqLiyWDKS!{2+0*tt`s4k6e+w6*LYGcrIZ&vDKS!{2wCSz5%MFE z(tv93Qc4nj?5ilH3nt`NvV%fet+!$CZbj@u0aEUImSfMl<%G17Qz(K5whhfrlQG}7 zwYQ^?)Ba?eL(Oi-j%>#x3gZ99>~v#Z(q}R|(b5$2XBM+S;n6eZ+$_0?DXNXn6xH@< zQ&hW8H%0Zp2IVw?@;{RuWkP!-V2Wym-s7DQKA}t)g6LSQFRNzf^XzK2fZ*FwbRvNz z9BaAlE6Q6)FSr{hC9o*JZ$sp52&_Z|nMT0&svyDQ^ioFTS?75?BQX*Y7A-=aB+E=Q z{f^DHYvZ!4cQbK+z;N)4<?^MN&K{>G$M`o(gJ&+7Vc_2^trr}0xfM`FrKG?s)Zv1| zY3{dYx}MRr)MF^+T3O0W#UvzdM1<owc5YilE+v57hRFyb`jikwbg&#%{F_a1iGZ&+ z&oL6OK^`}hdICFC&4N!LVj~205bQ)o6p`KMH~UNg%@fei;|^?V#@nvq#FrU*%xkK@ zBI-!s@f(JSLrvf|1F+~3XCV5T)CFQAh|DH(2qJx%IRIl$V6XXEApc=3I{KIub@2`@ zhmx;t^FeasCNMi)c$xCSboP(WLs|1|?h;Ih_?5tcIR_DYNLY&)u*&%`EMW|Oxk1z* zFxw}axif_2nQbHJ4lddEorp2kB$Smv79VKQ-2}IScht9o<qibzTsxNi&Rk6?u`6N^ z#NLSPjDd*kvus4}F&kfw$Q@gO$V=j7h&vGPLFDD+KEwwRdAWZH@eRZ`5#L5Mf!$St z$d0%Uu?q1<#77aILVO1CdBi^;zKHlP;zx)9>{1xf1dgJ9h#Wn6h&;Ip5sMIcZj~a= zK;%;zpU{>fauM8Ri0cqd;JJ1kBA?dyq{a&syU!o|{j>>rUwwCJqC)x%?$Vyc%9@mH ze)1<JeNa)xh>YWVN)zX4uBWt&L8&lEHusd4U3sN*aiqkloCj}dFlF}awk#tfH4V1R z!mQJg1CwdDo@s;lA)!G|Ww@=A5KbS5PYLA@7~l*{cP3;zk6fOf76`z!9P_|M!`UZ0 zJ#*KV9MkieXB}&5qVzjK`-1$QHSwk9ZT3IVUU@v5pNt=G2R_Y|8Pin4b&I-CiygBj zR!>jqiIPn{sCipzVspj;Z~7^tW4cuH>i#RXPrq67{~JptZq+db`qvim>R<wA#JpBL z*0oq4-VlFP=<2wQ!E2mr1K-3~kTO4$Pqw3NnP2;5Go1`H7oyK&%k*Epf-1Wou!V0s zFF_B$dl9MwScWl-7)3N~KvJAy^O@8HlgF#NUtW(6(9@wD<?(^U$SXzK)Irj^8G1Y0 z$1uq`&C1;ZA+&p%V9Lz^!@u3E&bWH(cF8x}U52!&gQWEg^z9KQG?+Z<y8ZHg*EMEi z`!ri79QcbGr@1`d<@n{j0eQH6kba>yz_f20(xweaT5WOi-QZ)G<W}T0kr#1~6Z^R} zqLH@)Y1YX^WcVI7M*XV^%wxP)(UcpXd}6lDM^SEs5vDRoKI==9{t)GO7ij|9$FLXC zzaMW$V*Q+gJhLCoZJAkMoQN&60mij8*g*_KvC~l=nj+iu4PR>&I&K#DCa_PA75w}! z!<Oma=2yjbY1+(w?QRGl>5OJHpCU|P{#ZozDd#5IK52t8f%)>`%h*k-Yx|r&eI`Gr zIeqzpfpc&d;SzJ^ELagEE?T}YMhsj~>6hcC<&`9HAji9Xe(3lfVmo{{o`}Ks2od9l zPt(l*o#wx)`EO``GTPo1<A?JbUXFA9(BVAenC17KAVRPs5tBzxBF<~hz04n^I9xGT zu~_je#d8%oPFe3FMLvO%zFhG-#Z8L0Dc-5LOYtX)k19T?_<|zOFUoyI@$ZUaZv+33 z(uWm4QluW0_1Y_TR~)REO~ibkqnJ;`B*3RN)+<x`T&2%fdZE%QlwPmMCpXsPaipA^ zlotCM=$%U6r};lo`j<*SuPAmk$Pvvs>eVU#h~mdY9KSG*H@8PMGq-oL(qdNwP4zGH z`MwYH2P=Js(&FC>awaIhO!?<3E%r9lTd1_y+dyBUwAk4|UrQQtwkrQNBKUVI{X?bi zQ~D95f2s7Zl@`BWkn?BdzoGoMlzxwh<Mn}}`1`{CC1C>P_Sz6ZpF%{r0ZL;y2+cW{ zd<=O(p4X%~Clf^@0hIFrn5q1sioCwCT%IEDMM%r}0i2}tEX8?>7bz}Ll=B4TFH`z@ zMLAEv=e3t|Z&lo(xKokWNao+K__*S)6n~>A=MT!gs`ML*b&CI^_`c#1MLBO!uNB7` zkOm0iWJMYGpsBqepC$<6^@=k7K~v36zOgTVPw5{i%6WkNpDFzd#l4EpD$=S!Ij<<n zxCc$mJ^AevW&DGdaS!AQ5#|q99H}Vd9sE+IXDQB86#t9JU#T<=CzP{A@n%IC=iu`` zhWW;hOv?)CpDRA8__U&obL9VCX<A%Zo|XpU5XIq&yuT&id>`v9rKc*I?_*u0^m4_E z6={oM{p%FBD1KYf_@ld9>H8EPRHS)^^`BOxRfe?jPe(fpY2%-ch8WWHZ%k~V*iw=9 z81f^Ea=nUouhZl+5Rom&`+m~R&s*M?k>C9MjVQl|VsFLf=XI9yhbi)&fbwz_^A*P^ z7Ack}PF5^aoTfNmaiL<lVuj)=#np;y6xS(Ut$3YcmEu;#ZHi`o*sk;r#a)UIC_be4 zh~gf_ClvQ8KC4)x_&dcH6<<<(Rq+kQHx=JjY*74AkvCRyJk9y5n55W2ks4H%lj}J! zh4ov<j<3<ga9Md1zjb(B!hK`H{Wb4R3>iFdSVY3{zsoki6*dMtNtQrwi)%>Be|&v- z?Jn!!qwrie?`zL>Uy|p#_v-f)9;#11()#7y^=~9RP@j`PDskUhc<T%7D*yQ_2jaz} z-R}KXBzeYr`*+pPNw7EbeF*#JxA*gKLF39tScIVcXZ+ej@G}sDsRMbwfHwx(gM^Pe zxkT9Em81f3|Kz|6#LM{;jB5i~4zDqe@o~4A#lmsC|Hf+&-vY#Z+^r=u7%$f_JN%Lq z3Cf#W;b12SiL(O}?R^jsdJTD2=mPv+&KVEyCD6WhY3MF+R)<PZ_L2}!NxYXJcV<)U z?|_P*L6K$!=gZ)<=z^a>U3-!0@TCGewhO%7o|)pTE^wgzTS()D!w-?G-t>6)$zap0 z)iMX0vnR4tKg5>5WjXPvx0Pfn$w|zm<)f9X^*oc}!^mizhJ<|<Nw%#OS9P9E>TPDO zXJ#31g?QVUJdoQtkGZWMq)<un!r7X4{Vw?^$TqwgnTHY#_O`a18;*dFk8Qz04K66{ zwj;r&V_vX1GX{s*wzT9V{-V<H?C+oSMX}Ge>{I;e$ui7HqA|R4foB|-V<zh2Pa%># zQ+eWPN&IYBPUzdNvA$)~HKMJWt`Th=Gs@FgpBy96O{drc+LhwVV`Yg`aHrfh&Kl5; zh1$VjkGB-Ka5Tl+thZS7B%g&IeTtLCbp$9EUhC3iqCA{fmo_<N@T`fsyM)jkQ5K6b zL3134uox!`T_UNPi84ggcozU<lE4QraSFHr3EH)MrbL#c2@I<`2`u3*_hgE1C?bQv z_PH6XVS-z;)L*l-I##pPU$fLRzp^&>!#E5y`vezwIo!8wM5ObG+$*H>iF4d^k=YGX zA8ao)m)i?Ds9#K^?52(g$r-36h}`0_9T75Tf|ev6uPx@FVcX+<`!|7G)cwmP@`$0# zDBkq*I3b+_mKTCZ`5Y1Q-UN;ad4L-<2k#Xy`Jv=YCQz2F2W5g+cX33>M8qWma*W)O z;AvXULLLt!S}>YfJa$Y&paheDv{OP;`sf@}cb>|Ib-L+TF;$Uq?6G2Mq9tQZVWy4b zE=UA~U{AThOtqv~pVtW1_4AqMr{&?ust5N(9VEgU=KhaeG<)IlIdlJy*Q<J(E!XQ0 zc9-{S7tO4gpFW>G1mzh_V@P8%S1wW*T+(|ET_v`OIEy3rEpF~Gaay|5lZ#P(4il%R zJ15a1dt8T!{(>jwFfkZ#{@5>ldeC;BnN;Q62-k_=?ChV7?_@#EVB4PUu8G~x^^@os z7U}1xi66z|7%$yk^F%i_`j!_juZVr($?t-38PtdK+?AP4td{Q5$)>C&%V#c~TRd<4 z+?k7F%NqX!&Aif+Vng-1<I`-ppJ2E3>Z*nHGq`c|s!lp;A*$~g#NtQFq?ytJ8v6hL zG|VR9EcV-FIVGCFDK58F^19%9X9KLUd96Ai=d*kx>nM9HPpq%kmYV)W_ewYozhOj~ zQi1MstYpcLDVGU~Y2NZNu$=!gWG-TSDrmt18usGOlJZYRWEn=%QA8sfN%_`9$h{tt z^z!nM_RFh*ycbcC^4Jz5uhdruN$Yj&5T8a&Fv&S!m^Aa^pfBL}8cZ;GGr;g~cPES> zYfz5cCEsj!8PcW>lGYULT!aY?CT}rlzr5ZsrX+($dAK3><ZVFO)Irj^81i~Ep~2*> z1?`tN4Dxu4C=aS^Pu@19O&uhy+ad36AHyWKBF`^xKIEaO$b%}yleYtD*5S4o=v$F` zP!pKPc(0-<_amI_)MJ}q$~^=Iy0zT!PetQT#2z~h<&13B<?&$Hi|F5va$i5EAkQ4P zHc0ypyLBDp{Ruo1*ggiLZ};S(rUXn*M;MACFB!;f`sHm$CeJMsj66dd^z*+Adn|9~ zcX%5yz2t8Ft74yJ^Euai>e^EGKB(#1Qn{Cs8y$HYk~UG!8MOKE+QYSy90x?PaRM=1 z#J1U!h%v$WjQN8Uhb!hPj!`UDoUAxgalRtYf6BR3ah>9|iklU0Qv9Cc4;AlM{HfwE z6rWW5wc>9T|EhRUu_gMC+wZI>HciZZy+{N55OFSNY5qv1M=3pC=~AVqDSe@0x$?!f z136bKUu-+rF5kPS{9Co0Jhp(pTlo(ve~;2n6Va~ch&WiB^I4zDFycQnUsQ0A!yvk1 zMLE8x&vTP}p07lC>;lSh1#%7{f2g9d)#WQK#~1k%mF80?%b%w>OL2kXMT!-Qs}!$L zT(7uQahoD_43vAPq8xY7yOn-O@e#%673FxN9Oo|9r^bx9U$IW{pNht27uNp!BwczB z&F`(4sVL(E^0SrBQ5>UKq&P!yj-reY)T>Z>wc;AZ&5AcF-llkm;v<SOJ|JhW($6aX zPEp1Q^50hapkjmKhl)W=>g*?D6XZJ(r1`Qrk?(U5BZ^`R2c4y~*up`NQo2yl*a)X6 zEjDqKo1^r6#U+Z%6)#g<t0=Z{)H60jV>7%%^Nr2$M@mx{%Jx2{*!&w<)QK|xBgHse ztVp*|Y^Qj#Vpm1;?JKHMS$>#ej$*!|%&Xzp`G(;p<$1+nJ!A=*^RoH**r@rKqOAZ< zT9eP`rll7xJKF!}C)jQVXAK=FrkfL3^ZFuhyl@McCE(g_=>HQdUK6f^|IfuWRd1G9 zYv%u{At`emJW9e(W<kQCj|c2&v<e<?bP8HUsS}5nPiMjXt9HN8V6B-xf7|>&&4<^` z0{Fu$U^#qAXyh*&?Sd{f&Y`eX^`>K^+^*^Kf8Chqm0`YfD8;_=Y1U*da`1Jak(BcK zz7}-}9lK!lY3G`8QG3{=hG6GVlqIOv{^su9yUB64l2CJMv~2#_4Z#s?--uHJBPs3O zeXq67tu3(HM6Gwa1~xZ-co3^OTgz(B9#+qN`^6qEeGs(o0@{mklJI319t3}Y5o03H zpJ04G!48S{S34{hzd+od$qdA=Kov(;c^1no8H_uC4Cf8zmXsqC_2;k*r|rPXwfxm3 zo546f4I}>+ksp455Dp%eO2JW5GWb52F~66*P*|aU_`N(tBh6(YPVJY5ehSWNam9H_ zs0tidNBUvx8^4!h!NN+-Nr3qID2kPuE0H$7E_vGPO3in{X0YEwv4qadC`?2$0$#!o zkg&(iMRGF9|G|%4#9Ut2b*1LVNIpiA29M%*kZOklN#`PAm#`|Y!7Udo!U9Y2YiUnL z$$?7n9U+i|l-%KxSxP<*QZ9b4Bay^RdlMy+Y`u{i5YkGnXPP^}6^ZZIr8JNP*d4|Z zW;<yYTaJSN9*f8sN$$6Xni)Ax*bye}7j%Vb++^aepj9GF9JH?ZY$2GFzKB(c90ss| zMA$(lq#yy41ffilQ?>yfa+B<GR%&Lm=pn3$gaeYCMqpvrN)fBZTMjS0judpw1h?8m zf3?!;ST!8mSm5oBe~}w$o*yA=@|NJ4TY^R&7c0VtW11(&U&)Bug@n6vUenO$y6aQ9 z>$f7tVL=*H`%K<}h}|c!E=)OgH4_gDvBIuq;!&3fc$>E6gP-8?j^8zYU`bj!k~xS0 z>tbG0Bt-B#5E0uT6d@v;ml_EX{0>3HGBtu`Cm|`p>^r*Eu-H8TA-rwKF*NyqK;k9D zzap}_Kk!(aa3;>9um0L9bKN~rpOIW!CB7f=+iP&Qw2X-v&LVuDDBHOpeNe_}-8yFs z9^_mAkCG9vd!C<~F*u{oP(KfD8grsO3kLS-nbu=m|1Le#;QBGA%fO8O&QC8-$6I^N z*GJ)EF(hkxMNBE(M6n*z#(oLD8fERzfY_G*1J&W){{IU~aCgDdr<fjMW5X!3y)PYo zx$BlZLrHUYjOCM-&KA>=HcjnH{{_w%Ib-?t<PkH?06FU%!x!V{(3P9+`&w6Sx>;_D zKztrux&P{5t}Xo_6RIdLWVUgilc>XG8Ae{rqKKvqNXq&gKChZ!^76p&%NquHdyq+a zd_FVsc)2rmkd$Y-I3L3#=QJyKIt1_@(gc&70fv9O4Q+AHh4yi~<eTj-L)z3q(i(_H zMVQcF@~A8G%L_v}mkb`|@sZ8Q+kmvGgQQgodA*s?VDi?2_RH%4d70o*UQ0wHk9RPp z4w6<S<W2E0OmZvo{PM;?9*+~{wMI1Zb|B3<+!n(N@s`DJVw=D`#(Nb_Ir?i{VhU*< z%kka|kK5%Y>w1(s5ncISl*=-efNUSbUPS+Xl=%8N1$kyaFpQe$wm*bC>YGep+Zc$x z-Lv+fGjhP}06vC<$V&!to7j(7zy^4GXM&MuXoG(Km!T^++aZ3HbmN>`K2bLwyHLk; z<7SF)axI0wd&udEhbIhsJawy%9482mKXu_49)cZ-I1zgiadF~2#{5Bwq9X^LtMnMf zV#UddGZp74a(<wkRf_8sw<zAMc)Q|GMV>#b_jAR^6`xl8jpFYW|DyPs;+u->N6O(q zCh{?z*ohd|?3+Ir#8f&ke+Uuu2&D^@E>wE5(z6wpD6Y`_HA>&Ac(>xiioYPDzeVSX z?eV2cZtsso(0?PMeTS9C9th3zmweto5P9wqBZ@r~`zmHA@?nYP<TwIzl`c?};|G43 z($f`ZD_)?uNKuX_%CA=XTE%ZE-l!<Y7v*kO`n!s9e8In8>BkhGQsh$^+xut5e<*T1 zlYdyz=osa=f`2mm3)sX40(yY*2P<+8V>wZJ0mms_tXQTvO>wT`0>!0@a=cN0z0zj= zOO?`F6{*MJ_I4_Y-%Zf>D^0Br^M9o%>%TzDxByc7!+c{Sk@FeX9&`NB9g=Ux2TpaN z%{bwF&HC~*3_PTC3bFMubh9Vuk8tRKVJG}veGhC0;V9kgx?NXCH-^_m1Dowl*1_+s ziH}a`T71w-3>~x`V1l#dZ1^ag7A>Ps!klrncmpd6eQ439=o5`kY&j)5Eo$93jvfMs zTIsnp&TY8x99+8wb?(`OuK~ed;3nk8eV=viX(=88t=qyw?UB=>tkoB_d)2UXid#C@ zisaM=o0RVx%|Xdv)E<d)cc0{zo7j64C0-GAWl|#j6!wk2xX->VsqU$y4hNn1j2in4 zd}Aq&9t2b9L2#7Sz5A%s?mw441<&CYpKN>*TeQ!>HUo*#lWXQhQ=&tVXL8_Cu!MQ` z86kKR?0;X1)G+1u@2g47fmgr4P4_o8G{B2sAo;!^J^Q)3;M+Fu$J?!`Ki&T)T*Te$ zc+h?b2f@Ay11cGj6Rtl%11xqB_ghEKeH?d#^H2is#>=8A_p?V%4Ks?*VMZ{HtE-%D z+(gMm!iirDaCq|+Sa~^rU1hM-o9R`XgcDy55wVx&&!WI!ycm1z@F7yJk3Ib|6R1Jh zLd>_Hyjl{XQS<T;r`5|s10eU((ECWQ4spTaC80aOftGk02;<vt3|R2(HxyvLlvKj> zJ*WnaaRt)Gw_gdW*-`LaFFiz?#+Zb0%oyDtIlN9L>_@^LGYd(^1e@eo=H3L-)fkWK z2J$x~sWF~-5~wo}K|w_<{`#&2nKU0I>`9cug>kqJSXLwXTgjhJJ{9{(w=^YNdE`*$ zp6Zb^Kw2%?>AB1hDKlq*q-n~P5+g;5kTgWOQiR+K(sk^26*ELi`7H1bk(9Q?NRc8W zKk4d95t3FYs}--GrHJ>k>T5{Y7gN$;WVRN;LR!h^G3zlD5_fxLnC{xzyw?6}?)JWz z*Zsc`<+jmgL#@qq0AG$`TdodJY!hh-Y3^>X7x+-HQtkP+B&QLMAd0)aS*XHl&D7j@ zL;!0dVS?nA5qP9rH8)dkHQq&dp$}5f#}X(QuJ_7_a}dEMxJ}&Dq}DJL_baSP7a^L@ z0WnQeRLGjTC3w!3;5wwQ_R(w%H)Hb6KKW&$xCC}bIwD-7ts-(;@WHl<I6=}YR}-hY z=?Wt|V6ChGOTwG@Whc^io9RqCj^kkGqH&)_noZ}RM_&@ya{43W(RdXx4mZnCwlnz# zB7CzE5+K8|R@&7}aN-6Ls5UKuD3p=Fe&*dCHb@Y29ol3{`hCl>=s+oY4uM5+$W2M# z(Qj5TTMACbuLP3*ZYl@Ot}%lA-NdrYhyLDV`#jDuH&1d)2{R-)C01|>7!ugSA0hhM z7-LOx%ecqmpHixn;8yUOeT*a11*HN~J1D>W;ovlZ1BHWv1K|Qh4!D~UcOgECXadVb z5yv31XIORx;%Y=5Zr1q`BI`Vh_&nn85KZ7<dLQu!Vi?;u$2<k;?ufj*?Sq(!I1F(( zVh*A|_}g8Gy#Es0#b@vf_q1haJIN8JJVF!3!t6m#O2ua9;tG6>D*M89&c*XaITsfW z+I)NG70Ptl6kfP^(Bhs$d%)Y<fG!yYJ%>6;k=#?pJKZDv7*=uNF$@-;?9h$xgg3Qi zG<}o*OK{(&?#nzg!B^FU5z}J88_FlV)PFonhK!W|a4&654IJ24+3|}10s{x8q9jDW zjiZNedgAPK3>ybMw0&MX2YT^qJBR6Nb5Zy_b`JlQ#9SBqVFOTCd0}-*Okd72jA2Ax z1x*`}ly^m6b}z9cy*ys-{qoj9-cFR`BV-8C$Sd{LK~i2E;PaLVCOHQTlV)BebRJ{) z1fs#@%>eD+Za-|W3gx(6^38Us$24`2v>wCGMVQcF^7!2Am-k2~+^vB}c~JLx@-`rC z>L6*o4tWnTp~2*>1?`vjEadU3PkDSiH0|4lw5fxnbu%jQ?%V{E+zN(Y-iMuI$BpV4 zBX0-Nti#ih;mri}b+hBf{Cg4o<z}K$OISez^BB2r=+<&&TfarQ5rzP=3<K|mOqz6Z zEBB6zX$H2BVK1V8KZ3Y*GyROA<LyTqq<x3o8UuMJV&_O|=iX6uK>fBVkMg-qzq}%B zEFC-({B1WpqM!e@og?;rDb6jQZs(B8cr!bPxlqRZrA>vLFT&0-49AN4_X5QtMLu4U zf1cuOMGk24mn-soC4H45&o|O{D1KjYm*P(p`6R${Pb$8k$gxWPD~f+ttW!Lscv$fx zMLsdGUVBA8HINqlH!zzt=ENLD(Su`fbIxEn(SL(JQGaJ!SP$jzQ2d_C*{$@0L`;BU z_kes^3xM*^YdKN-ffhe!$frt;^5mUw&{XP?mUq1&hbx8IPI+4ue0j$kbXW30r)s`@ zM+h{1Pq2Kp=I0S1hnhU{&s6?YrRCjj)LWqRGR<G5^jf8_CZZp&Q@la*<-0<tzeDL= zn*W5-I80KH^BL>&UWv##hRAt`C{Lt7UT;ZfDh^fT97=wkBCofkixv4SOnR2$JjII? zIaf1(l_H-|N%Q(dyhf3lOw!*`<n@8{PDL5dpdV2BXNtd2{EecFYn1z&()$(PQIv6v ze22#y7_ZpezLTo_(-f&pW%*Hx&Fwj7EB_ot>JnN0e8t6z%M`Cvyh@RdURYknIZ$3^ z239NoM~b2^2cNGhv;5B$pH!4_5B~3zmT?dI6{Y{CDB~XdgGzs(_`ix&15<voqNwIU z^Ic~0W&8tAQ<{ol@<%Aj_y-+Tdc5L9#Tklbjl+dXFIJ=ineya30A8c?Hx+MGyjijN z+J+x1-}pzPj+yeFReVA5kBWa)d|i>MXO@3Yk;-P$VMVj%p|jH66?-XC;mq>iPz-VW z#mCM+kdklCPu!jf-TXY|y$0npKVN$*zxjEarF^;0pkB7pIg0s;V-$-NOB5$7mMKnC zoUgc0v0Sl2vHAJEM)~U$uU5QHu}X2P;x@%w6?ZD$qbS!Q>`w}5+(>p%KA&g#Y66$? zors^V!9z~mo>-QIjWn|-x_-J|IWV@exTflWRXMsozOw3oosd&+Rn{B`0Jm3O*tP0_ z)2U0;IcSG+>+MPlt34jL|Hj=f9I!g&*56*a<$&EMuRc)u!hrzr4$#hUtog_uRqs@G zuR*Edqw4QuslxpFAWH#vu+-Fo`Z&-*;CDgCT@bAgfer!h0v%t8^&i=6(M$WRy0~O~ zeJt3y#?IdLww;g~WebA`?ckQ({dOM&#~#79Ce{R_54>%oT{hZpcZ!@67{NBavoBDe z+^L~Hug_KWcMSh={hs0Ul$8L#S&w^uvqGNVEPBg20&iLH?4sVX4(+!%HCxYcK4Aj> zvYy2+NPKDozdV5c04tnaYwS=wPdPhGeI{&zGI0gs?<5rz?^(`UsP6g8+Qf`-oZJV5 z<F7zg%;Z-A3MRju1UoEKV>oyUsjz$>EaoqZw+U8=#`w!aJ(0XDG!?&>hPHvTI>gED zlF)<Tz}DA+%~O9_RPi?Tmz9e`pXe`3E{2}JtO+P;7eRvi#6`3p0@<=1wqTEc3v@rk zmcIbcp1=$3Mv^q`*~QF#9MSccHSuL6|Cc1Kf2E{&A!^OD&&J2i-Rns5{~$rNFN1w` zn<NwoU|VhYfXf?~w$%Hw34D*`TKsx`uTs*;{CBPL`1kw0YU=8$jd69=Hs<Q8-O;YD zQcl3t6`!SH9cwb$li8D234wfZb;U%)CBoHA40DO3Y9?|JQG=7=LvE7r45GNY0(zkp zHVA7Cf%oRF<&3GGZU%vkI@-ULTeH+(v$Q%^v(#U+seda@%Emtx(^-h{TU1PB^CQ-K zxoP<C`d&^N{=2?6Ar1ds-%aDy5AQ2<m!0IL$w(oe!SoTNP3QnRf{1huv5%Y1CFZ#4 zyvKm-7M1~cfgAF9mYM+)o~78IyEF$DF(x07oXG^r63<dhaPAV%QcTpiM4%cdfhX0! z)_tZX8XPr!&9n-3@6UyhzLFFVp9!qr7BuVn`ONpzmB<f#<y}6RE4{2P;idnCT|WH= z>r7fjoTU-`=43FO?yN|660@Dz=}z19fx^mg=75Mei4kXcdJmVCfsgkbo#}MVp3p~E zot)l(7*?GmMey3t{(kf5%4y4_DiH7XOQ#p71S~;0jw!+QL*N-znyg4UhN~yvJx^>g zNmJ9$7vjXpYtVn7mFLUx+vKfBiJ64BxOCRS#j`J*w4f4h&*slu>g)5PTsED!n<mdP zv+TdZ8k2<i#&3)1!U;$N=b<moFO$vzU)x|z_u@+ChR<Vz@n0*<m8KuWw(z!sTaPv| zT|Ub&h7ozOGHpOo-n@C<$1uq}<oV_8fxPX=JQ;jG9~*hiZ7>7z49)AC2_`uQ43lQw z7zp5-cqW*<8DRLgJENUttwA|%mwdC`Wk{PkNLoA4s0b4pOx|M9etEC@)<8hz=gHfE zw5dZ8Pe5L8CN!A5wV?g-4nkfgcx)e3hn~D`NSiuHT5m(%Wj=;UZbhD7UZj2OxZ%;+ zleYtD*5S4oF2KPY+^qkYe=nlHTy?^U*<g~<87E?cDMC5Z2DXF8gJCbCe?NL-Ka#;? zzoa0V<Az~`d$U+r4S6SGgUNxg66_dnEHNZR9_4eJetA{c0M9KG*cZnNe*TwXgQ1<M zpSKayOKwZPN^4Iz*L<o?rnz4xGv&JuDCG^Mul+K8d48EL#_^%QB+f1L|8%v|n~2cC z-9W?zf4lO(ulOU)=gZQp$H4L&sYKo*5P6OfdEOCuuR!GWhM1{1RMFTV@|7N=I8pIT zMX{Zs{w$>zC>mQth0?1OH!5DQ$a$07=R8ZiL-8)fA1dxvr2d8Fex>-l;%^mSQhZf$ zzha#t6*jC-^$W43VjIPdilVVXzOg-wR=%+{Ojp|28ZK1Y*cw(UeYv8sJzT4_u{~5P zeW#*1o}XlM*sJB9ReVA5kBYA<zM)8$F5J&Uiqt)k=8N{S|B7uCjjiESrF$uIH2}+t ztqqu?^cck=MO+@C>5GjwkW$Z#M`Q_Y#%1&I*r@ru)-?AoRK9rS+@<PaXuzN$1BVXI z8ZaR9*x!a2Jm?z(BN9&F+YoGwPv_hLE9mH7sA^YI)z#san_6#fRb?Gqd_~ett>zca zzjppJ^EWhxHa_=O!p4^ydhbfS4L*l97T0bY`f@|RHN~~J3@)hMP*_~MbHwP{jnU%T z?}I<A>bZvS_A475`?-dsU27Wysl~OMhqpNN@xYhg3T#}1)gD*mCv5Ie7qZ6I#U~Zk zURkiQU2*N5Ba-Wa;Q&_5By3B%xv0(#cBqRB2M#4C<<}*)z-`UJ-><RyMr&Sbu*zR* z2+nw^A)NVALsH}_cq2j!ZEWxE=iUky>_~j>t<c^1wd=>NPmJ4!mh8H!o%8MD+MBbm z^}9RNC4|R9-pdVLq-6!QS46*st#2NlUwdsX+7vi9idF^Ds=}Sps<w4@IN_H3I;(}L zJEdz9T9ts6KG&^@MvJ3Khk}8@&!AnI;TzBj_Re6mWaFAf%Py$hSV%8TXj4IPZB=I6 zci1=RfidB2_q21iq73qa1!!A=_SA^t+S|YJQbS54bkmTIqwC`0uTMmd{oGq&JN~BN z&2ihtp>6H!!XdOh|D}cwB`-Hbc8#uU5sy9`v^lYTT|#JmW8B8kbx!=oc0)SG-TK^H z*2cWrHKRt?+40u4z?R$FIh)Xy;n=3#;?|cMx<uULxNgkg4lHBe<hEqTH|$$CCl=OT zQFu~aIF4J$tGzPkB*;&=xwv-6h|zV4_ATv(bjZb)Za|+zk`ivX{M}Xe?u!02nzXIe ztyW#IP12^8-#H0Zf{`^ZH)QTQry+Qu9IM1pwO8cL=(@38hq^#G6orw{8Cz$!D7*i{ z-6)gDo?DxkS9?VcOISE!b_;uCmzwF(zcd6hv1aKU>|wzjD6u||<LqwANg7+{w2;x2 zx3OIp$Yrg-$oNCS#Mk$|+>pKf8T3Q4tSl-Yja6A;#1{ANm-hzblnC0KFYP&)JoMTk zZ1aP4MTd}vp8tMAAdYWrz_Zk5P|i%Uic9z#jQb5aPcdCGOE~eoP&izN6Oi}qbuke< zS6zaPm^q=)EiIQpJN!#h;UIPGuw8uDr6xc~9OqT|i7Egqj&nU${csDRAX^p+(k>Ej zXXDrAd((L50P_`t9e$C&<9?0b{)pkTNfz;UJIT9Aj^Br0syJK7mokbe?Ol@8{FiVG z10Xcv4J7P|ta`g_<6rjAq)S`mD-)sCR$?N*JrVCI!~LI|KogsOY@&tF(-J1*gxJ?5 z0-T!}WG;q>OQOuzx~hbI;#ily$}(QSJqaE}N(eGnTboLV(-1>Qhf;&pOt1Fna5dAn zd2~`W)4LF{AfklNidBeA{}ae<GL396;E@BHoJe31uA?wTeQP3EysMNfC1}ZMv66hG z^#a@IUnXMO>{y$2UT*663z(YRS>7bUwGn~!P^Tos#8Tl;Cagw`BR@6B<ToW}65&QK zC(I-}!Y!Dz4m-!b5P4ur;I*g>5q(a$Ly}VnSr}C4i!yfMEJSqw<PcQoOz%LnEnhcz z9ohvok%_>=?FM$bKltfaWGnwb_KAL{VD|87<x3ZzzjWqBk$DRi&RrJiy=vHyv}xxr zS{|ubym;ZV2y~~BxfjivJ7><^In%Pzheifw4j8y3Yuc;@i>5(KyKup*jPqyD&OovL zixw}M+n?Xu%s@FfBSN<K-!y-LeiXsWHeX4Q*R5l>3Mc9m={YVeXdQ8u;G+ZSIRjEt zomG*Qqu0;Q!q?!P7U}qC`~YWpcJGqDsePU0h0aA0r)y+@gO3k%OLvy0PaA-5#IH)n zPi1-@;($}m!|y^N`}Q4hN|scE?i+QMO6_*()6QSeS0oqqJ)`f9qx%nbRz{o_+4wMh z2~t^ov-<WO4<Wr4I4je8A%U`ektyOykIqZQ?|{@(`j((cls(>)?R2|s!nkX5(*|bT zG;m6IXpcV7m5+1s3vmnA+&R;z;nPNqpEP!o`#XKo+=}Jp%E_NpGQDWQtZ9=LFJC%) z?zGXPMm6o+*e45`YHW?GA<^hI`9?uZ$J>N`jISBEI{5O^xyzO>Z2oNo(Fh-{_7(N+ zoW;v$Eu0JW@r9$HMRu18_`jh(dcv8JQ4twkG7bj}%p4l&4KMU_XD*wY7C8qB_zW{x z`)5rb+JDy4nTux6k1U<LV!^Tnix))(d?Ra6=HPQJvk*jfNw@0m2Rj49auSrx3zk(Z zn7u5ze9`O*)Lq6gKHWby;T#Emu{;&Hg)SZ*>CHAymQ!cm;-wL^C{m!OPo!k&;@NYT zEnB>FS=tw|6S>22qRV$}Ejts*xKWFjR?J=1e|(k|Y8gMiMIa&6Hke|cWS{JGushnF z?9NUXyKA7k&DRMyRr&SLJwThlDdm`J0cN1YXZbz=T2qnUq}#soTIkN`0^T5)>v0y+ z{$OV3ZeX&U(Tg=N$2^7;)j_+Lv8LPgPyOZskq?<hHsxoc0b_g&lgvS03l`9@rj5ID zjTde_BFY7a&wIQUnL0>XtFWQ1OlUB9vp}0Pvyvc$&#fky<auEDxBF0AOZ@+GyWAf4 zgSZ6I)IrkvUvzkc2@NKXx(dI%7gOBlSIXmkkCC?yX;TMD>y&u69McRYZw=CZd2jmU z@tiU8cspR~AZhi7yubMvCb=1Tet8X$$Ngjbcw27d-GMag@I+=vMx~pL5YS9AQm5iC z_XF%C`^p4U?m;kc=*tbibK<de%g>+y>zGi6a>EP(WcwJNLiFzk9n~a*$Nj(!uD2f; zM&6i5(yD^IKQf`gY>Q~l6=wB@FzPu^MjAsx<WWAiY0e=etukz&CleZsJVP7w^S=n) zGWRPMOs}{cN6wg7u47>^_<|!xJjQTjWcq22tXOcGJ+L|GX$%|bZ?_bCJQf@|0)Dz_ zYaR3``;~pdIfJ_7rZ)rWv#r=0{oXZ>5;@ONC&U)=y=+P*;_wT0B;pwJn4E~8qNvuJ zq{#bZ%A2crf#OQV%M`Cu+@g51;_Zq%6={2+yayEbD4O^7UnPyX=?%p<i5O({MAU0g zT6B$|<I!o%mv_HF)2>FEFZU1!DGnndKTm0hX`(xm{=;@Trn&tNigJq&y1&u`6nPGc z&Q393ag5?*Md?4(pQH4A#R|n$ifa_tDN28$UX{|@6?Z7!ttkD8az9b}XNsIR*uLi# zf3GP04E}3M|6TE2#RkPN`w8WwUx9o}kF?R@@}Zoxtd9UTUmr16^T#VrRxDGTt!Q+- zi<Dlfc&XwQiq|PtDN+l-?SEJC9>raXKT-Ud;^T_HQf#i<{j2g{SCsQguR+`n12L%h zj$#V2b!@!&?xw^e(D8JsLk15xVO?qo#xH{B56I|JoB9L#&gb$6G*bKltrGt}C+ZET zhm;o2LEY#JC=jHdpWD^X4?F?c2~pz<D2@Jvx+Q;tC!jwc+Y?ad6!?1o<rnS=D6nnM zTUM&;{il4ib=xiC`)AMoe9Xb_wJ&J@2pw*J13xJk7!R@geE~W;c^}vg9|qT1$gwjx z4@s!&WMNMr{(5G}d%MQ>&yP{ck>P55|4=LEV6KY!{#nm5J;*V>e~Q70Y3^he3&yYJ z2JP^72;rcZTY|wstQHPF3T7N<E%n_KH-qW<b_pPE9x~N;Pbl~_IHBNc_>H&c;a7dW z^NeM%!+$`^E<AvAKg94BlH+C}$rz3!S;X^U4Wg^XoA4Tvyv0d46+iZ5&OUq>H=z^B zGr7eJNOBgm&!U)XK;kk57Z2obN5Y;>{+lGf*OUaMxqP!YftNhDa5hLQF%v&QW^8B1 zTuR|8C09y}1Y2`AFju5VF7-WD5+`O?LIou}=Shf>U|Y73uX+-Me1{T{_pkbp|MGN{ ziN>8y5);x&ozsq?vy?g8x|IFtg<eQOef9^yKO{Mgz^jLN!lAyH)ldX1FW@E^Eb)Zn z=9YO`Nc53had^Y})WZ==L{2HeZOLbMcry)>jYFHYNN@1b)KzmcCf_vuSc*#Sbvokl z9NuuZ=)J|r_Iq-99eM04I+QVeMu#_GNhrea3`F!3fz73MmOcGvMD=pR<X;it<%ST% z4v3c<CK6mCP;EBv)9A8~he$o~a>I^cLrlp}@p8kWIGm=W@5oZ-K*<$%IN6e%j9&>P zCm^B^#2L^cH+{^$VOi$GwM}e0lfaO`+&n~h=pmdZ$tkgdQ^1hGZf!vH?RSjji6iT6 zDOE~vD|pTB0#*RgJuM_5!_Q4&8be|jA`dlh<~AVSh<F#`PQ-f<A3`*NWuu6^F=ThJ z4yV0JL>^;*-5-Ev-KP-uB0i63!s|#JMC7R!z=1XgycN=25F?0G9Q8pQh?s>q9MK<m z8ksN}>3};R?Z#Fup}Mr0i}(p|_x>M$BKK2u(VxM|4IK1mJNmKQbmu}ixjD&ma&xZd z<YwKP?x~&Ue~O!%9+A_XhcBNe{%t<lqYb>(4S_NfU)}V4+xVT>9AA;?`eYGBW|KQh zqk}zK3w#W9ulng%UpHTvlGf8Qi^|w&lT};$*)-ptD5`fqL66<kGmTM$d+Oa-Ey`&2 zhI34Je6(h}iH>=}`HSYxiMTsNU;1AurEwC@-%rvR^VC14&X`yC&!aQ`FYq4opQJPP zPtknVWXMP4+-$<tAoy;O2_`QG3_eDfFdvG#9F%Jh1|MN5FO0}rGgAjis|E_Z9ZYC2 zd9y&9G_xuopp8%2XYzPK_HTD*3buiA+%C6gwz~vrQwK?FJ)W;4OlUB97lHQ6Yk+br z89d5MKs55!A#Lg)X?+*+c-b_;<gEe2FE8A|y}6`3o-;<?jYyk1NLm-6@mv>gf=O-$ z!!NI_qr0D!*9y_dy8~&~;VH)O5Gwt}2m#F`;|~>0xh;4QVqckH$~_1My0zT!gJ}E+ zLjaBFXMAa9=b#*KLrh@%7<ilE-;WvC57W=>kZ1OTk4C=3Zmoj6KZ0k1*)GvHEUjcz z%mfpM?^AWgIT)usjWD2*=VM9I&;MFy9Mc(d&S<VPZsr9>b;g*i5kiQcM`z5jL|tlu zVv*uRMa~DzpRLFNOM1EDTE(jrw<_{{VY%-s?o#}T;-iXBDsr5&{2vrwS8P<|Z8CLs zA;knD27EFR^*Skis?upnrz<TwW0aR$Wnhu=&(d=8zAEI*Rr&(WuTWavJI1-ZR{7T{ zUtYLEy<3#tuK7Pu`d+1fs`M|2kh53$zg51xmkK$rDg6)4KdAJ3N`I`h_<(_&1e~PY zfAIl>{pzT+_`m?&Tj_yB&_k7`Ph`>zeqAW01ED#0kdNtAP#&c~_ftAkai}8aE|$+z z<YN-)Vnsf&k)EYEPw^r}&XLStqqt7-TE%ZEep~St#XA)5Qslf!IS(t!xB|_`FY@`! zP5i53tzy065yg)bWt^crAI(^gnlEA>MLwR99-=r>QM7vC7b;DS1k1^I1IinKzzdYW zNO6T?rQ$V;-&DLo@g~LQy7OJie?Zab%w^nRdou2T&uaef75}729Ua^Gw&FXAhZSY~ zA>ZNn0a9y6JXukE5P|Nlbef|0A_AXkJ(lOa3~{ug_#y%=K8S$lDSw{g1&T`*S13~7 zM>*FhZc@BKkqSWO?^LAkZPNEEKCJktB6Wkz->3Mh;v0%o2QvS#;zx>jcoe?O$GCSf z=MRRF@Ok}ZJ>EkRo1bU6%@Tg|^DUzM9*X9?%TikAZ^)xwg>rKg^A*P^%Dj&J5~U|A zmMKnCoS`^JalYb0#Z`){71t=PQ@mR7I>jnQxh`P)+myalal7IU#hr@xC_be4h~hhn z(qFA(^ONt1dMKT(9M@Jebm)+XgyX;WKAAUyxb0@2V@AcCc#?&0>L%gccY8j3lq4Pc zc<^L=qc-lKjW?+W^~yN1;r)ywSH8nHg?lxIHl#K>vx8aZ-oJ7;N-Zp|9dcU6k*f}~ z)M<_JgHU2nFv~u@a}9D=7uOEe`d1xh4oiT4p?!L@dP9-BjP?Eoe=65m@TX#TMOz9w zq<mm^-ZQFqhkNqqK*?^j2eqx~y_?*7@<=7tbc@bd)#d|xT(B`XsHjWMeJI&z4SHu^ zyBYX)f?Ym(cJz<?TXH<h{swIxQ~PxMyoxv<6M;X_agY^EW8XM-=sx5+b~pkmz^O1e zcsw%#ah%7TK)l>Gi2b9OR{$p%CkBtLELy^`<pkq-tKo#>X0S#$KEf>bJG#jGf9zcg zd=<s@-o1O1T#^6*!W-!gU;x1oAUwnfA&}+$j);nqKmrK{2oMkvBp@OxwFroalz^c1 zK`jKTRH;G5iq8h$TCLPawIC@G)QJA;|NCao+`IP@C8D+B&q?Nf=bV{2^Vr$h*|W2= z4Jh<RT|_W_^Hdb?8!DZbUBdEt?}jjf!*#^vW_yStc=RGBndyXP{K+#f1w?u|2Z{7@ z#u23+DL!wSyc}6gk=Qq*u(RQLUI{>V(X<g|Gt&x*+FwV7glTK_VCYdr8&RC0S#>;) z*6RaN>v$MdX+@~eT^Tc|B2LjT1M}gjJjFSPRCHzxAFP;z-v#Z5kKx6`9KysrZDSAM z#~k_*e@E9=E3t>Ok~|gF9xNkR@w!P2SC6fp0u=|3$2W}Ue7g4{k}aVaEI!_=SUxDO z$17zMcsg?uzn4)z7IL;keFHyyDs0qa8@2$^PQ~I5TJ<|XbR~QPjzRHkD5{sZeh@tV zX3lLoZaL#_f=tC_-iXU=+JM*(Z|1$ixUBuZ;p<Pt53fu0d66a2;3#6n^HSOf93Ff# zbw?0QfM&i*$q<#2S1Of~M1wTMP$|`OC?u)=0g(IS3V6sD6Vp3}pMmxY=|gY(rUyXb z8_95eIzRoZR<m<;dL7L~f5VFQn9vpNSO(^NfOt>?z9CmTbb))FAd7<^y4pQ1$fAke z`_1qZ4fXYoGYy>QOz|m3=LTFP-_RYC?F)w*W87G%@SsEUybL(@96WYlU)<!7ggfEA zxEc1BklGH9%}o}SyanPQyz4myIJG7Yj|J9%WDIAwm|$Gqx&$1Lw#P^=Atu9Pc{*XR zh;=GPfhgd-pj>;h3<ql(<5_Cv$`C9lC%I9Dj3V%o6=@tZM<Gb-MIuO*^W&GLKnWC& zA9iG?P@Ens$M#`19;0WVK$I~mo~RIdso+BVI*!3D;A<PRjh^xGziRDo)A;O!5{mrr z$dAC3VKKC_I%xSwS4zZG&W~SLzUg4`&`bsKhe0;HyX`0ot0Y+#*;Q|f!s<<yt?X9D z8sJ=n^QvF|91Kv-D8x9_-Wz@#{CN0T@N?m{(=EX`tOVm^!86W$c<pK$&yNJGD0Q&` zvfy*zsp}ee?ff8W5|44%B-F)@NnLsH)U^a&yPC$Mt}gJ@H4>h>3gD@0IlOi?jmP*0 zbt2#vjEx@kgtDfuKAiNx=YRVygnL0=53I*SeJ0JAl{;b5v_aEn7tKn_W49AY%7oeZ z({dcq?4lezkN}Oz5ht9%9eyw-API&oelxnBY0XHo>fwo$-)feM-F80q4E9=9>m<uR z7(4Jhx1gx25+;QR$DJ%ZuwXD=W<cEcJpRzQR?ER@)*!#t)1T3|eb@H*zI3NS3C-13 zKM7Vws>g$8fceu4=M;73`um<(JMVs0<*cR;Jg8BRNaRI;2@}Q)8``&j4c6te;cE2A z>IZvB^}QZT&Fq0j2vnKRm4Z*1Ld3J2Hz^RKb9W>`gN(~eSC9URx^{8Dgb++mZDCKT z-1j5KX+N^Ur>94ZKBFF7#o{DzKeytTs@*{l{p-XpjkwOc%(~vQ9H-s)dtQZa-r<Fm zq@3J|bEZtlpFVkpA$0b{iFgmi9g1C&+-x>pR+*AJ+bud-QjkAAS8K$uU?feNF>P8d zj&%}VHA%`YDw>r)aZXXFmdSX%E0^`Ep=*wKYzIuIluHrRphgui3Gj@Ekw>}kc&aTC z(iWa`uv5k3*dLznLCCc83egX!byE}%rn=`weGn$*L`F06FmW7*!Z!kq+kSNgXSrw( zbn81C8MSr^G?^V1Zy=P6)Q#Z^y9~5D-@Ofuz;iO@$9fPsZPB_x8tbAA!%sq-4&!yw zt&g`lagebdm{bS!T?<;L0cq@pKHiRMr^!VSxb?+BAL~JVJXdu2ZUwE=fHabk=yxuG zCT~HQTVGZ)r+(Cj8;^j#&7hfv^D4U6Q23{{67VGHw+ZTan@}j)Vztxp{s;m36q`}G zdbO*6UiCCWUD+5z5l`!8Lfx;P1nsUz9_kSX8S8<r6R1Z$(7G>!G!{VLt0ctfyoj#u zVZ`G(R5FB(AqT1SQ9tu^>+6rqT0^Ft)~9)mZut-KyozNBI^8Oc<i~i&fo&Wt1G%89 z$vX}_PHdBd@Zry^I5Rlu6$d;h;28H^o>iU0_&DB3s*v+{^8Exy3SK10c|F7P1*Z#M zEx1JR2Ep3|HwxY*$a8{vb_zZ$SSI+a;A?{a7F5rmkgg{hhUqf|uNJ&Pa3vAr8LeK# zbwqT`jS_y3@V^)SA>sE3|B~?U3a@PSNM9*@Bu)hCYbe-~h<uby9?y(Ai@cX$e+lP0 z1U{R(NaW*%&lSFq2t7q2zgFbSg;%zB<hw!QZ4$Y%xkJ8N;y)(%7YYBX@NW{K|L-FI zm&p0rIqUPKAWvYHvlbC@I;P`UMC7<iWdA1m1=|RA5bPw_U9gv6f5Cx*G$>Hdg@QSP zd4e+pXA3SATr9X!koE%V*&w({@OOgu3#xvHcn=Bxl;E?19RH~2O~HQ%ejs>M@VMZY zf?o^9u%94(T|t`R$hQ)tsf~O$L0Zko4-_0HI9_m);1od``50gId!T-HwMyjr*_AT= zB7D2Z^)st-;a?W~o8UWwH1<)yel|r@A9=MoH;^Vh^34QK^$bdlOSpdP{(@#w>2-hN z{DJzy`&GQiX@Frk=eoppf*l2u1vzJ9cu&Dp!8AeL??wurDL770jc-VwC46{4ED-rT z!3BcFf=dOL3$74cDY!;(li*gtdjz)$ZWr7oxLa_K;C{j91j_|q7JOasEy06=?+YFk zJT6!z_>~|pJZwj=pihuyXv&)iaw#o&zhE1|c7h!RIZt4`6u~sX@P0p3<Rb+$1;fvW zJdqa&HWrL$`Rh7%E9MuxM|8drpr2VKpI7}}O(`ioy43WU)ktSt40&c%xa-%xcaHQr zGrkUB;_CqC@#_FF>gxdJQPW(#qkYKN0Ze;`>+1l%z}EpD$F~m(_uwrcICV`Xf!@OF zwAm8}gA?U&hkbI?`C>-ChakL(b0fhMF^31w6Q%mFH{xyxt=}?a<mLSJMvWmjU--tR zJh|Y4ulA%mQ5I2!>075_dLMTnAHs+U__1c0?IDWvQf-vUlS+2pXaA=&eShHZuzT_A zhxZL4t9ISzrKZ*rvLhI3j*eE(dqz<gr!~=P&wX+{d19W%kF)1K`#9+T$y+U<&ndX` z4xf8q^Bz7_hzUN6Agdh4aZK(PstLXt6pV$j5~P5~6VC_;oR_Li>q)e97+(nqUgZ%I zTSB5YJW|{Tc+epU9Jo%}wEh7VK8nD+Pu`oJw-<VEc+y?qu}%62BJ(=+4)cta4zIO< zR8Ch2+J-lRgw+6@Mr`NMeTl3M<mr2XYViH`9p*1bH0&_X%ClDTG=pTR3K>P%3vU@S z%@PvNC}y@<LgEF73GOi80s<Z)HjZ|>?^efOgueH4d0oNT4ips%*oM&(F557sr~%us zv(Ni*wPXAD+u_}R@(%BvJl^lQWle|`G-%E|*~S|*e1;@B-JNJcE`vtszBJXm0r6ki zq~?@wZ#c&}xU;)zgOhf%3B18@M!##&M4z-kp2vOb#4fe2^DI-BjPLP&0ou@Qa6Kap zwm&6%t$U2sBX55kFLj*c7;>)bEVFO~IxG!rEr~;zhieVz&AiNDYN|$ZA8;hRP6JYX zGi?hAaXM@wcug~i_wIa7sGTNx?Q!S367yl+|1n?Y$9fQHgVbq28Y>XsCm~LUO#|=N zmj;7j9AwlN4X^br1+CM7G`1mt@wC%n#SpmljdbbboI~qd3tFcEY3xD3r7nRcS0T); zFAMruKb8+o9?*9uXr@Vqr)!M4&vLB<JW2ZB3+i|!xNl`!X{X~o2m!7=g<2kmOwZR0 zP^;zzUDFI6ig+DKh+}=}{sQl=N1m%)8X!!!3&hMf;O0Re?PS{Nyol%`0T%~*SsH|m zA<_DHe3++OA18Td2U3NW-6amG(+^><WxayVtM-UF8W8AKas)qy{Wcz1v3(rJ77MzX z*l*d+JO&&?!tA%sr7qln%fTCtQ*zqecrI`sZMF*$k6jevwG*{B4UZ9RpzKQw?<%Nl zY~cF}KTvRlV5VTUV4mO%LEi9C-%`Pw1bLoOe!JjC!5xCTi5S@S2<|0ffMCC7x^m%P z5&j+F-xprl&=9{C8jb0=z>w)UW|F6)e)a^SY9Anv5qZC08^LfJWKWT+TSmms5Pp#0 zXu+|9mkDMIs&+!U0^wCVfnO~Aa={gXs(ld7afju5K(JI$*}NftRCv`6;6D<cx06iA zPDJzxY8y<v@Tz?f?iXI$V8U%LX%en&E?gr@y<-F~5xiWGx6cfpCRijmPjImyci&*V za2urR$G+h4K}}RVef*9I&-2WKgUC4%^{A<R{&d-0+aFkG{7p8SZpk%YXWTOenc(u9 zqQF^a%>A{OZ7;Sxx0vTetiH)--r`*~uF5;aT5TNtta#l=OJI(8y~^i*y(%_&bNa5b z74}wpbNaS2yg%M%PsYn-3AQI;ddn#pyUH%GbM5;Oqp{t|zBPS+nU&DZE&-ovw*c-h z^Cm>%n~+9jyvNttICo)r(#{vFjFlJVy_B~$Z*#`(vWSGu&@$L=W#5KW58JEkhmk5W zVJxIO>;rab#{ROXgxkRlg~r>#`4VDVW8H9N8_(GC6}G3+XFRr_I!EPgskEZ0?OA(^ zy*XoBS&p4)Z>jXe-h@;+_QeRf(SFmmD!)E&BxutfWiLTp?zEq=cOcL8_}x`@gT2Gf z%wL;do^Mr}F(VLfkNuo|dy5g!cffwb&Vi(@Jq22<n5{_toV~*Kc6}5nJKN{k4?)jc z_G0{Qu>D;YLmG>HZM<DQ7u<Is+qTyG4ttuI+<!WvS2^1I3Qx)1h?8vl?M2P+M*FU? z_t<9Zh{~^1?oam~vLdsQ+GDpVpS**ymmzK!@XONU?M8nyyWXFkZ8uVS)+#M=_S$sD z+g<iW6CKy=%Gk!6Uo>I-j}Ca(MXdK`)ys;MeW#n+58}I(li8nD|L~fNfhGqSE`Sbq z*w5w`#x;GkgTauc&KOVBba2-B4AFZ|F92iBrex$qM)gLGC-@?iz0()f96>>wRum(8 zqxum{Uk<_NeNm-zK9cG4@|=t4%Y2-TrX#)gfQd5igxutbj^R_T{U)Css7-IozPljj z<-*5v-Aum-<P~I}!H?OGp*ND{$YS<qI*Qbs#2my7YT<OoQfZRWGv*Wt`4ZR|KDjXm zQzOeA!<Tj(b~V{<fzX{`VTohJAH_Dt<+au9OU;$PYA@>=*v8a=Rn3MkY+=~UcwGrN z4q~u+5n3s7ToItyA=wQ$<1~$Ne5s7-K_V>Rcum8)TY{rKbUW&HDtI(Oy_JToUxJEw z{R%ktSuAEHh$8kl<$`6)WZ9O$W4~rzrT4?P#h#dv^%oe8;?Kp6O``_&=lLRobZmew z305ZaKGf+!Rj-l3;$%XZa?FpN4#go1SLB5RH8a4bEmXAx9@|I{<u=l!sS_5opuRCk z5O8c;u4C1~?lrB9#EN}WcncNASUj+fV;i}hn^*6PIXmo~!CQY!lPhXXH=*8#RhF@- zR*~O|^IL^}d>64LA=aOgW<{r3(MeXGZ>5#L(Q2I9r;`=$x90k-S-$;NzTaw`)cNAJ zR`f<IdZm@?t8Yd7`9B-KlPMYuQRB(hW5(oR)?JH|tk;eG*WJ+v<Vuha<7<owze-4e zVH)p$<WC=)UC`NZ5SEQ^-pM#vy-73XVEve5Df^aHm?zuZsxgH9G}cvym;eh0P0z`l z_f0D+F(^CXSTFe<mQtSf>PgpJ?TlVS*~WG9^W3j??~k5{bXvAK>6~Z0We(q*LK9$M zR`;lEvK0QfoE}CKAY(jjR|t>CFuJzzKKN6`bFLqu`NrwYkY11E>7cc4kOtS?Omzt~ z*&AVMUc*RmLvf+ZLLhBMxCK%AST5&c1wz~dDCic=0JXj>7tP>ND9}qf&=tmuush#v zxVb+n`7%G&gUEYvS8}5bZl?T@X{W<DdvojCfY}bO`K$+TnYF$ppmiFMMt|sIJneMY zH4wP<ZFA}4q(tjm16rq{j!fvA?-FQoCBoeL_HZ@^8Ov7(UhCTgnrV{Z>0W@%?E$R> zJW2Zd1$DdyP(Bkf?R30d5a3W1YWWewtIjsJ9}!&H812w#Jk7OZ`RMk+yX&#f)h-|d z^`Nar_hpbq7WC2Hp`Ff)=<2>k6&izUBxp0L56^t#;F+geANQ3`fJ{5BPxBhx@*l!B z2NSZ(=~i(>KZY%iZNm0&99ul-YGR9Pi0Et+j_m<kTyV}1w8ZJ58gbF3apNU+CfM0{ zY-w+!E~2u-!Jcq7d7K;^qbTnxsO)gy`wDLhju9Lum@8NyI9qVB;Bvv=2(A_6k^|<q zRq$THJ%UdVF_=9i_$(0}iv5x4l>H6-`@&a9_%Y%CPk0X+j`5Y<3GwR*-$GEWWrMuC z@M%Qw8Nw?&6L__j2YQr!2|OLk$KFKb_(J4yCi1?4sOIZH)xN-Fk@pbfdChp~f~uXt z4-<ZrplWZ(IR-O6$4;WMdjjVQKVOiy!VJGz@K!-(|Ac(A@OKGv%whc9f@&=*czqmR z68UR_e;0gL@Lz&Q1l774q}OW)&t`jqZ!gI4f_#dgvKN3KApCH_(SjEXUM83;sO@}e z84l84CGujyrGmVXXMVQ{mI!VU<efdk9}+ARd_qv2PZ-zr@kKRNe0}^Yg^wp<z|qG) zFvnCoM4#Fom~vin&zi2`O5;Vc8hhZ<?L%7{YhVGq!?S9=FS*Jy#9U<@y|K8V?QQL` zjl@#e*h;HB#ido=y{|2=dt&K{x+iY<@+H{thT0Cd{0ms%Vs@8ZZa)KyTYpNwsC!~X z6>M<P`^(1Kk10DG?QaOFs(a%4ulAP>w+}+v(%uh?p|`7f0ql96rw^Fxjl+){MoHtN zR#aL!%5rJz(sN4Jr$2SrG<TPEw6~RY!`{(m>nMD~?~!!RAuBe?zO%GL`JPInZf(XN z(x?=9e6=g%p0aqm8Ej>bq%%e{71mINZ9~ah*^IkN@eNCNm%Y$rTL$cY7E>8-z5tuq zBk6aRzJI{8&RcA4NX*hZK{v9ue|VZUGsjBSO`W+R<}-Y!jYfeV#%9)+9ZGCw94xF! zCdZCyY-T)_=uTlX<4EDMnQ;Ji+01yptKse2Y-T*^T{g2MWbCq;%_7?kQ5+N6(=67{ z!)B%itFTRyIT?h_jL^m*3GEa)nxpS3hg=J|Q;{P%_E8m*K@f1;K*4-QV2nr@?IK&7 zCBfLd76cq871$(~;1nx#$7D>&5Ilw;!Mt)Pr;CbrwXb0Tol<4)1NkC6%xV7w9CApG z<9=1vOkEJBL!ffh)e0UZAvi5?A?vyUUR41mG163zjf}MtB!D2`n2;u~V0p#{%X49{ zJQuQ974UdloJFHLkXmcFB~7cXF1&@x5<lu1PIQ{ep`2efop$M<JwFdi+IQGOmzK1; zsn$%quk5#G`0?Ak>y@2oLBs#-hpp&sR&<e-{i-$9Z#7D?9y10Hv+iCr&U($*xcUx~ zuadOlQ#*A!EvuL+s$&&%zo8tkD!JcH{`p(Q)EmcvMP$KscGbLK{Qa$B+(7VW^Bpyw z$<^A$I3YiMyV%cQN8F#5UCce=dS&QM2f7AgwZ&9T>|&Xihi4%nFH)G=2kacf!}PuA zshM4D4vZQ9aur0Ayt2wIAt*d|#7#mPbTVJuf(P>Dy_!w~(zqOj@{<s!!+3A!)^{8i zdER$WAE*3m7wTOCuhW1uu7N(r(@uwl+r_*Mg8F#hs`Z82#cqZ^?q;Q(CRak>E?+$K zu{<na9eAy86KJMMhNpWOH&HdQi!Fh&nNAY*OC9v#cCllKR};G!-<9s^(x>~?KFHkl zXyIxXkb!#C1C2u;aCt~XJA`(+U5GgBL5IfR%;Qvcv1IhqgkY+WW9kxz$bYem)mOGG zwmHX)P`g;zj=0J$_TSwPcMQrwJJUG9T)_gt*@BA&mka(zaIN4kb}_Ug#+}o(i%nuX zBK;J>xq{j*rrICj*NOZVL2Vz~D*Qcye-PX$$opgJ*LKMlgy)?T<?jmemW6zU;3tA# z2rBy|!oBFbjHlPf>341$h&<em#(QnX=Moj-d4d-R>h*C0h0hcmC&)L-m~N6FZ`R4H zbppU^gy$*-%5M<-wcr}Tb%Nn`G_HJLI*#+iKMMXykk$aopBH>Z@C`v+A`EUB$?F*7 z(GfXj6DtM3(axrJ_dK<oty^-pE;Y5Yt@#E!+w$#;ZHw#fR^90H+!DFEX%+U&gr)7) z;t`+y=jw*G+3K&KeSLMKW>57SVn1KiVx^I=_b@)nblVO)uFA7_!n}?1M!<SD;>3y* zkHdnMV>{gSU+pfdr)+7dN^)f>*5VzmW$w;cU1b%&UY3XzYhLTh(h(<?A7@C6`MdPz zV53X1_m!C&Z*S`DVqWmVfwz&Lx1`qL>uY&7Hacn<>E%Q0DWwgn%uA=2KALVJ#E6`6 zsFr8%;V6T4xZPMq7kSuI%S_&xUhAmo`Jl9Y`NSQFKV)j@l+xSM?~ynR|Dd#ac{6)P zX?=uV$~t_t2jxH8E-0M<%bRKc4(s1KVvQd+9Pq#fN12oEy#o>JBG-Eh>t*?5)mlCK zfs^fTm*F5+yJn3u43FMR^mcR^Z1UL}GHf6^lbk1NFgb68GW%Z5KBd;IVM|a>0I+N5 zAVv${HIxqr5G9RZ`m$9NwPwxode2hnyzKuGT-#<jyM}7}8*PVXAN=4ktojAZbIW%Z z1JXEUITzl?ciPOp7lB+w_8I(`=?vXLwkbpVF<m)WH7EHt``Zabis_6W$HK-rT1*eB zP)pcK$@2Vh*#Ch2cKh4+*rc*gth1$L+t_+$eKRfcw)EKl;+i$Kuw^XViwXr@6OgiK zt+ygCCZsEJ%zD6m4oP@jkrxvV!h5k5pudFFA$ZG}y{LraCbKb(iy;a)PLpM68$<E2 zuAzZ25URC}(F6(3bO$GcfiaGt#$~L03+BU_gL140i_Se1S?+Rp7~>8CSUiwb03b=u zD|<SmR^{G%k-8F9<k1AG!+JErMtIAZv#5lG(=_T$&+TBbgl!;~u#tWa9?eQP2(N>5 zW?}X_r`*XU%;9Btv=vi1<sQXy`&3~^vE0;w2wGLR(N62CF1$LU9b4S(-27;Sd5rfE zT+^)x|F=62p0p8Sa6!3jL|B9ONS}bsu6C-0HESWO-)j52TKTD1;AZ6~S&au<YOx!C zn+=9R&u?AoOSL);wnh!M8h>IvZWIl(wk|5N-Z1v(-_ggn$f}=;rERUNHR3t;IT)e1 zk)X49?eq<Fr&=e+cKB&5Z993(z#6V^tC<Ba@aV9|>2DBtMj7xp$h!9iY~wnsc~Vzv zze_`;)3@K9@jC=INA)@Wao$Xq;y~b6+anSnVLVGh!s9WFt}Q&wDyNLs5plxvbq~Cp zuhaE~*Sg6UgQ?DTcLBoOwwSH3iDV%zXMDU3pgxw1>muCx4xxfuAk$8VO@Kht4Er@I z&V8=5(_xoF;Lg`u4`oC==F9wazSBYLG$4)pQ7AtNaXM@&c(=Z#4T83|XoP8fi$Uu& zRPikI#gP!F!xn;f>s#Z}$MZ$&TMb&Lp^Cpj-&mJGle9Ou^=*Yd)|2&xxhkNq1T@nm z!_#%fJsI!qv?EFH%pijC{sv_;btH+ycst>72nu!3P!xjq_}XdJPeGt*hAl_Do;nhc z^`(0P-dzv(T0c}NP!Al=Kwk!F>_s9@P_)x|5nbKG;5Qv=V!K<4e)=n|45;<FM2d9F ze+b(hk5$m=R&gXh#+o*^Yp}nv#e=RUYue%woo&J~Bh+>mx;vmU-C@ClvJ0SZvCq@a z#{7v51)CCG>)Fl`IoC=so|;qwGlU;1I7V=sV6I?+;B3Lgg3ASY9#QXF!QTpQ6}(q) zk0ARi?HBCd#4~+8+qqJY&VoEgS+7*Veu4u8hYOAt<lPnHUnZC%m?t<(aIWAY!D|J1 z7tQpl{ei0efty9XL-3D+>Np^Lukhu991E!DZ-Va#ekgcY@Py!h1S8RJnJ!vTtt$lI zP<XYz5WHXbwu1b~1=DpARO=4G^B$h^!Gfa%FBBXvm?fAm_)YJ(>UD3+CEjlYR||&Q z?PxS${tpU1D)_iyxZUn$k-skZj^KNOhXgAGX@#JE4+dEx-+dx-O)F8I-%-w(LY|Ju zk&Sp%kmE*m>+ASZIzH=j>i2ECb?aVJyI&#BZ@BRHZKKS}uX`@FCmdQ=>#L8=`Nq-Y z#cv%krXH{OaB5{m+=&fG%=rV$j#f;bf4t(U`I%+-Bv|5xBc5o6?T35-<a_7uFY_e6 zb;Jrt)8^O0yQ0S{4kE-#Y-=;N_o#{0c1J6+AoC{T&D#iH8>5qPw50f9td2`Azx9aG zY*)pH%^3HUBW5$^+85gYG5^*ho^uA4MI`Dxvmt$a{wqhUbMQuPK$;HeyU-mKkW2*U zON?z}_Q6}Z5mT8%FXYfma_Gez`pw^3X&J{W-a{=TZR9jq=Q0T)9)#>;PJ@w?ss(G% zf4;Z!>*V7V@1tbiOWYwj^PwM-MEjK^5tmRC^T<Ga6PiAdJR()gQd2HMn8#mG(nzF< z3WV4QVY&VZ^m^TSOh(*9+neI)6H)1pSaTruaIF?i@aFEw@+=!W$gu?Tne&ralgQi$ z%pY1-*Y07PZSekX>bCUQL*Cf!6;q;n+eh)+Nv%z+Th6`bkWwANab*lYT9Fpr;$<^s zTY7K11;cT44yvPb6f`yWjm8lMRi~-0X?8Sf>fK&}wf3*nY09+k9$0e3h;GSmEkzX$ zpx)0u9B$u@cYA3=bmk~tlh-GcM*n@PkB0tWuew%{;1yrmNbwqO7jlk`6noXFvDdMY zT8@qMa)x`O)L`w4=)^?6C=PMK_j*5Zq9i&BC#opkKU3&)jH6Q^3frrWlS-2-dhvdR zQ*guN0}01CI*`{$KfJFUe#}9<6i<M!#WC9)%usW5t*;3~n93Z(3AACV&Fi8u7Z5T= zz09kmH$oVj#*op}*3$xe7LzLWYO<WPIP4u@VHjn^|JlpDCvSBZc(<1u-MRL?i>+qg zJ2g1UyS;2+yw6JmS-{l?1sfirnIgwu16b*h`_V2O#qkLmZrscCuCLJ`j&V?hjv_FI zg$>M0f>B2?3LVua!efWI5!Amy=#POFLSF(Mm&2cJe%iPFr)lj!9o~L{@tL>%f(85u zP|jPsK|V-1AxPGt9bfGI-s#h{qMuS(`bwcnc-7taOLIBhBmB?@4qc$RbXR5%<U~VF zDzL|)?yQufBPz$<%AN@WKa)KNAAv86!Rk$tsbMH*LViIKB*8g1LLLY>9X`242ZtN6 zc_L6&T{zECXocem>^MC>R>$*!)X!t(sk3zus#60i_Oq~J$0c<*yM5QrQ`Gy|+pIji zq0M);^C2kW($hSjn6X>q`yN*hyUofO{PflN__MM<u^jvMV@4`p&o092+1vj7B+30C zU&Wi+of52qRBQJl=W95)(F}QA+p%eD8!UG>atzzSjSo-X#QoDSUkA$1)uZ3fa(xP$ zy>r;rQr+MViKo3l^^CS@`}GM9<T;;Sr^V8!>mrv$T<=|O-Qc+q=f?N2XS180@t?f; zNxi4Nz!|93QPZP!IyiNllob0DBF?2QfhKz+9OlM=TZ(JXKm>AH%v)UQ<207G5uFC4 z5rcqI65@1N7I;lF=+B7v2q&@Pbl7<C?tGtXQ2ic?GZ0Uwp$hKB=O-afhlQ_4T>zsS zr_rnjr{uaGOF-*1AdPj<$9UT5FkTzo<y+y>7mF~hZw+XjhC1$nKEC&-ohCV<b?e&z zeQZ~juMWJ{w+S@UB*W9yM&a+%O2Ct(zh6+tdnl%QoAxfmL-Q8`lxv5=S7+1Sk5E@O zMh@boNE!mmN4F2&U5~A<cEKSG)PuH9*I_r-LEkHoX{Yldy1K8CjD$6@X=kCICTL|q zt<NP=q+9+&*tB6vcRAfEj_Aj*X>)2A7bpQ+Jm_j-)5hUaZN+gt#HQ_B|3cr>=1>i% z>|W@s?DsXXYj>1*T?Kmy_7xl`$oVeyWeR2s<_YrLXZS+FrGhsJt`fXmaHF8It0LWQ z@)*SS2<|1K^FBjF_{+k-A^dy7e<b{;f*y1t#;+~diU>W*UJ7|<;gy{fe46kX5?{Zc z4Rs;*Le-uqACD)?=@)Dx*g>$9V0S^)o`~O9_zc0Jf+GcKsG#0Sf>Q;j3tlOBwcw3{ zHw&&6TrYU1;N5}`2$l-I&H5t0e+b5603aVH*hH{}U>m`9f;5FNeyU(U!2yD!1;+|r zCYUXlCs-gzTMG3p61-mUCP5lk7+xayJHh(}RlolhdvB%GJD!Mvp^5NnLY|u)*kJLL z-^A#dl2TK9uezAI?7dBK1;PG`1Mn^PTFbGYp>cF^@yd_VjcfBB&r7jCZ9Jt3Y_{Dq z4*z53S55jJes4}!dux+OwMSxHRL0?V=NKJ&m9O2=JMXHz6M0*kW*q+KoSY_zJ?Bq( z4#xG^3jW<W=J|=`z4Bh;+t^jUgJ0zJ&UfVThhse%hd-WE|8N~|#^H)tIZe`$`l|dB z`61f!9c_Nm<~jd$eDS?j94wqx%kq&`-sYFIY+&a!@nBUabKkO~%MMDNROb1y<sQ3l z-smcCOdFKupp-EVU(5L9Ksxp_Y;V8R`0LOA^Yt@Tm~nh`^m@GHd3O1S1?-17Cb%l8 zuce#)AlRt{p+7J}UeCCuF988CLY_y?6UBkbQePU<`wY%PfTf;m=zRuxQlh^g{*^`i z^+l-1D!!-+a6!}LKN#kG`yJV&P`}T+O$ogmlflu<8POcHY&jSa!OW(a`4B~VS?(y) zhhLNPGxRp~3)aWyCFY=KA@jrg&LOK-SuQ8L6+h+>hH_l2rM|5(tPJFv@X;Jw%;8+~ z8I5GM@~3x(4U>8CVGdY)HeInS9N4bH-sP|<!qN@|J^$@5ajZ?pkXg-MgDw*!cN-M* zWZ=^qyh`)a8$`AmXg|n+<M|5{B!Sa0d_BWgLW0i=)z>yi^oK{JhXMN>lE5}pU)vyY z&|&74)JG=F2=O5bI47S`1jfM5gu#fT0<l1FAi?5Af)nwQV8qexh%8vNv5~z(IUUU{ z(_xrMs;@G`sKiP<3NJ<_lKbJY{FCqkyk*QXOGq4448EG7Rl63QvLduGVVxv_E#zL` z$>3Hhcr2lvA~Oj#JYsqQ%CWJN;86gA1p8DNe%KBJ;a%%Ft20mO6C#idZLFPoRB3zw z_KaR*sV^#%&=xhdPt{4XW+hp5k~$|Rw4P(lO|@eD)}&PHtR!nrQlErYtuD9#7Afm2 zzcnYddy7t;&N};oAw#UIQbPjpspdZCwZ5?Pg`MxUVv?-E8$A|w4}?vodkR)ly03RX z-+cjtZ!(7%rDk^TiY7o)oYroD-)58w9_%?<y($AuVT#b7?#Q1qJvYa%Ojm_tW=%Sy z-iYQL*u9?8ug_Q@Pw11@Q{D{WcUeoxk1H4D&MQg^>7{490gCekj&G6DUMYeaoOzFP zkIZ;#iUJIe$1u9K@EmYY6)zca!t-?xyn0OUh<I*0!FbG%slPEi<Is~I0I$mcQmwTr zbO|)s4`FV7InXx}fz-z~(E7%J)@eW*d(aSTNQl#6x!^U;ppmHfYc7E%vk~Ubm+wvE z+NJWPT<2Q^TBiYNw8edepM*FaRtP>sUw!BPkM)Rw*ZQspt<!)s20$OP)=r0A3xQkT zK9@e+iU#!Y9$BZMj*Fm=>vpu$<gF06^}P&z`naLV1N!a)%{1%}blY$c)@dc+Nz!i@ z)baX5*-Xf^)A9ZU0S-Z-mj4m)s<Tr)j|i@8jH8I>?$c~Px~JjY^?1wGF7XJ{?OYEu z@(s8)NW{6Eb~-PjKBpM=k=*C2IU0ECi-TvLZhh_0Xk8)GPV3XWMz{Qjuv4)tL8n{A zkywFIL-RbsL1%@u9P7iL8FZGxo)vVK!JZLx7FIqs02?d=TRiA2_2m&akAvfKv}18f zs2%kr!n2Jy)&%WV!AqoLxSB8_J2!h`{)B>@{JGO+<b=*l3@#RlMphgze$0IbmE8qR zb|v!#(zZ-oA-Gy_z2IiSdj$U=xJz)a;7fw+ue4+QUGQBZI^stX{)zCP3vZ$w7|!-! zIpT!>m0%l@Cke0K+eLh?gJn8CM<7lWgj$t9dl}2YYdVp~jp!F_BiKQ(lOXS67%x>Y zLvWDbNWo0OO9i!kD^K_W!8w9g2`(2@?Tvg?djoG1`9{Gz1$m#$^88US#C{0*QzGX* zHRFZ1e}%|ZyCa-;){Iw2P_Mt_8<mu+_6D{SUaf5c-&Ocj!8E}^g2M#E*Ijaz6ZKvp z$a{D4iv*Vm-Y9sB;H`qZxo7-)1-A?C66D=J!?`wrsLrRT;PFQFm0b4+-3}ZDs<)Fl z8xNk+PS&;NcCxR0EJf(LNZ80?kM5dK?zLkpzwVJ)<vTyK%3E+&e8h=sk69;{9lOA` z4kz}DI%-DwQZC$i<qpJHz!=tv>yKH7+xBA|m~Jj$tY+oag+g{ULzzOQ45ad?RPV#; z^_)=;>-rj(n-?U1;klxteMi%Xqh`c{9cS+Vf2o<;p?|IN2z&b%9-|w=?`Yb*$vrJR zsh=L$`DJBgz2c+zChR2p?GII-P*wDr>djmlZ|f*Q=nrgL%5H7?b|b(VgCCFH4^Ryh zo~RQHSj>YtLY-RPh?gl*-FxITCh$gO69S)zoeeH%+fwJPH%cwvHGO|kF})wFbl&My z>+?<oL{PcqYz-K30|c;h@zmC~ts;oTw)Gsubf)iZ{swJZ91_gI6Y)C<zSefK%C@Dy z8mlZ@zkxXB7{i7$Dl4KsB0Gj=FWUAH^53>q;Vom#oLp<?aF*0^u`tKf;L8~5)Z!+4 zC^8!brYnxB0f(y@E{h{M!mV*g!d7@#rLHL<agW0+DA^B!I>M|{k1NqA0%JJeh7HCI zF-$Q#t{5WH1QTV<HqzRpC6<s$gclQ%Q`F=VNCJ+d0YB5)<?IrYL*cQOZ#iIvgkXIW z$(1VPLc#`kya;Tn-TCfTOp#ea;vR?bxN0>XBIR`HP#Bgg1s?nFIbpKftzuXV$FaO_ z=jLtn7thbcbo3oI)#K8pI;Jum`K{@Gt6^&Ab9-A?CAG8WjqBXGW2a=;h(IT`CVfuV zbCS>1603RYunw)y>)fIBS*;hrTy%joGzm-UO*3dgp$Vg3ukrncjTtn?`JFH(w`fkG zNcxW%Ibmr2#PMTh%$YSQcRV(DGeT@G!Szj0r1qP0_T5t%gv1PH86rNV*+n%};pp7i za|()rc`Fl(`Z?Jmqbx7M^+NxfPr$m(Le5gKpw$C=3u!Zw^VN>P8@^}KVx?G|rfz!? z@4vL;S=+Bp+*0p#)-n$t=zJGDQJ9VB=dfvBbF>F9cD#7daUgU%k1z>9#?$w$;qe$o z*B0Iff2w#L5hpxf_lT;;-F^}e;5Z~o)0sh{$v&WQyAg14nCB!TkoSm~eg^L4M}XF8 z7&#3U+(JT}4$B6wX$HNAczka`J562&fji%{x`ui;nEA3DSPx<$yiNns=!F1332{2C z0K8k@UR=uYuj;|4Gg{xZpmiFM#w7?~JneMYA_(02%3b<m5vKLgrlZqP$5aFib_q0j z3&Py`4niO6$NF+=sr79J%{0mIbh~g6s<ZuULzp|>P~0QTR4JSvhSBpr3w^54k>Uw1 z;+?M<Amh+I4X<hPRfyM-ggBOu?n!ueJw9@^O9O=Idej4rd;_ixD*I}H3+BZ<^|`{} zJ!o9^Kicd#*PuRRq4IR=`xy7uts&RWU3M)ay5;|U+Yir}ptCTCb302N@u0+#1qWJU zsk?j4vHe1CIxMsV+nDX)IC4Q(lQl~0Z)}t2;KOV^;hW|u0}yx3;~4jSY&yyYf#a1y zJ;0%Yoc@x(TyT;gJ1gZsRhtgqD58x<tyMxfI||=R5XBF<M@N@dJdYdi>HUIj1jB7M z-9_F@u%F-n!I6TQf~wu1cZ%@S1!oB^5G)qtEgZ|STJR1*-cnG$O>n#5LxPV8YTL|T zg%7vUd?50F2_6@$68u`wVtXOKSV3hg1Fvm2s-3|nO87Z~yvt-dWjh1*7oKZ3C|CUz zr=D(aWT)gDOBnu%U_24m9nNzo56<VDP2^5(GwIx=rfZA7$!3D}MdqaPC|XUrU4-wp z_CFTY8s6@AV(GDCO_E?WX)}p73~!IIJLl{`jG+iUo1urBfXX=B#M{H{%~;LK5jsBO zSX7&SC$2m8UK3CQsj**w>+spR87G#0O5K+>)u}ux6|E*KXf=s!2HVZDrtx2xm+tMC z++<feKkd|^f8BEb4#;A5rCYF_`0X`cm?Pu9Fo!g6+P}rF^bY+$J+PX#lR~TvGHE?| z1MexGWIq{$1`Zv{Ab|6Hz#qMz8%-(LevQ2h`?=A$f&JWQKk>}tfmQYsZ0+_MB`@$t z*-x-<+ft@*>?hdTZ7n#lpCBxiVcsa3<)E8aIm@T)C)nEU_YSA*Cp56g)@~8Sq(ki| z^AJ_+C;BR8`VLZ9o&AIt;#xZydb0iGw-CGRCtM#Hw4cyAiB#3vPkz{4+A#ZY8BB1- ze0Tc^r!QRkLu8|X<{1@mWMB`W@oc?A5;nklF(2_Oy90+B%P3k@LW1KBm}^R21VN2q z)|6+IXcU1l9BWE2E-xnmr_OCyQ;2@}D123OV4H!7C1l#c!+@cahQGVTal&Oy8LncF zXFYC~5Li=4u2CUl30s39TCMACN|vI#ikyjG<!C)|CO*v0ak^_&#}7x>_!+zerY03N z-^$EoDM=DbNvCr=Sy%ZTQ^~n_hwG}rj;RFnIb9Mubh<z!FqQPNM*6K}|A0PcDTB$( z)@NaX4ooJ_8(%^D#SdmOIi>A`HFsTRoV6w)=96HlLd1^6;k#Q+P&IDK_P;lo1kE?! zU@1|%shvsY5;a?Q+e>&#YsXW$f1UWH5!ZQ_S=W1(tAYJ{*i6p&jmpp-&EeS&KLwk~ z>nMkIdH_y`KpiIu;Jh@=CD3GVguCy7zsY9eTsU+txbMMuza_{9^2&lt>t^u35ii{( z(Byc8x$~vXBnyF!Am>Gx^&rlG*J(f+oe|(CAx?)~0p6`|6DG`@GgDtQyw<k_v`zz3 zeIJzZw9{eNK;YK5-KCHBKw6)3+0>~q??%9Atps9Ct_;(+7y4K~mah)H*0%{X(<H;w z?ZjMujaCAlB>nw@I^F=>BXFvwosPE)0?TDH*^PMT>qtPYdcTWi@NvY`y2-PAbo=1l z?Xn;Bz`v>o@8ESk>VZbS0Y{t3D*-N;FY`wi3ApcKGf4=f3hHx-6shY+=7+GEpqsj! zZWTv#CcW3iF+I#?61G{HGrM8UzN^jT9HgPmqlX~J81fl{Lj^g>{l#Wt7^kwC^k+L^ z92h95+70}L!e1^pQIO*R<IfRXAXqHO*+0WM?I-d!j<{LyUP0bdQvR^uqk>NgJ}t=m zKE~rSc;ZKb9}6B6{9Mq3lalcw1=YF(@b!dmA?OzjU*~cvn@FYP!#kB~Z6bV!5gTls z(k7D9qsIGd@jEJTA^U3;?)tTFetG#3WBxlwdQV-6y|lVl{4Tm2`)4IrL^ZpjW%&`S zS?3D>IW24pZ(4P!NSJC=##y^|uHEs{5#yZIN6cPxD_Zqhja{i`S6n-I^%3imqKc)L z#C0`OyH#8_wN*J{%||R~Z5#d45zo}Zil}JBYF1crceB+;yywiUSPU%@y=GM`ftE<o z5~Z~GyLyzCw_r1gh=a|<+q~RN#okWyTieh#75jC0SMTV!13N#>H_z{05j8aiHkDo2 zC#w92IkkI*G1ZT^s=8MsPCa(Os94!-cSTmSzf_pb9>+djg;;x1f><xXe!@m^o{W?7 znk{im&2O}!n2~&a1TQ}Q8IkdR)rShAl#$IF!G30yQ#A4-{(7U-%B{d^lbawsX|>5h zN8z(f;Pa}k;q~6>a5E7W!BtS$>*+TTMS6KEM49*C*Bk;luF>ijtnXb4hw|L;!`B)_ zb{K~;bCk2o({P6A^(7;z=~nm{S_;ikjL1sCK7u!=#xj)K=YhqWQ;O~5uy}Jyv11$- zZ%!$erG%bpttoAcn3&!%7N!xF+pyvlIj+1BB99n^Yjk8?#3vDzWLC51J?i{@ttq^q z)0#qL1A>la@wMZG4E7L0eRwQE@v5aF%^b#8LZZ9F#FmiYB?Kw%13c)Eg#Ga9ttt{P zILy3~uRt)@FpJALh$D@S>XNOaXdXcU#|SQq2?tkfox_|TkPui*NS3OQOu}AxSbNM8 z63-|GuR@V<N;TW#Diw!q<)ZP+iiL0KlkUidt4R1^YYcW|eYLC&h|!L$pO&>yJULZK zCD#2{G5B%w+{o%@vSP(~D;@Qz!Dc)!aK#D+|8u%@=yF~cYi^PilWI*$!Y(?UJ3-W; zi<JY$&-b6^B()aNRY~2ksO6+!t0mX441h_dL+eGYJ0Q)q)}SP{v3$_@@uQh!oK{d7 zhZtOfMF|myt^D9y{NLRu6SRf=Z|pL`O?Xr-1MfP`&n>#DF!zjp$Ek@vY301_TPJp@ zb)ARy|L?PAg~$1q_nM?_Ra=5?3y%wB;GQ)_!Zql49U&m?w)?opR6X)?P}XUvgU^ww zTT9UgVb0W%v9QLmj<deI5ok<_1NYM-K)dxV!?kq_WZLPlYzQ>Xu=cnK=elm~G<g{W z?tFLQ#&#tFnJ?Rc^&l3)>og#ZtqAaw5U0Zmz`OPJuNSln@H(gUT?<;Lp^AM7p#4BQ z9kvJpx4ul5KF%eyK2EW88tQl%0o<HXJ5AmKfm>e=^s#=dFPc1{Z!>77NrtEEf&=ZG za1PHf`fY+b9_=bKoha&8$NM8V90E3@a_y06b@rF15y~{$<scsWns%%&-IMU{dK9?Y z1yi~}Js=MBWst^7=zEofIGq=f(=_ec;XXYL!p0D2eLOzQ6ZHtVJTzMC02f+zmpG(O zKZN~-WeGa3Q$}4GRr_G*k718t8wJZmF6h3?8WxTrq4pT(V(!{#hXxsr-Hts52ZZN= z2giZOn%GdVDG`BZ6LCD*j~L!nu$N$8!GVIq1TPWH7R(czE4WZ_sUYvWsDG8<?SdNx zcL?q#Vo=*7xR;2*;V(p_FBkq5;olYfLgfDuo^8c+jRg}0y9uf_6dZIIANldzA*%KQ z@_3Q=3$_sqx5M-ld8%NB;2=RY|AwBi!gEZe-fThDZr}@qpCfpc;1a=Qg0~8)_Cvb6 zguhqt&w`H$J}0Q!4e?(S{%?Z+6g(tYDfp?NYEPuo_87H;3HZ8fM<Cy!BWimLZ&=A| zdkpVb$#c6DB5zrVTq8*gf4>Mrsakub+aIior;pDk!YjKCB60phJ?bH2_PhyLjvTr# zdQZPUSuI*|emf^8ckd31O}FHl+iiNruoR(o8?0lgyKH;0?cw(jSKs6_Z}F}ght((6 zYUAi<#p^y=VpzX@y~^i*y(%_&GrkYF!rp3cPJg)!FKD&dld-KV!S*CfZ#f0j1$M4| zA7V7NJK49U?=G_vy4fY*Q}IQ?67b#xXOEqD4?g{O?!xk<oiA1yD=*4>DQ|7w=8XMi z5eb{2Ww71Kz744!#=bodBUNO=SV(u+2kcUOt1v3zc5p+X@pf>&gxJ>R1=#W2Gq!w% z?Wyz`kL{<<QF&V`t!Qd{*4|=o&UhK$Xw0;?RC;1>Qr~O57$G;>Z{mB5U!OM;v}upB zmjLgypRso!&-M7-R(6BE!_LfKn_r%9Rhls)5WdHL&c40H2<SUtzhUP<($<~=Emq7{ z(9hW`Y;V^`k+QRWp8XK?yk#%O?*`l7Wih0&win@^3+_9RZCmSohdoWq-!{ZsPrb^~ z-dA`^?nazs?Ax=b`Q2#W74{z6Y>j=)Q|?dq9<m~{k=kRoDWAN9v6mrk7x2r{<LyRo znO*Ns&$b&WJ!_SgID2h6<Lxi|qKS@cc4chi?Jt@z{znJA>mt^Bv+89<$`>7*+7H5p zHJSZcbrY|d2{hlt&o(6p{lPm_d|wInE8eohew9tm6V(@-rQXuh`vdVEChH1@>ivN_ zF@-Neja|N|1_%n8vK;$Y)cK5W`o<G{-n*E<>&3W9j%Kb1o;X&XnE}w>bX*U)*&9EY z=E!y4`KBXZ;xqf~g1jTVkI$^kG@8|}Ap2YVn0*;~BUwH@G}9;Hm!evClO1pmNq8Zn z)z;-o`VxdO?HDqU8aY11aKW3yt|sdXgzf~3;xgioV&5`xkq)<T1vdkNNiKA+a&BGz zZ5sutK@A%P5uLr77xKCha2&*7i6XR8<hUY0u|qCE-IudrjB)&k1k-~=Sir@Cl8-ey z+HV;d2m_%S*%(bwU!lO)7=wy<eF``Zx>zSf5Jls4Sg>rFEZY(lGxINi{qSv#dEUtS z3yenb&UWSX=lLRobZmew305ZaKGca{-=L8%P_IlVQ;zwu)1f$o;flPFz&!Ci%1o+S z0*@~>4&_H2NmC~baY21!5INvlf#TXH9UQ(6iWU2&@apVlY$LaG^K(&>pTY7axuVu| zkD$k4Hj7QQiu_hxzctej3)xwOSbs`iD>~JRPO_%>RyuoH_er+m{nlJW^I?~3KR(mg z`I5G3-|LlDuCG2n(1?G0(=mFo6}{3L1X1J3))U6$Vb+#KN!FXj{_F1O19BzEhph%l z_*i3tvZ+nWo`;RCyBN-f){gzkc}Xc?*ix@uO)R*gpWgi@5JEGYW337i{WLbP{<hUt zfdds%?i%iLtyd@o-r@=x1KA+KSL9T~1+94}zquA_Uklqy$TdeZoTJqFjJCb;o<KXE z`zP%$bd%Y)PEW)*y>(E|AwBcWa6hSaP;ss?oA(rS>F^k?1Fk>fOmzt~$>%U?G9xjE z;zF5)K-!0J3lgxaI2S941b6^Oku5<skXIIDS~r77p+GOW1e)YU*q!e-+{|OK`bl=U z8DaX`V7}ppOgpWQvp2WC4Vdlln$LRhmYD6rxz`eSod%@QANm+iI~{fn1a5uXT>3aS z(fZba)@i6C6Z+=61e#olFt@%voQ*-o@^LDw^=$&pG|BLEFTlR`fK~#YB>nw@I^F^( zp9z_EI^He_aHtBk{0QPzXNTjA$CZuI4vogsTsxMJZXdk69{XJF0y0n!9L^BCSr+us zzM-AYi|FdUMim+(8N$Yp*N11maq!F&^$56j5GDk;(6YP4A$9s8>~Js_yPR$nNAzRZ z-Pk5<567{^gRUlaw}yz$Hs{zLw7Uhav@Wxo4AqE>F0Jer?Dw>*@z@fni`bM1d&1d7 zMB?O@@~(o)?gqZE@V4L>!Eu7Qf(3%J1s4l07yOOjT0!2lF~6;X_X_S2e1eF<>?y%# ziRe)5k4*QD@b3#>A^b7n|4(>jFG76vt`pL$bvxi&ph2mpGZFGr?Q@+e@oBGTd^(no zy@{yW8OY;Ip7#wzHD3p+_5~)3yoVsqYsO0#RP79YnDC<nReM9uF_`f=b`m+(66Xre z7v!xl<u?o7DyZ#sn}xqikYf(x?-nc*)W_i^;a?N{yWqQm{}MbR*o^H2eOyCBJX^56 zAjb>JQv|hLZh-LWwF`vvUZ3$U7Q9R_S1@02j^I^-#ez!(c_U9fw+WUAZV}|2J;NUo zEE9Y}P@PX0*Y)v5HC23l{40fzCt|?S$3HOFbhZNuuH*U!dtl0W$q1p#ie8j4)HY2N zK%9wN=pbhpX}m~QV-H-qeP~N#4J?3ncvh|VC0BWdn5&GVHx@Uvy{$dAkyr{FTWOW2 zxRg6zFRy!I>4~~0Zus&g*zkth4!8UZSm0vzmtAf@1B+XKO24RkVnr2faM8QV#@df5 zI~?tA2&t-j;`*<4mkqZMLfX>a4U3_-t9b$Jd7h^anCp$hk7GOX#z(EFv~rZ?($=Nt zl&(*I3g7(OU)IrnxvZOA8<w)D%CEaTlI}TV#U|NzmUd9z`m4?OLmHJLkFR!Ryj~V> zH-oM0k#xprrotMkux%)LE1PjwDZXLp{<0UEY|DV%&tfX$?H6D(dnEnN()SN|)_IGq z4T)J^v6?lqw|{t=HZ#XcruQAnW=C<%XC80F4`(w|9ZGCwoOD>uW<%B3%y=r%ox*0u zk-}v&;{fcknelu-rOk{dy~}2ngp6G_vsq+0PjXCXPqSD*51W}9tc-;m!vl_!L70vR zZ5)!&PLZQI`mS=wwSYSnIg(=^RfR43+NMHB5f~$qTO_qMOM<a^EeJSHDzJGp!70`) zyhH>Xy9#Vp1PSJqLpfbk>{fjZ3+R+8b05eT;Y}m+pMXOS$#LAT%9^PQ!gL5!j=EaG zqa*~U1ukS=H^8eZz$8YR>P_P!t;DtOH3C#Zn!JML85=Cmg~9S%$YNC}RbyE+s>41= zT5Gr^jpd=Ny6_e%3_t1`PIQ{ep`2efop#FFZM+ZmjNWdWQ^fDEWfCoEbyKaGYLywb zOu|~T`TmqElW9Z4|LlkPIXHY2F6&jtcm~VZU|Pn;!5p@6^&KQ%C27@9?bN9nyO^`d zkz*YTn2dg=b}`lLflAV%Rdc(T+7ar<vWsE!iJzNYjAwGSb}<g-r*9YgIc%x)ld_As zM_jKAz3D*LKy2iJsfk@I6Z7ya#N|Z_Q~Q9OV|bXp7d<tzi_L*C<6o|VXp&b}xg`XJ z=Z?5ZNP|x1%Xtv%LFB!fP6N`o9EI|e5U0a<Z|Bx`92a@scTgXv{94}<&^irB;~MB= zJneK?xLwTKAgGV`ty<q2&^iru+zfpKT>?$6M3}pL@zBTmv3zylwZ2WDnI;*Y?p54G z)x<8g6v}2gNz^ZO(C>oBAt+Qr{CtXdHL;5&qp&@7A|T60w-4T3j~1?W0U4-AJ<!NE z;Q0Ow?GW1Ob|K=h2OS!NGmlf*#gfra6N0Hij)6-YBLBrMR$tk&*ybEFLhWMVTPit| z9=4^rk4L{@zo-3&$Cg-AyBPZs<7WsC6&xeTNjSrE1q%dc3oaI1F8CY4wSvFc#n65j zcTU$XHi_+s^iu@q3TnHUYJY@ZC-PeawS8=>@b?J*L2#$w6N1_<`GWAgb7H>l3i6hP ze1+gAf?o(K`y|4>=(~)k)(HajdbS2454WT7UYqf|2%abSO?xtBO87Xz34)UZd9zM^ zYMlV^8sWK$f$|#!e=WF1kbC1XJlu}Pl@FA2oG1QK@K1uY22lRI;46Y}2&(h*``Fo> zEu>CqXX}>StqY87aHqDjt@#E!+w$#;ZHw#fR^90H+!DFEX_Yy|3rpLr#UnoZ&(#fW zv(;Ze`}*oe&7SHv#D2c2#Y!V#@8Osj^R^v!T$N|<gn1k1jezxR#EBIr9)|@h$9A~u zzuI3`PubE^mE_7&ti?NA%iNu@y2>iPrz{aG*1Xo0r6W!(KhBUC^LOdHV53X1_mr6% zZ*S`DVqWmVfwz&Lx1`qL>uY&7Hacn<>E%Q0DWwgn%uA=2KALVJ#E6`6sFr8%;V6T4 zxcyi~7kSuI%S_&xUJGBW`=GRa`NSQFKV)j@l+xSMUza!x|Dd#ac{6)PX?=uV$~t_t z2jxH8E-0M<%bRKc4(s1KVvV0sX&N5b;3#v_y>}pDUF3RiVZAJ$*x%~e4}{p?vT=Tx zSD`cf2}HQ#!rS1!Rn5U*Z>hEfPsAvs!M;_)$$2Ak*qzj#{%Xyd-jK={!D|IJtK#y} z;AT~yt3**;GYW-6RTOWj5~>f`Ve6`C5JvDMmd&cP{p~}DWX&4W(K+_F--2`2tep#) zFP*Gfv$l%teT=8ptnDDnQNUTVRt~n-on*gd&Dse>is?obYR#IB=rOIBMzN)2afuW5 zKVZMz{^ouW(+a+zd7AHJ{ukG*sUu@7+=~hYT@#S9Xsx#*FD9fba?E;wYHMQ*;dLdw zm~ar@Ys|uaSfmcYTgL1~C7kav8`me{5TvFHvb2q%Sog&Xf563of<=oU!I^<D5XmZd z41xNQdR#Cc&K#6uMObuxZ;s_IhlerlAb`aKSp@)+<h-({Luyq_ryX@As>q`WREPCw zgpKgnetc0038!h)3!~e?VhP(oE@31696Xwpa1dSx>CD3HcTTyJOPIsU@MtTha>_l5 z<@Tw<j$*l~0}-^UaHE~pRb6;>Mmx5++qwDC2=f^4A^40p+SPpXu5VubX62`1ft!_| zWHlZv_Bt$iYc?3>Jim2`FV#vOY>gajHU0!<ydv7}ieS6jpMOUm-y#_Bu(YjJH6}b5 zvbfciW5b*6`fyvo)OCj1^8(NAdKhYxtU!IfgX!*vHQAkN<sJKo+O+*lG~cN~*1fM+ z8`oLQle${_T^b^tzWwfu-`<OpAdY{WH`Ap!5ct*hhy+L&PunrV<1vhmvnkFUP8F{s z;)Lhx9(c8lrLV*TC|8U`)!FVYK&aamvlTXxEX1Wv3AYOYTg))f%vZZZsNfdO0Cm^| z7tP>bqe3lpW4OXD1?|q)3xhy0;xS*g1M5MY4zG2CH10>C{3OKbu&LnP`j$2b+S;NK zru8ibt<zA&v(OhuLYxj;2;Qx4jZ0rF!nD5CpmiFm_#5<%bqO>{dxKlwR_J5>SYOVr zwZ0P2Op^>x*Ez~r)$VXk7{i?zL@?gpplqg&1Y{igo$xpWg#hJ-q7dh62FN&cPr+-N z{Bp$WNkSaUNB0E0yB_YfeyCEQ9ypwVz6{dXi$t8DXs7ccy1IvviN>gj?QSXh>94dh zpw{OSDbg+fA#8U%Rzas*#gY6NYuebZ!T!n?54xJHX^Tg6wh70KP}^PD)?PH-VX>65 z3!rbY&)39mcaFqEQWalKDu1!t86(i%T)(F5LTHcEUC+jwTH0|s3-TN#pDNf-aDd=& z!O?;j3tlFeBbX;ROK`5>BEf3~c^A$6)(ZYsaI@eJ!9NP_5!@?SF37Qfdj2N(j^Kxa zhXqdv{zov9?TB>If@)nM_=du(^@ZU5!nYOF@1=GTUadPsJl?}o-(W$SGss^kI9@PI zFkg^%3C1fDoF}+caJk@b1Xl}&+wEvHpq>W>9~FFDFx+nUvdCW-d`Iv-!9#)-g0w<V zKR%kIkncW`=bBccI=^v^;g~|6j>wUXcvO(%Ms@4!_y#(*NjtUOuUq%zn%ez}aDKpr z?30G~ZKKS}uX`@FCmdQ=>nrRyW*kjk{MHd;>hX#Xr&d<Po!Ed~&i0obt(ZLjc*Rrm z@uqE}nYaP_s4;9H<O3kzJAYuAC-JQ#RzR9IzZTvVJzjATAy#5ro3XL4Su|5*LFP>~ zD&r%3ZH!LF(URhaD~-Cz<+o!0vRxG)He=jZj+o7uYhP&p$NXE5c+SBaxrsW@Y)BuU z|H={ToPlML0ckp<??QJ}Kr#`WFEO@_*#~dsMoeW6y^upM$)Oi>=r@0BrDYticn`IV zw2{+boy#PIco4FWISocmsurw4|M}j^ual2gypNK3FL8(D%!htR675%xL|j5m%p(Kw zO=$W+@`zL|OHH{5VIF@$Nh6UaDiC5Lgys4t(Cc;QF&S|aZEuRFPei3ZV$Ffr!?jv8 z!JE4y%d>3m8HW<gXU<QmHHkbz&z04+d)Q_hyuX{eEj{*-H+Fl)l<3~}QT%pNYZL31 zBV{yFsw4P%8N-iOq(!%Q%S_pp-rH`$a2%b3>gXH=&3g5X#t{Zpr>U-Kb~I}0-ClvU z_HWl|%CzquSaQUOZkdIh{i6y8Q153S4!7^dyS?gNb=4)kCdWgw8Gc?<g3uqlYTE}A zyyANcPdn3>LCzDQ1`SVC0Xc63UtF|iGs4Krl_csCf-i!$N{=t9DIoY>Zv=(jsPhP> z?-CWot42|u_d0M9e6oPO>Dohw-kXk-6qtVTYs{C)mB|Z_PZ>uC+zYuM-Zv65bKn?| z6X0v@$B#LPq2}mX^%*vpsmw9a$}l>DV?F26hN;LH^)jy_Z-g+Gdd!jh?THy@EN5{J zdo@|iA%wjHEQ-pA|Ff5QUAxzzMtq%D-rt1@H}u`!*kBlJFpg7$BbEsg*}&Mljs~)T zs}Bk`JOaB4HpagOu+ky-qg^<PLt2QmOz+w`A90L>Ds&WqF)U-ASrUvoic#pOJ`tW< z!%_bRp+5#z2+jV&sm9snr+wRhn%4f);q4a~pLyFaSiqkE<-D~U<b#wGf@J5mGmS<K zzIXaGt>~vzmcCM`5?*!p{nA`c_Xt1qfkPK)F5Q*c13A%9lL}*Hn(nOOn-;QX!obgD z&%s9+^9)$MNisDI<xDtNoe&oioO6Ry)_`+5d~%5n4mV=+M4+s?aGs;k3da-Iae92L zj#tlWe-<lGoqdAP#x>ab@ENW=P3n+n%}+|{oTuK%-eyg~JK7tq#;N%b6mjY46rUKf zTjx#4zk1kh)}+DDT%C_UE9(<Wz0M6&Ha<w<n6kJ1`AL%dVby-sici8<ET*Pfe_Z5z zmm>6qZEgJJE=H#?yE?V{d8}dm@7cIj`*iJsCk3bd;`SM7)pl$aZo9T$pX5NE_vv+7 zERDJ@a#_Un-sRQ}o*Qv~d=Hzp>aeqN3zBkjC(fBNA%FVh8HUi=6DQ8fo$G#+yI{s7 zH=8{xd-{~z*>2Ivl7jr{xmx4wqFF}Lq#4tu<zh8+Qs2?TlCq16X5~+uQxvLY@~rG> zxvpxTl5CC`YzIBnNeN5gjGxZBImY8$jxIc&YD>u5!gK0(s(2j{Cp_N;Ix~dn5j$Pt z0hHU0I9zX_ohExj0CP*gEyrwWAOdM`#ci$9$8ym=qSJshVvtcO32{0s3%sTo^k>9- zL|4icHXgJ)-|`0d&XeTJ{8$g-40yM`c@X*`(@uwluSqS&f;rx+vmTs_Ykf;V>og#Z zb<oFn+UYP}AKm3!>CzXAFs*M5Xq|>S?twmMe`#{TFivXS`Zhry>&No(Hbm>&1e*Gi z;pyt4@b|egAW46}ppN&anCk7?yATh}UkFg{92CAfyY_yBy0S6y5YOFLSw6ab@a}rt z<7yWi!azM}e{~&pV*~WP0-1I?FQTja8YxIv6T5Z}`e}kz2GsgoB1O98KZIQy=5&|S zt>TD&47)aOX5s=RV2cM`P3+n@T&k@&u7}vQok>FIyV@M8;W);5&{^5<Yhv5(DDk=q z_7dzXI8bneV5VTUV4fh)edfn!OvI&vHwms1yj^gkpt7wZ{%-OZ#P$g8C8F~_Lqzz? z!oMN>d%}Mt{HKB*bRx#DE!c_(J<4VZd1v93trUEk@EH<c+q9uB#Ac}46XoOaWI6qU zZ3H_Ab`tC^sM-_p`wE{SI8<<?AdMB&J4tY=;B>(&1+NyoQSfHLwSwyf?-aaS@BzV6 z!M9mo<o6H3SPTH<;{=-swh(M1*iMk<5XMgx>?b%taJ1l9!OH}*1@i<81Zhv9zD0u9 z3*ID10}I1T1b-)Zzo6>(-(vHvlzPV#F)%a{zEkHO-5eXP^Bed!M$hW^SnS!gCqn2> zz1Gv$7@4?izRj?Df5c}G&4%6AdiImAyBd{7|1Dp7#~!P_!cO~SO1+!XlMf94?C$t= z_EVp&&KYrh=j>*~jpk#kTCW^i)h=zs(OrFuzckIdo~I9cBd+^0Dq~aKv_sdKi%;B8 z8F~0xEAsI2$d{@%b$hjHQ^a#sM%-h~u1D-*#953u*B)y?E1*?4Anmj4ZhJp@Fe2hh z>+>j-0B<(?p5Y@3<?d*?@Bd!NU;brMonc=L>RJEut?{oPFa7JrPu{$B^3nX&ohsHH z|KjkH51#y2>s6~i%uJm0!PC#DyjOdd?;i`j@BH09^w3+`D_6g{Dq+^^S^LMl+V~GW zU*7w*|HW4C$Cf{G-B-^)TKnj;_3!%d=^;h$J$3Jv_nvHW=|@krdA#y5WW0C5`3)Y8 zxTC|KJNplM_~>N?yIaQI`e4QECwBeePoI~bXLi}~?`gCC@KCEi{r=0ok=wQ&x%j?j z19sh$I-}w5CNEofSMIitw=6U!-8o_N!A<A>KDT5}-%ozq@#<w8w$E*K$CD%eay!bi zZbM|^ZBI9O_twj1-o572cC%M6yJ+C53Gs=yB+QLn`S_|YZ@y{Kv0pbBb$msi=Kr~= ze`VZFB_DLWv03!!8}`j8UjBLF?(0YP`C{45r}{0kU%2hM*;$`1eecHcOP5^y{IyrV zHsIRsb)Q>uY4jyaUN}&>_z%-oFTV7H-i!15953FscxQ3)js?ZNUK~+8^rMc&XMNV7 zc+>xRi&0;N<SA!3<1s$dF+KHAAN5i{^I?9>m-(|CEDy`Y^0AyOFU!sHvmUGu>&5!9 zo~$qH&HA$)*dA;bwh!Bh?ZtLu`>`F_o@`gPFWZ^z&30$|^EmK$@VM~!@Hp{!@woB$ z@i_8$^0@N&@;LK&^SJZ)vmdZOuwSr$u%EEMu-~x%uphBMv0t%&v7fQOvEQ-(u^+NO zvR|@)vY)cQvfr}*vL7Q~BljQYd%CQ>()(g%Y2-ss9r2An+%{%-a(3;+skhg?t)RSK zUQA^CHO+c8dV5+ylWlKoXx5|4vn`Gd`Ml+|U-}asZaA=Y$&7-tr~m!eZ8!DU*8X23 zpX!iiymRi~n;+|xG2iNv{z=`Gic6Yw|Km+9dR{cXMX!&xHcox(f;yb0r!C$0ao;a< zUha4KBM)Z8-MM<eyT_&us=p$2$SB)4?5Y1eKfK<mUymI0wmmxH(J#h~uYdQ2|J*&~ zqJ1k5jaz@!l1uIw(_s9yUvIc<^X0#q@Y3k@SvNged*aJWubMRShPQLh-+ta?lxIrL z7jNYC`0%W$TW-GiikR0{6wJTpp=p~BzcKxt8;;HBJ<U6FPI2t4BM-#Pe(Zg-XiuGg z7iBklY0f`C{r%k3m5Z*d^~QjC+xJFZHLT~Js~+y0H~-fq(N~`}XUo;s+}&ltb?tU9 zc;;x=g?D{=*TODIb*>q;s^FTtnmu;SZF^%DWnMFMQTgy|7yaej?TdCbereHrwLV^y z<@s_E?nf1pr<~!8$M{Ug^wdLr)Jy%$hxsvI=Ff7lJS-Q>$8xf~EH}%~daypM7wgA* zvc9Z0>(6#zd$3*DK5Qqp7u${P$980UvR&D}Y-hGN+nw#t<G|y=<HF;^<HX~|<HqC1 z<H+O5<I3a9<ILmD<IdyHe!%{~e!>31e!~93e#8F5e#HL7e#QR9e#ZXBe#idDe#rjF ze#!pHe#-vJe#`#LevEv788?0zH+~s6ei=8u>$qY3GOzpZ&+C?BgytX(%ZjONK1m?I zRD#eSJP_}L`!2j&#MR$;60TP8n2`r~GiLhcAvT_{-%HLDwGNzj2BVM2Wq>zg4;b%G zN=B;NLT}VE#(_7iD{o*NU&IO~_C{SvFn#L@KJRgcdA$WHiuXwfBW8j2=9!lOA})gf zFDKEQ7U|uI-zb&gM32c7mJh+c8HJq<&qNOepu1?g7T1S*a+DnFm}n_88<>zek8p|R zaI{Sf@1M<hliL08&bvho&1XULmN+&MKV~Dvo1<&bOE%0VDl+efYhMMnsbXnnsC^Z_ zDCe+ufUVOW*_q8$aCXdtM(upVY_3X9<#jK@kJ&<n{)>s#gZ{G=Pa{iRE@Hx0`dG0B zAmez0Z2n5|#c=cL9YDxv__6Tj`DSC(rvAS{)3Q+iJrKh{G|(~PdEB!g!7?tUoC`l6 z-b^=Dszo{#9~?H&p(@qiR4StpkI4k&(s(5Z*eRRv*`3*&&l4kX*Nc7k*rH8}DZPi^ z#fh*s;SO0zPrV2s_>nxX`<<<C{9>M3Oc2pFc2EO<jD9W4*DL0H^=Z9YvY<dQ(SgtF zA$mQtzL^$zTY4<h#K;l_MB}=HSQi_OLn4b5B(zRYXA4BG8)TU_F37fk8K>S^X6FXX zYh2955zMN7gwa`L(jW#O59Gp+_!N;ebjO8N*E9z;V3NiO#-IkB(1J}wH$E1~&uuhK zgq-aS2g@2x@r4SVwFwJpNeH+ups-4Uums*~95Y9jkYZ#6;5Xn}fWoUw1SfR74&u1c zONG+hrd)UYPK3v!W`gQU#uycZ9~~Nvr_Wtzs=-c5jK-Wr#;(zd0d$Sv*}br<6QelU zhiIcX!tm`H(53{Ga{cj3F?L>Z1Wvvbd5oTcXtWqo7LV_+exCe!`zzIN%i*85PyD>c zNwHk-#*IZHo_=T(f}?i<D92GY7e3x_G|mjs%Mdn7Qwg2BDJF8Z(SijC&zg$D+K;SL zwLl}ZfXD+c1FNFgPHyMshog&m)M#Jb+hP4?OfQ->qo5#nmOW?sq@w&8)A3*|sgv_R zX!@jrIXSt;q+a6-XU&*`$6Nl%`31SN{q5%U?B0I-l<9N)MKfj;%=Y6svOjm)#N3>m z+???#Nj>~sk~?>qnKFK2{`B!sRggci)09b*Iw9J*(`QW2JvTRdVtyyYOX?~)Ir)W# z=S%MDb0f3!r%#wPW48L_$Rr#I>^MFvcfzD;DHCSrPs>TlW0M4)x1*mqPqvHloDg&w z)nwLMk7>o2_>7<xlVr^)!e<9pb>nMc*c!cdQinlpydLX*Pmvc}>Mcy_bZ!@XVXzxS zTRoE@dTU`)D^HwZnz%N0Pr)PJ!ZG>NvHQ7El$TqS9bQVKu-}Z?3`NzdX=Jtqitery z8Yca!abpUzC*@C{VoaDYs&D`P^1@klTdJ$wzeAp6|2Owim(Te1>ovaLurY(iIKLCd z<QB~-6iNRvBPR^apE!QZj5)I=<&GcFub(kbS0<#G!Trud#Itf|&nYM}W_It&r|0?8 zGqWca<c{jv*+6qUPt^0}6N2amfxw_L&REntczio=!o>Wd*{Agkescb-*+t{dsIAgZ zdZ&y-gmxSX`qyc)H1fKLW!~$p<(?aG@_d(f$@rALD0f~_Qdp10^Ya-w+35IZ{HF{5 zXL~~><JjP6ggpOu58Z0!jPQ=|cvKW{Z{Yy*g3l{F<It10;i=ELJUA~`r^P@ZPV3`b zknyyOi^X>ibs`9L*l^J9eBWojh{t^KNF$K%<*qa!jSY32Z%>h?)A|ZsH0A%s^A4_^ z*G`kX5W4gIxTRriLLl?SyeE+FBG5VwNaO8#hT$h6PKWW?lw02lzw^9|`cU<NzEz-g z8j!~SL0=pRaXRc~@NRt@{6T%FdO+V+&^irBqjr5~uV2!1I&2eYx4v!u;PL%`_PzwL ziXvOP`}R$8vl5a30Rmhk><9@<5+LLT2mx6nECMRB!vsVLAt17bMFm$-R1_3c98pkF zaT!I@Dk>@}uA>Mej2p5Ij50=p<Ntltr|#_p(2URb-uV8hr0!SeRMn}qt7~tDugiA} z%=D86O*I<5zt6Q0&@@s%C#c=^#DeW~@U+tIc7TC*Ws&A@g}bhr0BX@6xy-aa4tH8M zX<e_l7V1vNR(~j8;3b$2WNW2$eLuQ=Ux25T4wvYvmPT@Y!zcx_F8Ex+Vz}|p3{&+j zfmEwePrExt^{eH%Sf}xSi*<bzhf8@?Z+3N%qjSk&Sa|A@Y?lgG=^}$&ViWdF#jI(U zW2Bc)U`Sb&a-R9YCncmTRny%xYidYYm1;WuC#d)_e;vgV3@J<9Cgr9pr`|$;I33o^ zd$wu8eFBv`J<eVM_v_yMcCY%%fgI*1_Yq*IrPkB={Ce<Qu}%>gOCswCdTY+z@33qm z{4Rp5A9Tl20db(<Fu{uj3k5F|WRINg+4c~x7raIAPQiNwHwr!~xK+>=d{^+4U=%Wp zayc^Ox^itnbw?74ELH5=5kYqpn)5cK^MqDssX(i5ngQpCJ;(QyukJPipQjW^Zxs7y z1fLf^rvWJUO`-ovM7+Kf{D;{8B<RHhOMAX2h&74upCYthu#NCL33d^Fj$m)$k0By{ zQ;8^d?lmU8SZHLM3WrY@!&CJE$a+iKFPJLWTCkm9XTffQ{RImIIi#c<RUd#;guYym z6F#(GB)Cja)eG>~2+aW|-91D^I#hiC{e<wh3!igBboaL4hk|<qzZN_y$Onw>y@H%0 zB3(yN?JohX&*X73i1vd7#|TakRP_b+oY<o~RbPNhgjV$h^gTkW`T?37%juqzM8r=8 z)pLqM(dB_?D|=mDK5>^sK7RO4rvG;7ojY<jgI2$^{~@zO^}jJWm4RVQ*ik_XoExcQ zJdg8gPad<Q%8$LOPCKO?8&hv@*>}f`IqAom*ZcHn@|-lBQYzYd>X==xR==9ZR$TPt z$tM<WEW>VynN1IUF{?PmI=OYileh_cRNXbn6YJia{2|WE;cQu}_l_DD-3;^0V_&w) zIM$;}_haWwF^^fTZ15JrzGz!fZ=(!frFe<axv>1kZLj=2?)fgGhCM%b?~TttwczpR z!xBGy{@&ZZe}3YUxa}9G`M1BnJAM1K@jbV{`Q^~<H(xku`<Q31*nVuu((S|jH*DYj z*sa^=WZu2~(=C78p4Z^f?dH6vw@=$uw*Bkao!egv?A|_g>_^+%74P3Zefq)et41B) z{#{l%t6tgikFB!TH%63QaanX(Uh^7d2R}$COTMyp*$wga%Ko{gL0M}3#%0glkXknH zo91Or`?oGDzP)YPIUl5#edFy^_CT|&vY$G4EqgvEr|gd%yO+(cpH~+3O@3M8bLW+f znbE)O`T7IPzIkF$*;`$QmNj@{SlJ_WFDx50?c%aOKXFM}(pRI)_V~t?nRO?WjjA)L z>~*WKtifL<mv#E%rDaDhzO2mu)8%E4-&9n#D7Lt4`s^8H<9C;o1!~PIJCuJ#*?@_& z%LWx)S@zdI%qctEZ*JMhlzC-MKb}|CY4QBBMRgXG{kVET+1=p_%SMh_Sk~^33(Jx| zT38nLkA=wVQiY_+r#;=#J^j%?<xn2wQa;0Bcnp`}Gaif&<Hh(fo{TT!&G<7NOb^q= z^f8@GFVoHRGar~A%opYl^NIPzd}IDGADN%bSLQGCnfc9pXa2JsSRO1FmJiE`<;8Mi z`LP^Xo-9|EFUy(b&2ne?vmUTMuwJl!u%58Ku->r#upY5Kv0kx$v7WKMvEH%%u^zHM zvR<-&vYxWOvfi@(vK~u)&Ia8L{BE%C26x@yz8m~?gZ~`J$$`8a$jyQL9E6jD@Ny7t z4#LktJaQ199K<UJ@ykIxa}eJg#5)J^&p|qJke(c*D+lSzK{|5~ZUE^HApHU4Ljd^^ zK)wW!KLO-Z0QnU_z6Fqf0pw!<`58dI29Uo2<Z}S|9YDSZkpBUcLjdIwK)D1^J^_?d z0Ob`xxdl*u0hD6^<rzS^22j2Mlyd;(9YDDUQ2qhbg8=G70QDk(`Vl}q3820NP;UaL zKLOOE0P0f!^(uh+6+k@;puPoA?*gcQ0o20)>SF-)GJyISKs^nhz6MZl1E{|N)aL-| zvqIA3)1L0=p8n{cawv~-DWBmmJci5g84t#X@nZZKPsW$=X8f5BribZb`j}3pm+5Bu znGehl<_q(O`NaHUzA^upkIYZzEAyB6%=~7)Gyho*EDx3o%ZKH}@?yEM{8)}GPnIjo zm*vdzX1TNcSr1qrST9&VSWj4ASZ`Q=SdUnrSg%;WSkGABSnpW>SPxkrSua^XSx;GC zS#Mc?QJ=G;H^ww&`|+Q4<G;7vh~9X8D)ak4?Z$uFjsF|%hN{p1>DT=a^y}{Dc!C`d zjEF|#*W^HlgRQCx`wck%g8Kv1P}uZg(ulhodQ*TWg5y9-4aNtmA)q&mBOpr+qYEa} zsW(E+CHTVX(}yqO5SXE>1ok;j)G*%kaSH(UIjG41pO<?eoJ(5uRRXk#Fk9i*oMXZk zcS7+8%H)8|hXJEu&Nb=G53MHI%z5TkvI`<Hyf)1F4mBtW+Y1(&jD8+;^la=!C{-RY z;_C2H6t^lYQuNKRj^b7YhkRU-?G1CWvi)3<9W=?|WlUTAm`jwkXGISqmeJt_3vnFm zVk1C}96A_$1Ad()|9D2e1`}j{d7t9Kp`p0Hyb-{?`u{In(^_?HUw>L$R_`M#ukGuP zi;Jzkj{sy!6jB^o%;$o8tX`3i(<}0N@n2Tt<6SHAEs#>K$P<@2W(<Qvs+r=g$R*M~ z-1x@Ar2*@-i}o;NL*t}2Ar~4)yn7N^xcDFtfj;XQH}^CWq9&QOlbnkK64Lbdz=P5_ zt)-?cj1>cjPK;*3<fu`ANze$QA90Ff?nR_qpK-EB%agrYHVjInk7CDF9?_9F6DCKE z1BjIVm8Imnq^O5ZdEkVAqbSL(+;j`nB9Czp*416j{@-1^b{4krtJl&itrI)vrI4iH z(lwec*V46`)Rv{|AF*^DWwuFclh%Hub$MTYq$n2OCh}WxE1I;zt))#G*KgmteOkMY z>8;b#JP}x@uDm|2*Q3vLgxfvO%JW*kgSF*KYsaBg;7V*gt$g|ta>zq)*%+sH$IAt; zYPng@L%UXytFe^tlxX$8)ooy%yo<jDzj;1XZKaqrt=Nwv#{OQiox)#GJZ=2M88fC8 z&uHmaTPD=ziuCqrnf?}7o1Hjz#>AHXi{Yi6-hpv$$I+SRj>DPe@r8aIg`PYEyE^=s zPV11?=@O$mUs_|i0mCrUU<~Uytr*L@=MLy-gvUk<i1b8-CwP<0T4o(98JpPZnf0v{ zv!Ul4Gu1q8otblWTJa$r8W*$Fy2^7kD)eu##>|TNpEYJ@j#B3`+kHnh2l$}%y4Ts< zv5e^_9GZ&m+zqO3cH4ljx)pQ;l~vD?&oZVPYKE5&O?gfq%Q+WWoi*lDScm4uQLVJ` zd@$VM<|5oauw=MDx5j*btYPfc!hl*{k;_c$Md%#0(v~i}sW7|4U52&AcnLQOb~?Qa zU4CFRHq|f;KMkU^=((WX^0)@cId7&T23pIz8D{MVM&k|0qdTp%-Hl+l<!yAyLz05> zHo&a?P{t>a*T%)r#`Ums%X<d$Se}d@!`JcK0yF)jK~qIw?QDY<0-8qZ=LEI8O$pUo zW9IjVy27tkTJ%R?Xfy3bz#Z$PR*WCj`_S%m*sgr}xi#jckoSd>;QZ*Yh`KJ*W*#!6 z6wF^*V?G53XG(`7J@l)?A?Lqqjkz=!oX#bOQJppBbKsQg%hX(RcB|jR8Z+1Pn8$3> zPFrJk-o};Jn6Y!v**;daCnjgZ@lY@qcvhRwE!P^WySCgx+^caR{B;+)kKh2oiv&jt zvMpjbGX&=dGEL;)BzT+PU4ne}Y5$<$Ho+Z4l;=*tT|_h*ABz0}p}!RRTft~#B;B#S zB&vJxfNYOR4;NHx%LsQqX&|?haZU3Uq1Oowv6a^PRDL2}Y;PDpzhJ6h8$q@yw9gY% z`3HKS&_e_-7F78N`$<BpVF&1&g;x0n`fj1`6?|OqDZ%Z6FABac_?F=3f(HeU3#$Bs zd=8QsZ@s3;_X+7FK~=Ai2|E4ouI#;nCk2y;_+i^j|LxkRchKvg#9vwq?a;pZ-`9SI zk#ent);7>kHnC>(oUvraOEavJo+V34UMjI>_MEw7=1Vh+dSAq}C@)^;FvM&Ss2is# zOsr(mt?9cDc9>Yf1OX>j(2q2%=`;^4poKR~Wsf)FJW?2~D~Mp(hGtW;$@E63#c0#_ zm~!H6Kp|dlu|xF)6?P?s7n;4m3Qx8E9M0Vn5#7@a>*H|s&@=&+ZdiMS@<w!TZ&;rQ z<?{`s_r1!Or%6mizaqohCzi<({U#gMUxZ4D=r_TzJ{8JuL{fS)_>NM~t`Wv6WgQ6T zlNoLDep{27fo%}bp81B!M+uiWnprfM1xBowzJH@hkwh~(nkOK5cpxGc2V|V%5LxKg z_(1aZMNDvzjMOt4QEEm5eYEJ{A&F=?BoQTtBzP)<l4PzUwuTW6qfQgh=|m`lN~dEw z1C5k=)MrzE&tv$a$rDCn&+^2Q(4O>ajN-G*T4+g4v+@A+U)xq)X^eaNP(38Nl0#?Q z|1(3_stF4ER=cXz59bN!Z1UwZN-(^f$fO$_yY~DohNddw9FNOp^E2#ccYk?BIoD20 z#+FP}FZ4>OaE;ydE^=opeejDVzw7Zg-=|u!VfmN#bqDu!SI)6&@8<qDxkjx%lFgRW zd5u-RZ;DysysMmhp6KqE#>>?mSF^8DHC$B&3>1p*bSm~;=uRuX^LR<DR@%tEj(c1) z8*MSiMC=Rjok)4;Vi`lBwI3MOHwd4kL6o**Ur3v2H69t^r$Lmq8wc7QZh`bq7%s!p z;a&-|_5-7_1oGIA(MsEK0@y8Yj7uI~I6-;W!L0pI#x0P?{*zYPcnuhCc}0-Ncrku3 z2IbujGySANQ{9e&UZ#bBrjhzyLG7*)GIu(7T4{Hiz<`J%&0hg`XBzL7!GS9nV;9`{ zwI`si2hYLmPRDFlzHoe@^O<H{M`1LMK;AAIMCq`I$g-enfz0)T$uY2&$MRvAZh3uB zug(qnsvLJ0yHc8di*YOC6;e7^9L|5oooDPjGyRTYj)at@a>z|%(!`~>7h1O~e5Qlr z%1TWoK0_6{>8z3sMXA0%Dk|%!2S1Dfk@-O6`~ugMni5eaZG_)JP>mtsuDj6rf<pw= z7!vklg)S7FB{*MjsUV*>hO5Rzz&nIiV<OP|gjV}SL92bmz^<rM9D@V|Rk|=S$Gjz< zipUZrekaK1lVirv7^cI&w4bv>`wkh-nCF-8v)aK(oj!&s?peH~_@!cwWr})_XZ)2j zuh|c1a!GcLVpRUYxMeoonm$I|^3dF=2aFiP3?<=-$fAX_HheKHykTt7F+OQVD!3qg z7*uEo^B3hZLKcM8V94iPrI_9X<<C16Qo@kuhBe;I1cWhN7|s*`!jr6*!yCbY8qT~L zz7af+3}<$QZ&j3+4WnVbrQCU%_|yRAZE+f>1~9vYidO@ecZ5nXA}No1%A>BLC?o7O zN_sck21J`nVIcz;RqhzTi~&mxU}#VS82bLdGk^)T9Y_^aB^oLHKQ(|+pAtu+sx^Q~ z!c>oGVgP_1x6_-Rp57_=ZN$o>hSNtUXXw=bW&?;{bx8H}F->|WBbW*fN6s>!f>nA3 z(yd1p!7)Y1J$+Yi#HC6gbZ4{@LEmcFr6@hpaeaGIM_q=Yi1+VmIHTT;?mMH|^l7D@ zw}0iF>Y2tf$dUhyXPo}B?gMtz87#;~o2m^o&CaXT8ArL>Jl$jKBkll7<>8kvGp)3d ze0P6$Df&1E!DGLG-3H3z>uM;p_5-7_3B;2$h|+eGKx;Ft#^7b{r$Lmq8wc7Q?o?DN zri0-!JRNS;@k}Y?xznrV&8#ACo=e`(jb~OsUUkMZ*FzrT#rVM(j9=C9%qkS%Z8}nL zM<eyUf@wgiR><7xj#2$;cbh;#M3J^y19x3D0o0<aj%PlCJHN{v^N;E|Xm>uZa^;I2 ze=uD%>$(S{Q4V>#Xb`2tB_hj$s$(_BGec3Y{xhCoE{Bw5oP9jQI)0|{jCui`aXiD< z%71-4!#*>|AH4+o3l0(-B{)%Vs^AR4MS{x&)tVUOskI%Tn%)O)5c>xO*_JTeF9mro zIO%SJc|_DnwZ;Wx`-k0AzhZpTsnZ$PXfn@yUvG!B>W^=doJKi#UhS9GXt<2wJWF2l z&q$AX9)8ZUM#J?7jCCGmvOQsHpQ<N9Wr{b<Pdjf!3GIRlGgpHOT@aQ{J8#5XN6Pcc zrMH9f=bcILc_#zBU1%;gm%>3<s^`maE;WXCPcu9R!_|ni2`F6P^|esma4gJt4hiLp zP@}iQ$`=-9!m%*pIU<(H;aHgQ92F`h91Am^V?y~2AEk4HZsat^&G5cR_**!Ky-_AB zO_hb2{%{-3g&7(!u8Hx|_b-oYPQSJaODssNdo3os@>&d+W?XAAKD`zbsn=pc7gkkW zi{XV;uC<t$U%eKirVETQNMUu?V$h#;{wwWh49$NyYwpN1_D(Mwd)gH|zxfJCwK~{N ztW-z3I(_@fJ!|en3;Oz*)eyS-%?2~UAwXzUAVYwvADIb8jROYv3W+mDbB`XJMWEnF z<@YsQ_}THoZ?XQt^83$t!Re3cK3hjL4Q|E8kg5$d&CaXI881|K{bPt0A~FDKuZO!o z`9Au8yTNC_7_a4Ezj!FjF7L*DAU1-hmA0D%hBni#A6|%l8boQkaiHDdZa{yMeI$m< z{_EMs3ztG3-D#!eRUI#Eb;<j=@xoll<K6+Sw7jb8A3GqA@nZbYjS0rD>UiNK<Z;?g zD;lZq6-*P0E0MtIVkT&Jn_za27Y@N)b;b)VP*{En62<(ZsybfS<;oZKUUfdxtm_{A z^?|%yG>BsSsE9~nP%T9v`oXLPj+V#rVcELnZ9pOXXS~213aOtPFPvrl!+D>cX}rKj z6pHNv_k^*IvcJs`i7X%Dzq<a>NBj*G942^);H84af*jv7oKitPN2G5QyhZR`!4%XT z+N&NgP>mB%_tZKFP^IT*#s!yA4&3p%ti0}_@1<}?2f@8tXBiiC?35wvB)`1wanNbF zg5!c@<Zk@d#gku}yyS<)-&#|a{IK*}@0cY&Ectfv4@>_(xJQpMOU8_S%xG-R=ssi7 zj2C8@CEZIFmAp`5&g?#O(aaZS#^WZ6MR=&3=gMnNL|V-AkTEa8P*q`_WIglD^gRMA zj2+afi6>$(D61ZS^!~2v;LKXgpMr68>W#=H1V<FSvLv)l5>BCTLNI+Z2tMyN<<F}Y z#jt7uW*9RX7X<eLgr|D{9NrjAu8nv<cCU?i_Xwr7dU-z)%ID*7$h%khlC56eePXG$ zdU^jMl-la${ZuHwvr>|d!1PMV2}(MkmSCdKff=_Do5O)t2^_`9y~Jd|tnMYIIackl zoZz9^h%;N_*W{4YFmm|=WiCK5)WAq2hX%7Co?)5+rq>T$ldjol@}*+--VZ9_V*GfD zQW81->kf93wy(t*x-sC0Kh$sa@-lm?+k)xO9HP8&xSgb}mA9+u%Ly(4hT70(-@b^F z3eFsoz|hPgN!qeD!!n2B1{%hY&RH4EzKIOwei$7OLzA?X3S|c@ppv~z<u8x6s&zKd zJ@on&+u*8REUfpxsc~Iw=wu+u@3cL0`eNZ4mB(rEa`=#2=+L0JF9%k47dw{&qeK(+ zEx}3pmf%|Ymf+gT05^49*9GHcnj9PhG9ytw(DN1MI%Zaeph|@a_t_G1p?M$hpmi`P zMVG43jKPFlXpd3E<xr(q<M?Vd)#R;W=^Eo=(S@ok#!x~oG=$*SQM&ZaGl#)&`Rai+ zAs5<<<A#lbaU|CR?K}j^pj<ho)9Gx~E01vs7QcF+`@egVFu1#I^5v6Vdk%lyJ-7k0 zIqtPx=k?=W+XHj6UFNny^XGH>;`6ahn!MrhoVH=nz51uuzvRYRJ#^O5lq+mcAA0|H zt^@{$#A>wu8?6gsuVYXyhuSJhr>9FUvQ>+6L%2$sKXvRMFfNzdYky(7LT4Lxm#Dah zM*sge4;8ZIaIXRKwXRhz4Bg|r&M@XzuLbHHt^Uyd-}QsB^^qTZJ+d!K)zN|AuhSm> z&+K)~4Tc(OfqR3;_-R!F!&N#`Fl)P>FgsmW$ECLhzOx8Rz7xWr`TpS;cL=oh1EcXh zWbujtt+a6>7}`v`ObGZwJ962Lh1nhMHoT+ugU4_go(`AscFRjar2OD%rS14ObIV(c zL5_ay@g=F{aqOY}P)0W7(VbS>ZV4D}d7EAG_?&2Y+`FayP)2{q%XKld@mAQm<!ysJ zrjzMa{a+yI`=RM44VvnDRItrj2xuCqw+L!?S@1j^Jgv05KY?Mn)*2s#yEDxlya{)% zV2sb<u7wr`WO}JygLbE5hbvz&#~#cVuq%!AW8i5Icv|VOh^}gBY>airdv(BPH<sbD zd>AIu5mX-`(^?0q%5itGE2ZhT*gNTN6GOS;g!tdFcha2}?uBH&Fh3l{91p4Ld@t7N zOQMd#Tcx!}-87%Jl~P+&nMXi5v5a{(Pt79$)jR^azfH-<L()d<I|z0W>@Juu$hL)Y zMhH$6oGLg&aFO6L!J7nc6IAPtkh4MP2L%rbwnt@SIBd6wg@UsL=M(Y#E)u+oh>CZo z*l!T}VX=QqaHsIqy{z#6j_~&g{|lkN7W_&0XS|;k;Z?n#wKE<N#;cp)K*1q`qloY~ zMd-@~uMj?xul(~_q8zqgMAjpsUocgW&lUOY1iK6N66`NnAUH;Ff}kod_`gEvs|BwW zTq(#lk>T7gsLBiU7NNHa?hxE5__pACf_w-6+&Xcj<U60;O5b&D-&yvxR&PG2Q+h_V zzw4Tf=K!k8e9)Hf<NRB`kI%jNhoo<}e4miE>W9Q{y`{I{chwIGm=${Qkkzy2m~_qy z6?ZRQRQy6Q=Z82K^a{&TiS(MYfhH?4Go-4*T+j}t*Yst=%F2M*(tBoar-dhCI4y3X z#lVYU#GcuQNZiApg3A;qq8A}JEtCwa(6kU2j4>^A1;O+^LGXEBQ2xB>%Ac2Ah%nY8 zuWX-X-eZc0RVF;i`*JwvT+|fNtKm=3i?e;!yDOZVUOY{_&ZN*=%AIVV^}a1m)%IEM zZlTonS?@bSVf!rQag94_1w|QQ{{VR34Sxd=&HFGsSlt~5!s7GpObYoF!<iIv%(3n0 zGD`6=DWsZBPNFy$0TIoYP#$Vhhz3jwu{kjVOramTW<E&vJot7udmjRoFabZ^K_-lV zVGaz5+CfqgIP1X?k9r2j?A-*yl}9E^dHfiLMD0L%JVJj)B4-7fLDwpUFmnLYXb!18 zg`kL^V5&VmNc!PQ#ghKi0Dr)ZIxt3F1xAAsOb2xsOU@@HlTD5v+8m(b#~ssknJ{x` zqP9}8yBR#HdTQsv|K}4*XsgcfwW-P<lftx;n(&gTsXBU=DY^12Q(ZMNg&I`vtkX@| z$aYLUvEdJ@HZWnji9qYh(@ktjStlcnqWD#w+|=A=>vR>xd=jfN{kUvFDMgQdXiO3j z#8sTg07oebV2{@|H^qv~N{i1-5yWFc6_2{QyF5}(it>mG=_o(o9B5~HiYAIfl<=#R zAHTmGn0}6aK1vLm@_s(Wbis(gm5$FZPo6Q>Zsn%g2>oB0Jk$H#|0|PcFPnWadG_1_ zPME!Hwsn~~srJHxzE))4CRT%zUzk0sJY9ypcK^lA^rJ_2AKW_~pN|6khPzRIqbW3` z?_Zcg!z7#9VPCB&GgYQ%n{*pJdT_5H@=dMujL??-LR^03=Um?DlWRY_^Zxg>aV7@O z_1Wgw_?Z7zb8P?0cKiR#u{n*c^i$5bpT^w;>(^=3IkqB*dEMj4;;)uhb&hRBJl-5| z$2S;;JHa`VAuc~K8eK5q!TVjb(#DBkXfy3@Lxk81*Ge15f`MUSP|e0$v=o*M*9XmX z5KEx79~h1E@G|$)AWGXYeQtT>iOv|3^4Lqz@~Y0UO@=%qIjFR}CE&Q_`I18N_?&2Y zRp;2QguL!r2;6C7)j76g$Yc5#KlVJdy!*vZ8j$MQ2<&gtLO|0<y+u&FyB3jU_gO3L zuIe0H1>99<j*Yi|w9vwUj33o&(C&QkyYdBD9!y6~nB8+?V<2x2cv|Ts5na{NIEBpM zo(j$-v17$>Sw0NYEw2rj9K&g)<!M@@oBy9Vwgg$PbvmDCm}5J`_I_uMjl26%wJ|`I zIU3ASv5fzfIkqfu-%GH+;2=S@w;yuH3tc3r=4!xSAoTTuw+P-Tc#q&l!AAwR3fh7v z1iPYgF#f#-rwgj<gTTL<G#bHc1#cvxB62Sw{i(S&&`*i|vx2XQyLScuEc{OdKNtSr zg#JblT|gy2S}>l7@RI}^2tQTm7J}yz5g+w!Bw(JnJ5Ts(E)MY=DelJzP7`;t1?LN2 z?d?Ihw+MZ^;JxDR5uqO!d`|etRux}9vy3;}Ng|(LqF*pokk2pqXPe6#D)u7;M+>U@ z0e_sOpnp{_fL95<TyVYM2Eiu;pBCIM_@W>uFDP%H;1R*&f}EqEy(Q%pD_B#|FPJLW zT2M{bz#k_j=)bSvAVJ>yL_TLJh@8nFs!1&1T%kExLH=!mcL{QGjC}Qc;(ej>8_`vK zT^?thYwVDo;mk5RzrVEitV7WKFYi6`BR){UxkjEz*?rVEXT{O*IlGTWOvya<0CY2a zOR0BM8or=ZbnKOSrALi=_E8hsGY9+7e&&`jql~+VQ2n61ChLXS89y(OuA(saIFD{k z-)7igAKE3PJnAgIrE*U1LsKHX5qPn2mQfX=FX9xa&@AKMXy=VM#}TORzw>#YRZK76 z=9qJ2A%+dXk5z2m1QzZY`!aOT*g?4x%(-XmYoVNb#tsRkZgV%R!<FtCJ0h0OJ!40O za_$*BCY1m5d&W2;YyC~`8CwQI&C#MNGO{?P&)Gj7(+|*K7Q`kJa(ltn9Aw1({w^JJ zZJ<KOBQ7`zmBREwI~@yUP<h~(PN$=g4<6%dSX6gA(Esl4ALqyoeos4fqh90Ac3h;X z8YlQ|!>l#AHEs!Sot~cFMBPT_Z0FG%d7MKcr*CXI!-k#ejLe<5{buK4g7XKzerr!q z#Lw^InOJg$?K`1OKlH5P5Sqe4KJr#HZh@|PNGBLn(C{+{`gNK9A3D#^2I<$|PZrFO z-`nw=;5|Zo6H#?^Ao%MvTK_Y9cKQcH4K<U!!9%+dRHZOo>SAbPPw>&z4641+&fXcm zP0>XOjt_^xto_jOVGuiL5T)%Vg4SkQJ&KI*(;!OQjRoxvcN;pN><2Mij<eYZBF=`^ zeqc1-hCI5{O52ry;g+|{B@b_upuB5g)_y4CQ^<>RF|_e&*tzBHg*?WG@q;la?>3m} zCk>kFsD(S2v=GoVQr{z}-Cd2$oerK>+TBB7Ktz$|e+PGG8vnB|Og~z6L`C##0?7QM zVkgI)j?Z2B!ttQaXPOaiPz{AVu5xOn!y@XsO}iDyTtArHx1r^+d>E!%-WJp=jvutr z@-(f{&Hp`)|2YrB^gD_<5>nN<pR697GY|SgpFZAq-no^J=LFl(%Hw^MGehUz8I}#P zE)nI`l!)?ZBm546T?D%e<_q%Kr~iut#|jn-&Jvt2xK!{u!J7r|5WHJZjoTo%ITB3y z>P!w$jq_3O_1$E{#U54iXZL3OgZA*pXViUGhcEKtNt2x2)zjLA?pe+}^BMm1v@|)a z^ULF<jhqm4jhE`<X0&s^K3?PIE%n#NuYAp@xw6KJ14jJndTZlWdJh{lYp$)a+MDgI z8@JkX*ocb1)7#lPjGlZ$>z2{GYur`u?)Xl=?C=xuk@uYN`R+XE^IcHxYhyH9Tw$4u zE25i(-QvA%-`CzId&<!o-Bu(2f^u(4_-!v#7){>%+Gz5|2_w3Fe%JgrD$FM4oqNlT z_{f_hZt2%!-`76#-olvMUaIhz`@RmRTXTJ3>`EIFqi@;wbxe~ng;BSW8hEH@^uDj7 znwa_UZP<`=u@U*T&$qMOn`W(vy8|J3e2C$QhC9oB{_FFu&5JzYi`<B~S}PuSr^ecv zcdR-XW7J#wUcLA`$0X;Kw@)*g)L7ed%&LRo;4V)dKc?}Rge}h>JYIv}#$`UO*kT-h zAu4QT)Cq5t(Q?x6x_1~Se9_6P2OfGM@}U#HC{Vt&`8OYoGA=InO})Cp>~%HrqJIyg zS;VS#`4^Xa`-k6_mftyl2e@y3t<q=KD)im9qaw_-R!6UlT)}+UQ|>dOZYzavq`epN zqZc_bNV5fw2b?J6jM2-eKlU*E-Nn?$-in;*Rb%Cza&LSb^H^NQ^?CumtTiKzKIOjt zVXG$_c@6uZ9CqdP&5K6d8EYS69k%MecjAL-J^rLM=c>tO>m^&f`EmJm@)LLa@~0HG zT()WXPv8CXr!jNP7EO11PsDg)Umsg&t}t#-%O~I3a{PJYM2ywFF!l}Oc5{WpZMkep z;ilzT%Ej0@X3N9xv|M&*`KH3Ge2d}b!e?1pzQd!GcfT^qX5+bV+HJ4N=gqXxI`33< zxAG6h-F)X-6>#lo#a9o8-~}W+VG9A)Gh}59<*z4V14LPUXghEcIo>cn{MN1H6i^OE z-5gn4oe`YpifhU7g-ubQhVr2?dM!TX-iSXFOy3qt2<`-G>`+Hw7j_fOxIFJ-$OuDY zW>}Y*3jyKY*VONM_>G9SzKa+G$3DK=t?!*X$eS3x{)mP3Lj+&Ko+ch&0!38_Y5D$6 z@>IkDW$X2A0$M*te5FXAuLb@7Q$)r1o~GoVgp4!7y3w`aI|_(Mv99nPWANSS=StsY zN`&e^7}gviy*{>A)?A+x8=vn-N}MNTr0;IVX1+L%@o{6GwZP{@E*@94fm|qLl5aU} zOI4trCdtSQrap`>B5RS4^Hh;0zqD>X2%~eOIniv4_(gG6#C+%g=yRc?m}TZBM!7%9 z#UwY=_EM5hQp&?5uZNEQBYw<BNP1Qp(PK$Hs#3es8-p(qJx24x(6O=f_c)8_B+0K# zO*Vun_Aj)3f?_&=jN4CJMVcdHcaYdhpQC8^Mvycwj_pc+%8qluu{}sWc>qoyB6%&H zD)L2=<s_dv0NW2pa<<nYkHUn{*)^x{c$0*>#au<X6*@@qDu-&C2VlWD+jx~l2__R) zNLuAlqOxC4T4hp_qF*4ba;cW0{|dV1Sa>vREB~IA-UJm;vdJWRP#_5^a~!%3X_Y&5 zmG@lIDtjFIQqn4i>M8%rK_{v#a%i06Q+ec257V{EhWbj{XQWj=IJCvyV5Ip4;%H7$ z`LF<tCg7SJ3?yN~=~5a7g5y-1smzllBvl$OBl#l8IQA9HX}HhCFfXXp5JYVlSHPyW zS>Qp3p;iWXY>_qvd9^XI<-yuPE!I2M%vVmg8Ud@$khDjv;Ji)|c;-kj{}y5<&jXV@ z2@X$0IH<bcB30qunl$nWsb}6m&+Sc<LhCd3^;xPR6P!HH>}X@EAVL-)laXSsfGji1 zG(*h#Y=`Qz?SM^9vuRvnv=vuB?t<`|=3p~E97&g(Wib6{RqNQJo)e33pMR`+@Bdj( zEJnFz*bq7|n&BNaa_N5zc8TeGXJD&OLCU7FIM*IBw74~bfmHn_qdo_Tqm=3#qy$0| z{t`rt*e1G2*e1cTD+;xiFE){H>52T<LG5etgn6P?_JF%Oj>WA#VjR0<?GQ!ke5SEp zFn+jRCp(4$>W3M;wI`*rjVc^x2*fj$s69>>+L-T0CaCW|SjPN=;>-c|Els0<&<us{ zF;?<T7*y!J!g>%vtXX4&struoy+xok_sb1l7qo?;qjE5WpdAbeI%qEr!i|+9!qZdM z(N!PE1K|j?Wvtw=kcM)_FCb8m;=`=eQv6;GjXi0b0gpSzU4XwhM*J#8hh)R|TE1hI zYKvbd)Qdu)&hf5es0_+f;{@eY+k3F|Lu<wcvNi{0Z3bfpG_s6v2-;)JQ%JYS-TnZj zSR#~%#2evFe8Ef!MQ4!X5Ytg1r$ehc&4nE{{t+n6GD<?CK&wh{rpmymp)%~Jo1o(C zG%5q36|`l{oxP3*I%e4x1Y-$?Y*rjp8^(8`kr7)L((qSkM2X;p0zS+^MTw|1Zo!o+ zjpJa5+d-((Pz?J4$V-KE1v48>rC5C#bft0`1I9RLl*?+s-Hve^z*fc!@T(LhJHe~? zIq53)uA|`)G~%t?;V0x&#neglNNr0Y^yI;5U?Qzi)Y}$@ht39H3*JM}h!x=#Wh@}< zhGxYf(kBualnhe#c2+JZ@~IfFRA|&&0wZD>b1K&g516bK#3;v{MC9ucd_N$ll3<b+ zf&*%lETM<PCD4;=?<pvCn>JFF3}XXjTR?EU4<qC%?jVBWeHctZ#VUoICOCeEhk_g& z3Uavi&;|yNBD|WV9$gZLgu`ImijOwcne5ru^%$SS_DriTL-EPV8TkI?W%)BNA76rx zR?aZ~9T#1KGX&$2m(^KtdDJwm$ZYEhe=}=NTPxC^(mti#fbO81wzn?Lwwf*;WL@b` z&#)pVSu^~p?Njot*h#&b4z_0dTXtw^#rnauBHN~BrM9<bx1HO#z16?1mFZ8h2K2Q$ z_}g1s7y7MQBdnaZjT^P&-#HD>Y1gQ6qb44&fj(-ODnIKskD1#lwS!fZjqk-;3I53$ zDXA&BDN}M&+9Ots*$OMFuQkhGFnbE(cm4pYps$tgw+8z0n{I7G81W@mx3-mp9yQF= z22E1@xmIoel%A`sQEgXE$+43AT7}tGjkf9=w3tolKk@P&SGG5%XLP`2$-ya>N}rGk zEvi&nnxW(IoR29UJ7Mzdj+H+<Gh}qn{QRNDWz!~1o-}!4vFkK8S6JNFpegBOs=ysl z<7SqWOe~%>^-A>#o1(lKB}P$?$t8m)&Kf#)+|-F`Kd9P!`l~j+PDZ48>U*$JH1vwe z6DCZZ*kka-u~Q8vH!5#|EgDih{x`lgu2Lozb$3!!G;n4~dzA&_CYRu|uoH`CjGd}d ze};8EI6+qA<BPb{ijAJrCQj;}?m&B^=)B9@hfcHOtHKi}^)RN*EIH390m(18N;e!S z2uK})A52$+t1#tu0G7;*qN%vppqP25qNOCK8;o~)aK~;iX=>$7=WchEyDlMGM(Ff> zQBUyXzVhH^-x3rKgBd(P*CI!34=2mcSYIYz&a87jeWX&~JyfarIV2q?RfV!p<*`P3 zddt>M$(Cs1_@j<VFe0e086A16x_W?A`gE=OU9Aenps{!FJ?5)ZE50gv#QB%{u8O!i z{F<<3-sRS{p6kr+afQyCR=eY?<c#jdIB#t2j<2HbaY;+VuJT@OUE{gY%!~8?x;00s zi@F-M4PGu@wUVm!$e^u$HT?ds8u+(bw`?I@3YN3(i1dP)Y<BmlMuIrv7}c+KHy)JR z9p@lR&){w}c#$rC7CKgGpt%;pFeuLlJqOwq9b+ft&BL64R@!bB7;brmkQWajlt(#Q z-qkQ`KQJ13uw3I}Xd}DV+Dvz!Lij%@M3lB$1==0%?8fL6avB4CEae2leE??d2S(!q z%r7v#T4}rcz;MfZrkP>*!K1ubXq}E{Vb*?NG{R~)?r5gcc2B|VmiH0l>3oKlpuAl! zKQJ0iVflA0#AUY=X16?FbElWg_~~^<=J!Y9N2jq4EHA*^kyaE<{h6S4Hx=FV>DrOl z(H-j=yccP!eQ<ZC^;Zg|d##eO$X2Qepf18wFuT*?M>=%Au)nVJnQ3xG+gJ^G+^?vW zHWHn#yJNGz9>!!~0@MJL^5UTxrd!_n6vJR$(@M+Jv_?1ow^)B=TtZ6cio@X@2bJwz z4;-BEJI9sn`NW14rrSdVOqLp(g;<t)GTbz4c1WFmKO##Zq<9AcW{q5NV2Op4rE=U& zbL<yV7FJ&AgL%q#BG+?U3e9(40@B}2XujhTklwyPt(f-lngEhsT7|CENvU+-LX~%& zs}~jQin%*0XYWP-Vt0uSs&+nEAEWTFwkIMJ`Vg@=K9mT{*~I$TLknyOWXW<382^R` z#lVsv;xSOj=bq>7n-Y-`ZG@jLm?PLrkoide9P<)69YCBcc)8$Q!BRoa2h-gu!FvTC z6x<^CwBUBZmjz!J{7~>a!Els6<*HRKV5-nu?;yX2pxR>$daTg11l9c!;I9%|?P&-7 zxX>>M?iT!1@T8yzjRwPsB;qmSngg*W5syWEv2QALE1^3It?rG0|DHmtH9ydUg&r>M zCkoCLeyPyc3eD9!#^Vm5xynU)qtFiv{jA^);lC#Ihl2Zs&$SBr|C`X?3jI%^xy(uX zSR&GyAash*O@wYujKJP@!46{IP3-#!JwWIYLSG_uq0pBJJzMDcLN62g2BFsqJ|O(f zLO(6^Hlbe?dY90D7J9GHUkQCg=pO{b(9|-$QADJ_meBQtZYp#ep*s-q(%>CS#I9mL zKyWY-az+Y0R_IHGE)tsO!|8ve;AX*B1wRoyE|`ouNV#1FM+xGwsk9efwF|Ig8_0T_ zEQr^dqR$mf7t9jO7VIxrAgI~}$hlZ(E^bopG{ISda|Ev!Tp@V7;2Ob)1s@Z9UhoA$ z_Gc)6m*5A29}Dgm{9N#);P--jiO|1SFj}yNAeT{T&!tMDYFB`nLUTho`Kp}(_7i%b zAlFuDKTeR#f21!LRA+2JFA<t+tF*sSP@i#7_uGK~knkT7d{OWfK`z14|Hpz{gC%`J zkgJrWPYJ5_3eTr%uYh&=d;`@P8=z{pfGvfu&enj=5xSS)d4j6lg8eX|3kA7KPPsD# z)x9^MRXYY;D*WpNReJ{hdZ9N6ZW4S{kn6yd`?BCJ!QFyf7pDD}g2x28CxLt}3=_iz zxiCz+zTi26%>>UCOc%@*%oFS<I8acvXOK5iXf7;M{xrc^f^!79&`f*Po&j$a`VPT| z1s@Z9Mo_hDaQ~9fs(l0fw$SehekQ2eH`pH&`tO2Vs%H2Rf^mWgf?Tv_JJejTouF># zRQm?|fbhAbP4`0tFA^LjI8ku2pla{nzC>uQfz$srf;S4@EO>|DU4k0~Hwr!~_=F%A z(J7B>;KVlt-x2&saIfHJf?o-${uBm=mD)?>m!Itk<WceYf^&uJNi#oHI}23);Ep|7 zx<4WAX7YK2{p&<+k4~~~k5zjMI#=XPAc8I=!hNXAiKC0v*o)Ubtz%l6pX!(Q;w@`~ zKrlq@sGtSrI-I?DOSde|H&>c#WA7N#a5<Lpqw=EjVh)!YxqpAL^nSy0tLN^$<=&!K z4ja+;<a-;vaoFpNSzWtuBX^`7e$9COn^Irzitz6z7QR<*tZ!Nobw{s?dMBG#)E@rY zH*a^^SDv=wpNS8adkY>c4<F;r_LqA{UXa{3|B4>o_M?)mMk9Oq%RTdAPQ*kM?|$Zl zQKRYZ;pN_#c7?eW5kI_N?k)YW+*r|~BJ!a=6?Mz~6?IAn9@?Mv`ZsTP*?9t0JNw>p z@A`Yo!%Le$Mw4>yW^a3M*O9&A@?!I1PQ*u?xBJcV7<+F%C4NwDl;&3WDj;(OWUg;n z5%t`874^RNS0t~n6W@SD`-I`6yxoxI-3*D>Ur=tA!f$l=VKefwWXgtwABL8D|1@hv z>x%G)`c>4ffd4J8Br-;?z`r;9!&1udW@;JqHKAAJVKY27@7Z_uAwHhFwqeKJwsKEe z%-Vg3&CYt`>ZX>r#6FTCrQpKG@Q*KDmh3O}%I-Lp!ROechW&s8tvT0BzGjQrn!Dt} zZmx}8a&rnhFMBSyOU^j{r&7I7E^IA!%B^zt#6?inP2CGUlY32msWANTBD@NW<10!F z%Ds0WZ?;$~%o9K5tTFbLC)shwP2YJH(LW4B+G`g2E6j&lR@DFgwG&2U_lo#C1|Ir4 zec+)zU0y$A=3003hnu6xzDDmRr#FZ>;SJx8GMu1FvhnWSC_l(v(WfHvhe74uUGE~C zHWkqiwLn>-{Cxc?Qm&_@frpM{d$V3Y6w}w6p3hRu*nYx{YmiqjFR*)C{`!2#S;06m zPLMM%sjzv4SH~$jucFS=rxKkQd3(eEyuXb=s?Ix_>^W>j#vxUC6%iHix9Qyxttvdv zrB>AW_76RJRMabeAHF{6`o<yUYpyrTo32BSJZyPhdgl-MdHK&R4_n=N*_=Y|6C6Ls zY`yT?iykHChvm-|syrR&>?U+LbQSoD`!<cki^7bS^LIzC#U9i<_+*^laD(ByBmB4- zab87K1!8y|^22UX5%XM2)I;WO|BB?bj1lwWjYBd0yO(?4s9!gsuD6>v4VQhj9k%<= zk9~kVg*26?kg=;yVVjDGV2<{#NV$eN@l#^`y00IK=$%j(GUj#K|Fga#;p=wz%Kj;F z7yQu2kaDk$cwbq+t_M%MnbW%><_CnfCgH-C6`qHhSEPKm5II=4m|?xE!t(Thzt+CP zUXK%7GiS#;dLQe8D70;=&GBLr5XSo)j6DNJAzN3M!rsc6AT4fgvh?0c_B1R`Q(Bz{ z;1}C0*{85Jl9NF`wpnuK(Bh{_tbx<X@rI#(8`k~g6f9Akh(&ONy_J6;<%?(t2<@%p z>;v{zhQU4dR;sa-&#StsUhfDpecpP2u$$;>yx9W~whj#3vNj23y|<Es_lS7!%g)}) zMiA<KHG)hJ_Es)NqP#nUdn-9m^u89{TbWGT*CP%n$6g=1&E7YHdn@y4yGumH_`am% zH-*IB$_mPQE8-}FPw`&t?5!-KpG$nRC3t}09VMjK7eNuDgL^BRQNozu-pZ#*jupo- zzC|R*$!5xUUrqWQFJzLBLsjpDs(ULr5cN)U_EvI>ou`dQU8ZMcf{0R|2WaDA<Y30< z0UUGmTtwQ_R`HF<BlH$0-sS|o#ksr%%^m2H;v5n2B0_bKJBo<Mf+9M)L^x*0TZVX3 zquScyjYDQ9!nIxAf@Xy5m>m(xB0?Q14;K*}Jv$=8T_PN_<IN}DzCqr4^2FQaL2r33 zZ$UFccFc~5d`Co#$uWhw5kJl_gJ|;mn6Vu6m=7KR&7MFkE2#MpGmWFV*jq?$qV1z3 z-$JsTjjg*u#$AgaXJadNvc?S~^@yrlE4?+alXVNt>;%PU(BESmzp<Xg*9wvhJ)W6q zKF&Y}k&FwHr6f7(a5lC+O7d1XbI5l<)?_1+4;GdA!8|k`2@Szw87Cyb&>A|i1uT;| zJJS~$o><)qV4yblKTA(z!MX%G;R5^=(ZEp;ZwE+ZDv~aPX*)E0v)1teUJq@4#SN4R ztHF8)I^lZ!Fgb}kVVT5%O(T>SrV?1sM!;|!+B}}{iP$8(4MTf4Nq7l{u`nbiBkD<C zfhtu#u^SUjC5|YYrWuYNY8_QzgUthA)={N3L_I@wR8b9e_^O;PaLlTZhB;<cM8lQ) zWE9Ya$`q|i=OUBE6RnCy%&J^2Rz9Oup@><P$Vi8;ibKqqh_HEy;@6Gt#7M7q0%%DK zF{g#h=sBCCkWh0nl8osr{N6&_LLcVlv2S(?4K_GVCB2kMPDXaeswAmxv<pDScV$qQ z&w%5tAZxN(_XR5#^30MS(eX~03D!Oq)MOa2Ytl%#1V8*fQ^FwpjHaO%er}_I+gjg* zP8<ONNqA)&$Dot0!%r*<AnA4(y3lYR43lVh6o#v4cn*dQG`tGK%QU<P!$}zGv!YH# zthlq^Twpe1v{+LkX<$uFfgy1<gJez30R>;IsU<Mj^mVN{k&ana2hqT)I)MgO)vI7g zJV@`Xs`rC}ca|V$W8#74P39Up=4{?GG;mn_5q)LBHmM<~9~@t7nHIy>82Y-^+(XA) zAF7WWPB;&SY#P`ej)Wm`8NIXW&Ibj03+eqx_X^NS<uGia;Rp-|lnW!p4|gf3)-^#l za5guma1_MGtxb^EfSa3<`V_VU0XXmOSXnD^(yk$AP0VrN4tH@I{SjO;MJisym&4lc z>|wr=#xZcwn016I4upjvmdV(tl5YY^)rC<suy$Ne1MADfFr330gx2mHRw-;mSM}p9 zSg87Oj0V<^`Vgn;M{gQfKMHAJ{a8)|>&JsMuztJ-gQ_2X#OOFPeSw+JKv_Tb!BW+a z<1i$$OQ7mUeJ)@+^@Hs;rz%iCuA(p2kG8N@^`kottRI)bkjNQVRX?tGyt96!!TSn& zzsY=#-dR7^(HHAS8GUgcLe-B$jxW}aEcn_FME&@IzF0q^V;B|;ZD?Tq=m$fh4-cNI zA6I|^okp7V<66+FT0BGpD+*7bV$fp_r(|p&XQ8Ja04tS^aL5EFUxL9+=q&7zMaX0{ zRb4XfFb^?P*>0zPg&%nSpWI<y^#eNGTF#x@>H|8_p<Uf*65ac)YnlmWuKs{dtZV-{ zT9h~!i9DzQA+EjP@gmdxd7Z)L1>qy2FLZrg2V!s=>IHx#{Y{-(yyR57#QJD3I@q}G zS6=H#z$)&^609QXa)z~@BuzrD?~)hBedQ^7^ErtIu3hR4tL##5q#fd+b#|#YZi~28 z%9C^S9jr})@eFQYPjxo1H?3@=${yWN;F<O;t2tO>J|BvpiiZiiQwg6d<48g=(#k!v z#0}8S=4F~F!&IwhaONoNC;)2^fvbDi%1Y>~j29B<57LH){IjYCRc)ALw<@Kdz6m~A zIT%9F4nq5xIpKdbw8uzarvsoFETtF$24@-<5+*>08CM#Cra>1Yz#6GsTtMJE#Z>Er zFgT0od_WOH0)vX+HvQ?wIl=H;yP6rvQfTa@CwvG^=R+zx9|E>gbUp&wGUj=M;uPW7 zqe{V$1&u-?Fb&wG9&!vmSW0!l?<8o`7)F>4&F#~~)zIM*Z5WlJb0^)y33P%z(_UqV zA6Ld{7DJM}bhFliz;0>6255%E^tzplP);r&6hV8C0wSHmj8~VVbP1ub+$>iB8^Ny{ z0DYY$06KREKxbp1P2&RmDn-^=a&0rLs^%J<GrLel2z27kHS(&|AaE)@l2OXb{8S?Q zg*ZlF!x&P|15rS5Jy1PBI?o|Z=R>3D3FXQ-lu)9c&JhGkuClAVo^m*d&`22z2-(Uw zjG%)Uw2r1cWig0QqKv}`?3yqdgVxdHgfa~Lph|`b4+Mcy_}~-s9X5fU$c9lV7Qd5- z;h{u~3?+hVb4syFPJqV4MWAC8ZjAQiF=ofmms*<wAJ$6In_7o*g{gSpqaxf15K&1k zQAsXQNlFfW=<RN3B#<E9;$7b2UEbp1L@9ck1&wBsAl|$#Z(f%-ukwQ*dOKs*YX>ZT zm)wIO`DkftK4MN~yohikbQl<D`)J$-?K+&Z0OVrDx{z=+v=<F!qjfYr<5=KVsVdnZ z;Z{m6b5$}|tSe=rD_IQKsZow_r*e-_{tF0nYZ*n}bu=&`I0(`|yoop1f-^d+q1~0L z5VRBPi(yiVECz<wg+SXdM-og*xiU<%beOK3BI|BwHm<}S&}fr+iqBDWa5FR>P=aHR zN5-*r(!dgM$z-zV&NMD2?1YBMq@YOJyW&p!DsiXn8OslRrL+U5RCO7<np!$=MSB-W zi%+`a-3kA05IWtC16R7wP*W&xEi|%%@K<P@EaGh<j-r=qq18LN**Y3mLE}LoI7NiF zb+ceu)5FhKWH>;5Vl<sId>jW=J(SY|_J|T;C^Tx<ymd4%x*%q+qk$fs78gWNrND&f z5ggaE%u239-&drU0_A!zL5r(=SnGV{U<g4w2z73J;GQWwu$4;1ZyGde4Ivjg%$Umu zoW??E*x*;Gj`+=jMu`)$p`EHgQ=YOIiC?9df3B!Aq0EU;)ak$#b?qP&bvkh6G6Pq+ z3{;9qOMpfjL16SPV`k`?rvLuXc&72|C}zb3XxDQ?7G)z*gu&1rh#}G?%nV4WRQyV2 z2}ex}o`J;-K_{2MOkjN{UJlI%g2I(*gWoaGrZIv*dwlzHHb5y#&V_cB0a;G5Ttp~_ zro>=>koIRu4&AzwLuanyWNMw_gh46oz~R!VlXt<c6&;L$mgw_E;*LJ8&yo{#S}7;! zE)Uu@H0T3zs>34i$;Ok9wp$dv<U+eDEE^zKW@({eILU_=fbuES6$T5y1I?ss#UTO} zdl^)Gs<g_7LBA~4!h8h!ICNXMY5yzS6~OOM=nc>tq06C9L37n7+a<5M?kH;nG-Yju zrYxja$;x%ftFAlB8VOBVTc9b+3r$&hE_u~;$Gn>WJr$ZA7Ol?4r@JEPXP~#yG5j$6 zs`*o0cO?*^-;_IHj)E+fFLyEJLu*xCclxbLS-YSqD?w!Sb;+x)yTy>f7u0U(_n~V; zMm^~M&;>4e)pbW%d~j}rUIqOT^yko&nF8&HPKBoI!O+8?FM`&}4?`+6%d8OkPH4Wt z--Z4VS}Q*c)p6Gb?$V&y(y=|-0L{9(7kWRmR@HS!SskG%i){*JZHC?t{W-K&)peHz z582SVytcr+75Yo)L(p1P*Ih0=^nqr1Err(Q!TP)%`WW<a=)Xh%1KO>At{pmsIY5u` z9h_J5fb41ag8uKGn612RM;(y;b>CQd#58eIwJi>*S}}gB#NW6{hBdyeb#Asb1ivNL z5Pq|GnZ-}AY?;qbusrU`wwkrI#_>ZeAJ1>wuTi@|hgRuU-?lg-%agM4ec|X6PqxcL z-=K#*^V^==A+ufkbFK6HS{eSv)_K|5gFA#u9vXFM*{(@D>yoxsv%c*hdut`xp~ygX zqYhb(a@#Q$8UA+Gd48)~-+qm{HENgEuMv*zVwaQ|Jg0jE^SccirKaRsb^KEb?zKi` zTYoeAW>|^Y*5Ub!5zMP9&3n{mXO@|5t=UY`bqkpVPpGfZe6*mgHKnap8z+rNw6nVR zwGt=6?s4_C8tttw4iZ=K_9DE&PrnsBNNjcYBgE6ZWuNX%h32QvQGKn}*^SfSX{m~b z4y%eMKf(=p>Q~|f*VejWLH4EntvY?J$-%S3`t0(bJ*E}iR^`DErsY$UY1Z=3o|ec- zNC?tjt%OMWBmFp+ZAG=kNoeGKb#g#Fjv8UL?kmY>8g=x}ko?L)^|iW>u)Z_eV&kT1 zI;TB(T$=meDjhb&Mg3<vChdIOM$SW@cE$q!f@h?0s8k(l4hcHzS!s17+W8g{PblNu zZ;#*Xc(mHvSM?lr@LVk-tWUcBJDs0C!zpQ38L5+^i1{xa_Eq0usC2q|^ync2`VYw) zfv*z{8E^p&!B2&s?Qr!O&sB@}s^_a&c<HWF*3J=C=JDkv9opl7@z0&8{)OYyD#-4L zu|B>0bLXg;L!m?eh=59X_dLUOi2BTj{o(esL)Dc(bH&QaZ(boM-O&jiraohZL*;g# zu9nZX>#Aq`zCQ7!_T!%IoHctKXFNZR?h|`=T5)95BQAAm<W;__Bd!Tw7Pj1bt#uvF zQTL3?^=n5!)w4eR+%|?wGp@X>Wb8O#NwI^4+Qc)`M%xKvOU4>)$IX~woOYx-_yiSC zoEowWR@V@#YO7f7E1~~gKRNYpb=G<_>VQ^WF`Ne^J6!1fAoM7jEbXor%vcu>s^kvN zR8L)Su+XjC`G6dGy5;fAQ~VjiWpCZZHdZ5`#Zh32(smpnxWmmwxP{oj!EhNK<40sK zUHgHN->@`(a51!Tbrp9>HPNGwp$|uCcN}@S!`;{g^Cq#seAe32&>1aNm3Lnic|Hs= z{NOPi5F1R#voPy8z-Y9Gyk)u&Ty{^v?2cax$jg;{LDGZrcDek(Xgqt4SdxI!VeN$3 zEw6B3_0C#9+srVoLm6vDdDNc?aui3^t5x;RT0aGMT^%p#SI3V+gJ#%#3wKLh?sOKN z0?(a}Qlx`%U_RG|*7XI&j;X1Tn%x@Z;0iz+iJp)%!-g;$%zEHydGRnaOt-w!_P@uo z))DH=M<}_dP%ia*I&01MqWi2h+cciF&TyrN<%a4JRHTc76xuDAS;trh)GQH}^{bA8 zL-%mI&swAVq538I#dp?D${8)(-DNtcbgo)NSAA2aAdXSV&g?MHTF*nIdG`8VA}TA- z<~0Peo&PP)SofqHJVXV8Tv{hRPVf(cC4yH9vYn>88wA<TlD=2)LBTD8PYZJ9h3;M! zd|mKEK{c-e`*5U_?rI5gri?UqnG!i`NaW63;#k31g8$Vs)+QPY%H=*?BKIN^FBhCE z$Zh-NKQ4Gs@T4HO+0wp^;5b3<cqjh~B3`^|GdXY}X}n0(nLOBY<0<LY;{INt9}rrd z$%Fk<Lfc~hve4=b9^`y2e0BB?bh*$!in|Cjs0=5D2>aSX*B82}(7Z#J_8o=pEObwy z`xB9l0wP{uqlM4o6O@0M@Mj6VhzR#r34fLF)!90@|D({nT9)#-la_MIi17E4@ZS~w zCxZKh|FzKH65+mF_!i76y@Is_u}e&a(?sYtM5MQiV7}mBL4C$~iqNwJuNPb`xIu7> z;5NaXg6|6+5IidQy`T>dJmXP=h~_I%unrOV(NeH25$>{t?k03^q5BIxOz6=>$Qw^Y z{uT>=uHaI^8w76?Tqn4J2!9U?{ghyt;7-AJ1osGjCU``UdyyHB?}?Dd?Z-@4f?ypY z_<o^V6QSD)KOp=*g4~Eq|HH(7w9r$C(3cB;f$*;pyk7XX3ca2Py+Qa}h5x+ZD}rx` z{rf_HBJ}4%9~JzE;6I7b3F!0^&lT(;I6`m<5uK821g|3^U3UoHE4WE;tKgf09}0dd zcu??!;17b_Y)rW^g0+ayb&1ILmcnl@m?`{Rq5Bb`2MT|*@CyZ}3(gYzg+lY&sq}ZF z@b4D>1A?1{|D@115qgL4-xvNq!7l|5i~YAk^V_RThc^m{bjAwS7HmkwbJJaLf*@W? zs@*`dp^!riwj=CQ5b>&3$oDqsHiBt_nS$&K)4qpbzTo+Sg9SP6qPsDI(*$P-&JkQ7 zc$MIC!4-n)eGEBkg<dcCsNfTV&kCydGTiSL`hCGq1V0r#A$U^oM?p-Ft9bJq4dbug z+d!^#k@gF!_c!RX-DRNO=diy(+>aC-BY2tMbiq==rGnQ9-XyqIaJ?YU>M%Y}2yPR! z1>X_;Kya_%e!;H=^&JPNgy!Iaaw7zz1rr792&(=B+_e*$XI$t{-EjcqNg&ea3-as_ zX>Oh;@(2*IL{RlZK=X4B<nv?@@pi$x1@9GnNbnKC?Sd}~^0W~Be;~M5aK9jT=F`4h z@Fziw{Rr@*1#1YZ{tx&~gyxwdy2}t$r`JGt7n<AjX+J=a=Ql`C6r3uk`cL4O3cXZN z^_S3|-cB00PVhd#O@faKs{Rt(?GXA6L4Ak8heGcW{9N#$;NJwl5ma}!K;A!v4r6}< zbfh5936V|_Y$DiPu&rQwLDj#4`))$_7VIZDSa6u&C4yrG)txTzKTT*JOk((p1(yrn zAb6{wy5j)u)(frfH~_8sU%+RCulix2RX+^)y71o;+$VTI@SxxkLDesVKh-Y-auSO1 z^9u4%6zOC^o{b`{`enclLT3sF1bYhh6C5bWBUSW2UQqShKwmDj>c4@WBlKcHe&dV& zcoK_vr{Fz;_X|EO_?Y0I1fLh=0WSJ~NAM%Ty@EW_Mf<-Cs{SA7ABE;gFWT1>OcqQL zY%bVZkO#u(K1Z;ZAiw2K{t&_8f;=}y{uIIKf-?nqoQ(F%1y=~J5>)*`*l!g2QNbq! zdH9U}UlZId_`cvi!2^Po`i1bP`h~z)Xv*Q~G@|Mk0-Fo1`-eQQM*ALus$U561w!+j z8tpk3Nh}g95#;eT@|O#)5L_k518uZ_P>?6sNN*E-N$^!c9&DriUct`<zY^q8H`?p| zVHo>opd$r&){S%{!Ipx$|Hvb7w9ggnE!a<xC*x>8MvzAtNlz8zSvk^+1bJ4D^eVwM zg6jl%gpT&A-w7-e`X#~F1>X`pAozvgalvl|RX-GcwFvgtplb*w3i22n`OO8}3aWl7 z%w2^J2=)=|FUZq#^gm8;vfyQcs(%Xe9HDv0j_y|o-Y&RCkZ12`|Cr!2g4+an1dsOb z3Vtl8`l~SWWFGDRF8ICRkAnDWma>l#<N-dqZzR}Eu$5p(!7M?Z^P~HIf`bKz3G(<K z?WYRP5WGT=rvhnzgWxK`)q*@MNc)Ecw+cQZ$TNhre^Zdh21y?f<mo}u-wIX;{v;TI zqkXiG7UcOt(v1XpppbNXL7pol-Ak~)V1eKW!I6SIen|Jz1!oJ+6XbzJ+OH7g2}IKO z2|gtFh#(Ip(*7mE*9G4a{7`U@AdfE6{V~Clg5L}B>>}-J2-X#BAjl()v`-Vv6znR< zla90>C^$^;BEfNjlLUDdlJ4gU@+>6j8wGC_yhD&jBx(PM;GYDa7v#xF+V2+pMDSBV zH1h_(Us!28g?7YGKKxO!|A+2^LNpT!;a#Ejmn3x6@mLGtw-HPe>?oKem@Swqm?u~u zI8<<iVAXNj1mPD7P8FOjI8U%taH-%j!RrNA2(A)bFStQ)qu^%2ErMGGpAp<9xKnVK z;BLY91wRtpE4W|qbHU?+-wKuso)R=<{KK80EGM5}j9{{0ilARm&F8{>3!&QxrU`Zw z%q5~e<O%i>>@PS%aHQZE!3lyzg52>+IkN@l36=^j6<j8Gz2IuWwSwyfHwbPN+$^|7 zaI2s#xI=KK;4Z=4g6|7{B)C`bpx_a~<AUD`mJ6N|RO3*j)5~!gkSFYkNrK6ODT02% zRKXU4Z3NZ4H~eP{ohz6p*hjFxV1eLJ!7+jp1PcYH3aare<g4*4aJKO02`&@l1r|)l z3c*!^s|D8zt{2=OxJ7WQ;4^~T1Z}|`f;$Cw3GNnrU+^Qry@LA%KNmbsM7#B^V7cHa zL4)H$*n0(if-!<TNKg9|LBC+CU<*ODo&a~ewu0_E3g!yt3HA}}FIXTrRB(i#x+@TJ z3WZkVY|v_)4J;A<Y{7YgrGiTZR|u{WTrId(aJ}FL!Ht5O1)mYzCTI)p5Zo!aOK`W~ z`-1xgKNmbGctr5H;J1S1f~N#|DFE|Rt%CsLg-#Mw<9G1Y_#NmMeySiZ5m4nJm?fAk zm@Akk*hf&Un?RmgHvtY6{s_U5f@&QF?dDX{z#<}_AHmt;Zl0i*?E>8C_90%<nZ))x zF*JXfEp#ps@+Jta-e-tUyAB;P{4SN=A)|d}r;h1qnP>j)Zf1Ii%=XItFW>cdDh83n z$iE|haqy^~QO78H(wpC~&#jNY_|5s@{;_)=_pVOqcTVw>Cyj+m4~{X$j~!FoVt0*? zK~MAV#_#C5yMJnW&)DKW`SQ&s>FR5$g^w3KUwZC0@8mZ9V$3~bjiyGc<GamseEEs_ zA$zmQXJd?}fBnkq-w*uDSMhLbG~I*y>r_-q)o(6-ZO^oC0@<cvhyCTt0It@tBM0mW z)QdLk8r$9sJfCRTwOhXs_^6>_H@b6cU`iXqZWFmNP?Bxf*|XOKPM&Yr1z+75I5ys} z3x_QZjGk-QSG_zl(EC=y-q>++;DN^sd-r`K0}FN=_CFH}0&kr#?2e0j23FNF?V=MI zf%m$a_SP}20?Q|uwz<1O;HjHTdsv`mVC3_r{p2Iw!0e-@-JoH4PORT!-*m&_oVpiy z?3f?_lC$=DkG*Q@yE(VN;;~!oeK{w}vh1Dx{*?1=cgrq$ZgbACC6?W`_4=H4uUhuW zJ8#J;jrH2EM_rTCXPDQ1WX_zNW%qdPdk$Teg9O|6T{Jf5@<5pV<m*Fol5P&OKkCva zC;h81J9<;soL6$f?SlI4a^71VZa;9{IXS~lhTAny)yf$>G{U}NYGlriCnM|z`%ZOx zq^{4l2ORHKqts{5-u_v)g9m+fuXca#mNhieZodBYZa=*cX*aC7y<4~TQFfcfk9GTI zLzF$}n+@Ik^`h+?CamtZV`a2`;DhVB?T?PJ2lQUpt$10C{q8fx-R4Ea+C{A<b^CEy ztleVmh22iZ#M$4+4(K-FmN@&%g}L4Orqr;Hp6JkR;{!G9_zBIs&Fd6z7kpT++p90f z+nf5tb-Q*%O*{2Dv)fBYYueA9dosJ^sswx5`h(eP8YS9268C0z-j-;0y!!3z^Dj=a zdwu_6_MTHo_7zi~%HFrWmc8@S2eXIwu5EW6a#wcm<F)O#Us;j;$eKF#Rb7^5Uw>Y* zJ?fDwvJZTpY+rcJrP-S|*R^NgHY)qr*n0M}QG>G|s9WD|ys%gHhws(5H-D3rJ#R&d zeMw=P>^lZFusiN+l-;^!LpyzNVs@tw8rnl&4bOgZO(T0<_77b*P4L?Zk00%toYvUh z-26b-iXR)>MR&d5b?95?*dr5nc1^vfi9KWab6uy*O0}Q(>5;C@&u?lsoON&43tKd^ zw;s8*t0%0vJ#+l>u8lu$ZjawHuj^AUx3K3AD(d>egDveHJI8nJv7(jTId^#1&R4d! zKY6-u*FR2ZV{dMk({=gabM4I!q<8&mkGA&SMoqgu)~TJ{b#-#rldaP1H{xTuZaF92 zzJB>nUG}H6w-<Q6>2h`54)(oszwB~va!0%T+mE|+sMpC}borZIx-`tNyB)N<Je8Vh zpF3`AmyPFU*@O2!&?UZeXM5AIHC>M8cd<Lab7Pml@UHfc{T6qLn38RmzcRB+-okEn z%N~=v{NuJ9d*$;ZyEJ$#V5fC1=<@8Fxwh}go?Uhw>2B9e&+IZJuBScj;Z|J+w$HP_ zXxXrfJ))O=-ydss`QxH|`_3j|UCf7j+i$Kf@BGf5K6clJM>^Mz?rVR!=F`q^ck5^G zs{3B&e-`(*kKg`E=X)L+U=OPOZ0Bb_Ki@vS>fz448W-4aCf?Jz*O)=}p_^BAe(s*Z zcCUnGo$vo*h<#w?+|E{;3+!z*r*;0YWSIT&%5j|=*u(A2gkhaOioMYO^UddVju>^3 z{Y+xF&YQMeZ0}o@*7>EdOYB~?Q#+r3$tZ+Zr}OzwkGA*Mjqdzn;#j-Z+J9y}R6NeU zvEkpd!rmWm54h)xtoJh~+JjR+&Pu*}l706ByRzO2FSOHJ+gaaFpKQlG`b5_4pH8vc zc6cCb<G`u*9Z%nx)%L~9>;c&~W;M&4X7_txQP$0m6xlcD&&-<MqS#K|RhaeCh8eaw zcx2Y{CNu4p9}UcUal<V8u2DU+E^jg0zT}IHtm_}S(w;K4RaV2yx%SK7G{|c5;ynAZ zxiz!a3|wGOu)?yg`gEcF;C1Dhf1SR_&Ph6w`FQve`<%Nz&HVPRrS|Y<?`1xid9}Uw z(N{8ezjuxOMCWHSrxY)@KY8il%vp)o*%zFDPv*&|uD8$mcva>XBX6{OOjwrLCv1iN z<lp9IHrTS#o<Db5=7dXbvG0f&pV=VxRy%6du*^PXx7oY>eKS9wvD!ZRaJS5FTHR@n z>6)H7<MTCktJhL9C$7KC?l(L+bLXga_Kq*2Gw*72k9}LoPZ^*6^<LYyzR6g#af6+A z%a<8DivDOfZn7t1RM(C6g-^VhF~#?geWd3L8DIW+ll}4gTQlB&@L{{jq>ULJ7CdUd z_T8Ec&jpX!Z!Etlqet5(?A9quGQNp?(mwdetc+R*pR%nUQ!*ad`HVgPgG(|V+VreF zZ1SLt1}nGOH~pBGVP3i2ZgF!~#s%YTyK(b28FdR@wC8SXlrbQ%!_FL>nDO_tSL~q& z!!yF0?zG=u@I$9->%VS4UF%q<g-N^YA2%Q9^jVF!><98b==4<VZu_adJ3IXl`>x$` z=C)1`$G>lT;<j{pyVi$x?gRIA8r$F_`-|M$JB@3;$3DL2+D`9w*lUlUxuDbIJ^o^M zi!bhE4&85;ZkpI>`yc*lx9)vmr^E9<w*#N`?{xKTU)s+v%<Z(|v4i&gDIGgSzJ194 z?oZ7-oj7*HesXyIPQ7dX&Hl^DxK7a-C+r!kJe_hb`Nl5k@Q;q4UVYO3?A@<B9((*d zdwcP|j+Y+z-u5NF({XshDcgQxN5?I_{%L<T^qG!V%r#zE@Q+O$H$UNdVd?619S0us zzVJhrTRM(z9r40}eOGtfHzo4_ac~aMk#5@-{>w<JQWe{%q~dgU+O}=mwrzKxwr$&m z*tYGCoxXG3H^v*|-FMIFP73>9YtHZ6s&4zXcM5)?qvATP?qpjnQS}X(*QwB7rm9*s zsgv10eU;o`Sf{VyhN{P3dUgslH&MNr?cJ%*3Nw`#&#{y9FAJ6Z3zJUU46IcrCg^nP zw!&7mytTt`ae%$*_x&2be|Ao)lOqfLxcgjGQS~W)n{!=NCvHdhO&IL1`aMkLck_j( zYGvIAzX?V@s`Iy>_-)$jr}7<f!;f9rSv9Khj9=@luBzPo2mIzncURSn-QwpxsF!MY z$8x`wKl-Q+znJZ3(51ghXU2Fxr*{KY67gWa``$xTr@nUcJMngy>i9AbzpPFpRRVK6 zznkAitJq<Neu4eRsdnuY`c03RpxWZu<~w!zWK~Uem9MH~s;cTjp6|sSGgK>wB>BeZ z%~q{w4fWmnXs)W_^)KJ!eHN%{7QXZ4r7cozH-F??wsooMQ1n&b?q(}gMn_Kh)_z~5 za_ha%*J$2am8O29?_J>rRo=^`zL(x@QXO49)3<K+R@FzFvA*9WJ5)<k2Kbi$+NHXG z!Qc1L`n{^fqm{l#6$eyrMK->**@skz0`z?YuOC(A?c@7$rkzlQ^=|QbY<gPNtF6N4 zL+V*o_is5quD36!)LRpL_=_*868%GbMtWUUZE5`B)7)}h_2BaxA4$k9)$FYge2!ne zt9sP!iqGnm4^+EaPxyT8_gIztbC1tTvuCQry&HTE*S=6q8@R+r5dB)UN;t#k@2htz zdBkX+K4(9whMe#3Q@`PhN;0*Jk9_)f)naQmpNsu}sm2vp`Rw*ksiI!UeIm`Zs_czC zpM&Bc)!|;v-gnwURKNKZ-uBhus#DR~-s0jYRrR%a@2NSls?tTl-ntnHs_i~Myq(gL zRd?E7d*4q>Q_Tv!?|mdQQ}yohW$);`9M$bbC%ku;<*OV!?eRWWU!>~K+2Ac=m#JD} z7kd}TDpe!zPxp4QuU2*4INCeBbDiqXp#I*8BN|n?CSAM-Eo@QUEp_!a+0(9S{b}Vr z`Uaz}Jty}r{?1V!S<Le;%;2lP^=S4Q$`-4S%PYJjt`c=~QMOl?@iMjWcf6N+mx21@ zrC_hO&yCfbH9x#K>1OJhA#c3CNUhZS>>hYU53p6gYrX6>VuyqJP~r(M#Ybm#%iBF( zOR8Pf`lmN|iM&13k;|8ON!EF*J%-Nk+VH_o?d?3qt4B*0buxE=*P{O2)z0}{ysA(3 zQk!YryfRbzs^2}Z_HuU}sIEDr@0GrNh&p8n->V{WxO&O(7SGvEqt!P(Dm{I6k5liI z=Xx$mnW*MABzQJ=nX2xb7UEfXX@**+`sq2KdA9n}<F}qov*xP<Pe1gO1}swF-F(&a zfafx`>zq@bQ?IR5&m6kX^R#fSx~K0ZPy1~f)FGD3JdMjYtGU8ip3CQLS69@I^|Vac zr9PNF(9?6mK6O|`SI^r~2i2<Y?w+ehA5|}TX6tz)>V*2|RYOnL31`&WV?s~El=JGH z+uJ;5&AX&7T=Ca~SAJE^nVs(;-gZ+RJ2u&4mGG{5;=phZ&ub6V$GiUV80Gmyz0u=? zM~L>h+Q8w7$Gusv)l1B7cqBKyQ?Hes^;mi7liEvo&|_woZ|aB4R*$F2Kh-hKD?G;U zQmH@I&heP$7@!_kIl<$5M6mi{$q<ii+rrgv3wn55bct4P$@TIOC&#H9vm87!jwh*o zGEF?hebdxV89E*p8#C4M>HplfzRgwl$*6VzvZ_$MIJ3xof_tfYcy^lmu!;(GX>OGJ z)i>4Zp@kavft%~q3rat`5AWTq?p5{N{i(2Bomh9<eL(`F@oc@|zU2W|Gl+fEy<n42 zV<q0{{&JYE=A-^v_edL=MrygxeQ1+`#?^VMyJeh-hVL`deb^fd&BLC3-J{OfXoSN$ zxxZTHpz)Zja4(qTqA^)$>AtC_QlnijbD!<xrSad-b-yL^)2un)r1bCTqFH&rT<KET zUE}pJTe&v7x8_?=yi%6XU!#*2tQ3R~);LuCP)-gQuHkauD%<{y*1R-(sBHc<USs2Z zRXOVSWX+5rr<9GV>6*E7_9>eJXKTE+Zc>hooUaKzw@lfdv{>WyVwRGXzg#mnXq<9J z&1%i8yg^DaXM@It>8{i>-lF+s<*8h*+@Z1WX|FUJutzg-hOyFm)&b4L9b)CyZAUbY zH#*#0uAI<le%83TeLbV`$u4x;pMF8JmXqe@#lE81;}YfO<#t0eccjMc;KVx`{q>*S z-1j}uT)OhyP4VW5rsDT)x2-uZG$zFt+$@aVY7FH^-Q*)aY6`mVben$QtLFHkwQdbR ze`<K=7P=L*s5BFQPIYtc9;n$?I@0aij!?};(|&Guen)Bs59#cd%!|`hZFY5=KRQXX z_OX>)@2hE=;AFYm%EBy7vzYHz+Bsjt>D}V`{YbH<a9yQqdRn>W{-a#i(Z1E19_fj$ zvJ>^1m-=C@j)l#dmSMkLj}GY2IP8D#+VehJtN8xdwJ%Sg71dvNy|_$A8{~D?)jwKK zyI{pZS7kRtZN}rRuB#rHYC9LKbp0o{(ynxv=bF9GPP>2pBv)CTlXmO9VXkMEx@kw} z^>Uq=<*DU4`MPeI>ZiT2#Mw0`&R=W%+}!o*xSrZ|RZ`bmF@3eKJ8@iVCJfR>ZEsYZ zPadX?Q<W+9&Ka%!CdyX)C?2mpJU(7AaN`uM|BYaU8GEKSqVR{J$N9P1p}ubwPaPL& zpYDFBSnze3wmR&pV%gZ$T3hqeiXSEGwOy9&S4=;&Mce7?X2n2<omzA83dJ_fUTw*Y zIf~{*hqQNJO;BjX$F&34LltRnPivzl_f+(paX~xig}0)Je?|M4?WD-~a6?-+%}imr z=&sh|oka1-<dJr~4qLH3{F!#)q6U}C2VQFz{3&&5@A*MH)-ucGWz!d}a$B6sqfbAz zb%{YP#hcaImz{sOtnU`2o%G)umq8t2+KT!IE^`B-wVS70b<vzo&{lmt<+5gas&<;y zewX=*EbXU#n_X@+<!QM^%U!I)inW7A&2cHZU7_9fVS-EJ<{Ir?%b_l#$2Mwz9O~&( zu58nWS9!Zci&z0M)16#eOLzfcfo3i%!o>mK{iH5-Z}kH1-etR3T`>&UEo*e1v(GGG z{N6I>!j;wmR@GU~@1{Eh<jjwE)(lq!+(-y^HtppRFmBjS=l4Fo0snr!bv~!?4|wGF z$oYd^&w!!Nt~py+^$RGlJL4Q^J~&|KwFAx{%tr*s47WNLSdI<2eR`$ybh}9bZsK{) z`ikiR?+;IMmiWvG=*k`LJiO<^fFJvNJBJQi7SNmR=X`S7>VRMSU7XLZ*bvZ*Yw4W0 zXKTQZ!!qYtmv#m85c8a!U+)k2e!AJoC-g`_55r2Qokb@Deq76S5(>@-^tDfNN>E%5 z2zVCelsEE5z^G1toV+*O4M_g=!RgoaM*&NQKXp18_&lIF@ut(&+BX4b7oK-2wEq;~ zUVGSS{lxD95&L&I^*Z_|V57lWry;)r0_+|xbUND*8j#>W&B@d!I^ay$D5s272?68g z_IJv8ks4sp(8bBVGAp3+q|)iGPeDM4qpj2IEu{f3e;PVX{aqDseTvBGjHo`~Vokdv zXL3uxrPDQz?;rdNc%UqDyi?B&{27ww7&25Gn7cIE(esvGprI(hF{<7$aQw5cjxWZT z2i_d{%2E5=Ca|IEo};PQDR9=s%Z`thy9LH|JL$M4!Ygn}_Fl&gzMTWhj%;##d$oJu z8SmweuAIJs15#%@rmY?nC^$61F(`d_V21Zl$BL0-1GO1F9Y_A26!`0ek7K^yjKGlY z&W<0S%?&IrHFx~wusG2ChMptq_KLu{qq&YdEY}5oV>LT?-q;+d_*CIwYP~b?#fn^q z?)UZu4zf>jxTrW1SeFv!;Q01r;Meni9Ljr~5BzWBM~AZD%YjD)&m3&0-VD5;x#e)8 z<X+&Xy%!ujc0CEK>3!6JYw#*?NXJeG{parkKmJ<hFmce=z@B>-J4EFC3e4(1!(sbg zZQynOScjQ*p@AF120E<QMg=Z8*UjPAvV_3RQ#~De$<qSw**ZA<{gxeAR$}6iw74*E z+y`BU8pHCyggq>Wz5&&NTShk6V;BtdH!ri_<lY`=Qj%pqGmjl){59Tw(+y!z=i?#v zf2K%-HqQKI-`mJADBkP6eMP)k&}8lt`^ZZ+K}{()?6apk1^s+;&fdXJ8T8=jVf)J^ z-a)VD?Xd6pp-WJDkG1yleLaIbO%~Z3kL@3Hzjm7aNV}myy<$e&zpWb;)b@IS{ov3E zK{;o-+KcZ^3o2XdVc)T1PLSmUdwbidi-I<GF|l9QWkpb}xvu?R<8?t-8J7Lc=FLG% zi|XyRW$p}`6IE)rKVW~*o-bK;Utb*!3ceR_XLap#P><6gcCU_H3`*Yq%WmbCYe9FG zzPFpQ>`u^yDNpQH&w3Q}cF0Y;w-a6jwRSykXFKv;(0b(&yKjTP1R2@vwA(k}S5Se$ zI=l7#wLy6>-p>pO4U(~D*d-2*4qDzk#%{>S#Gu-mfp&Ql(t}=9bhCRlD>vxB5>LBp z%Zh_u7CP9y-%=UWkZ)?&aHKA1ZN9{A{<W4M%L2At<0~e(xv<gpV*o!`R9bF(JySP$ zL}iZc(`Nl(Rc)eema$pz@|G~$UR`X0hp<()nki1fQ*}PsuHB&wzGd{>cEDYqU~{`W zw!K6AgTp-jvz=4hEBIZH<F=1%1_nnB-(zbydU&wojE%OBcaIHzvvQg3+_#g1H}08j z+q-aP@R|z~Z2Ma+2!8NnsO|E}%Yx;<d)a<Iw<h>YqOYwYYE$slDi_<I(w)H{L{_$2 z#_kUmJL%g_ym&PDdOv~f#MINlOXjrMY_<O{c<t_Lo8K$12Y<L#XruUgH@M56G@B2C zC&Bf((Khp^z6!492io{P{}Al%{@uob`5ydm{2QAtQ&hpTwm-C4@g^vE;{9tje{>>) zPeh!t>9Q<7nB9EPCL%aB_?y#qn}cpS!S5%mv6*qYD7a$(LYwh*6~W8iPqSG%vo6@B zV6@Gxz?NWz=|GzrUslM9k=<+--xY+^?(?*1luJW?eQ~gPa?mg&@~^4Q=4SH{dpD`g z{Izx=KNfOqR+PAeT))#~eSV>5$e)Y~>%^Q+Axg_UYyWvYLNaC~TYt#y7m{=%!g|!= zp&_POYHLpU=#abiU##OdObXes<fXN$eMZQsm-nngj?E9LuDfhqZn7-oR<BdmZco;P zTspGf`at*1A<3~@tm`9phAgsKWxapVfso#-=3BdV91EHMV~Tajm9rtSx}&VsoiB%+ zncd&|L;TH<t8e|Se{XsaQqA+Q&NF=;a%qOWwe_#JA;;gDSg&97B_v!dvCfeG4w<!( zZ9Vr}KuGW3jaDs7!b4VDR9HPXi3_RPnrpQoBqc<Zlw>t-S9VCge}vUwzoL+-SJhTy z%PK;w+df;ZeOMRLW$sI>hx1xP?giYls<L5+&UL?HH6~jay8hxRE6qK<(B$_0RwI@e zhn`=$)vCzDD)e6bDyu6E4x#-13#?|S+(Q4nnQG;G+9x#HcC?k%tgfM5&JVCM^XwB^ z$L(h2${HLh+~#RDB5`Es#!3gP-7hDEc3os<r9C`7bW(=Y%3}V!(9p?Ts{?(OhF*<n zwrsOr6RI9nWqFv{96B&8-_kaJXQ<uqRLjti1EJGGqbv`;Jsw&<BEWL=^>d+Vk>4y` zj$8?Kp76#}Z`1A2h~$Ts{6&vKW9MAA)SdD&v{Ug}OPdiNLTlF@w(QsMd#Jn}r*JoQ z=&57tEMNJBgf2B%V%g*s9eVH4Ov^EzNufRc$6LPl%na=kGQ`rsry%s)%wCpvI+urz zs`j;1^sEhCenesUV_-{YiM6%mj4`aRkk1B|yqUr<$#{|Fx8-_a?<+biw(T$u`+2<1 zV!&yuFr{m$h4FpIu(seVi@LAMFyG|~7OAnmVUfCF7NHg0!Xn@NvCxS7g>{<z$wKQo zG>p~$+#+K5n6OR{?^<N7nj986`m#mS`B`C6%_l9)zAX&v`e?sJzq}P;qKR8A)=D>o z_2;a%c-UimSi$=R7CFoJg;g(_X5nz-XxI|7F%~PL&V&t)9BA>CdpYdf!R{71J#U2# z?&)o@VAI2}#q~}Wzu&wFt9fl<VO#k=tZaqc;*iJpu(7TJi^|pNFuUS5^XczG!sb4y zF%NBw4wEb|Ht*FZIZWY^Y5x9bR@mF>ICEuuVc65pA?6S5D#PS^ew*8^s}Cz4^3nXZ zx-HC0|GBxfF*m#@<Bs{Q6*}R1&o7zVX!OIMZaZmy$I?9f!?6A4_FL`3eJr+`-%n74 z+f}YIckJvPeo(!@{NW}4@M%}3nLD-g4!^%<jQRaJgTv<z9b|4BG%EbOeGl{N-jl++ zc6gf`-k2FaG0oZhglJ)S!B<Q3e_L0CmtWO4Ut799eAy16dFHI`;j?FVm<@~D7ar2T z&g}K@W8p6prDpotv*CPMw%OV~SHe@863jw>+zxlj3p2Cs`8d2JQf0RK=c{m+AD_*> z^!*f`_3WitTfon7`Str|-A4t4|2lcqY+Yh_c;TKiX7}dBhacN`$Sk}vE&R#y9cER# z^1=tqUuP!PD+`}KV~Ls7y_)c<Nwdsc{aeCW<0qIYBUuq=#|$%bS|W<LHM)<PF<Tzt zHm0*#$1T%{&f}D3>Ah?teowSBdz0xB5i-rhY{x#YhzWBgX5F3rBW5k-m{o=MjwoK& zY<hLm;E1}NRi^&7qa*eoD=-ZWpA>Qaa+>Mb9kU`FAIF%6DHla_{Ssu_Epuf=bm&jh zYv(sclx4m*tsJ^D;&|Ot(@v~|5wCRan6CPMB4V=RCDSWgE<_yYebV$p=j#!jr|&ma z*WZg+wPma6pU=-Cl$TbUzS;3EV(q7erf2(oi|Cm=-E^)<9dWvKtf_5WNW?PRA*Ru{ zVj{u^_cC3xG9}{kYCqHV9yt-pE3T&7Bqb3Fjg4u3_TPwCRYs=0J~c)Bw$e2{c#4Uf zHi~WfWw9`F&+aCZlzy^E@3)mE#nz^gD+}^Xa@%bpJ6WWegk-uz9vvTJazo`6x%5Pk z$&5$-k%<96O?apJM8>c_m|WX5ByxJ6XC`KI$3(8(eaGbB$SIM=zb~02cb^kEoOQ~? zSh*xpGU$LwFRL|?bB}K`87<!uIUr_@$xy+b$WLaAP2Bz+j{LS@rb%7X>Bup!$D2H^ zyA-*pZKz4Vnp=^cBl?)As~<&fz24bGS^Fw-QialFd&8$l48$gHT7N}`{AX$s#tw>{ zTP`(;(20sXF_35S!8j>$#I082y$)HCx0|bteSC`|k4-K%4(eYODg2me+;382q?J*E z@$F^*B11NZ8RzT~MCqiejCoh|qVjuxF_yeDiJJ8Am2p#qP1G#OL*sxlm#B`-*Nr#o zct`o?o-^iobd3^?IcmIRY~QGjKX)64ZW<c3&0~{s$BnU3rngrZ8w5^`8mB+sSXezb z%IU~d;|!~1Q5V}s8=o7wF6#CsG!nbEMGda%VSM@ZzNn+ieT|FrjzujgQW%?<pO4C4 zWMk|!{#sOffswK7<h`g5OLUEsgPui+%h<-dc<-ay*EAb3gT6<dZKyJueOMEv+FfXL zH6$$RyfDM)wKP78b1}~7?xgf6BfC(eRk!k^0$=|zGAb#LGVA-<=yK=!C`s%~qq+m_ zQTG=;Fmj9MMW?k~GwS9njsAG<oRR%@<7i*Eqel5r*3sj&yN!0cxI`Pz-DFg~*DHEg z`wF8T>Hg8jZp=5D)va%I_g>SCCSMsEeI{#+k#qCd=wk;48%4~R7VYNR%V_wYdC_a5 z{furpFOQzJ&CMwA^!n((4t7S`rtQ(nXcME$3lBs~c1w-=#GZ)0?7=ho-tS^`NM@^{ z&ifnD56;yXdO1Igb{Sk^=zRTU^u)F-!)p1bXyu1Q!&Aq9ML%B^Vc5<MicVB$4SVm4 zihf@3&2Zemq-ggCZw<Tb$&Q}7@QGnLQxfg%eA{sS!RqMuCI1<Qh+3laU!64kdzKxe z+H}CM$V?|@MDOi}FCQ4h91yNE?C)b4vn+mz;iKP<G3|F}8>Wo$i0Qj-l3_|t=NRAq zBMqNy>KzkjIKXhA&X5>~l5U1C?~RFZ{OxU++hb}>!X+2Og2cHo{%frb-)~qJGkk=R z;VAv}F;a!D;rn;nV-E5;hWQf@#JntQHpp%|5pyK?ufd~R7h{ZG6d80Gd^2Y9xlDtz zRS#o^Z%r`xaP3u0&D?N<J3~Ilj2WRZnB4F?X0HD?gZM|mG0skJ4a}#-#N0D{VxW+u z#>DY%8?>u)W4<;0XK-zMS<IA*lLqa6burKL4j8ypwZ(i&+iqa;f)~3jVVyzva%pUB z^iqSNN|RXU$T<c_D{Nxr5t9v0y;a11h!|xsd5cf1JbIu(e(&zFF7Z7KItvHHHm3L* z438TTyD!Jp!0yh(*uYX-gCDDB#Rk@!7?|~09J`mTXV6u?Cbm(=H!v;O66<Q$uK)ep z-dIz=I(_T&$6_^u%k=xLI3KH=nXB(S_<HQv4JrDe_77tHPDbl{vR=l<KMK+xm-8{! zPxDJ3{~L?{TOa?wSp0YT_-A7A&+6mfiN(LGk3S<8f0jP}%vk){`uICy@ptLt?~KLY zt&g7}4nK=Nex^A5Z2I^a<M6ZU<7bY;&#sT(Ar8NbK7OY-{BHXA9pmu3>f?8g!|$$- z*B}nBg+5-BIJ`Fcc#Y!lTIu68i^FTDkJm5`ucba-(>T1ga=gZIc&+7l&ExRe%kdt> z;k}UKJ&D76BgcCbhxba3_bd+YogD9B9NtSg-qSd|w{pD4ad@xgc+cbT-plbBh{I<= zj?Y9KJ{xj;M&j^Uk>fKHhtG~2pP_hsmgM+M#pAOj$7d`apEWr?bMg4>$?+MC$7fNF z&tyD4n{s?c<MCOQ<1-tN&#oMw;dp$O<@ij;<FhTtXFMLCbvZus@%Ze^@g0cAcR`Nt zL_EG5a(qYP@m-PQI}?xZjtt+Sczl;+_)f*+yCuVSEFRxA8NPGz`0mN@9gN3!QHJki zJiePUd`IK)U6tWG8;|d<4Bz2+e3xbTPRHZBEyH&_9^Z8tzVq?;?#plnB;YKN;Y>)t z*&xFik$|&8hBG4pXNL@DNCM6h8P1dhoGmh(F$p+pWH@sYaQ4V>1|{GulHp8Bz}Y0j z8I^#uN`^Bl0cV#CXIKKxG8xXa1e|R$oN);_>ts0d5^(m(a0VveER^9)Ou*Twhchw( zXQdv_%mkdBdN@N9aF*)fOijSqs)sW+0cWiq&fEl?y?Qu<6L1#m;Y?1z*{p{%Iss?3 z9?tAUoZWgj!xM3q>)}jK#M!QgGd>Y#y&lf|M4bJ4cm^cmS)hk!LL#0GdU!@8;#r}G zXGS8P9eQ|%B;r}3hi6J6o-KNK#w6ldqlafsBAz{Zcm^fnS)_+&QX-yBdU!@9;#sAK zXI3JfU3z$iCE{78hi6(Mo^5(~#wFrer-x@=BA$JEcm^ioSt!LbF%i#3DV~vucvedB z%uK|yQ;KJ3BA%sEJW~_#Y?a~}n}lbr6wllwJbR^h1}EWJETxbN&t@r}(Mfn#OYzK3 z!n0e7XLu5x<x)J;lkjYp;u)WWXT229{3Ja4rI-PdFbhaA6C`0akYYwi!mJ?0%#eiH zL5dk73A2P0Ger_+3n^xdB+MF8%p6IWJ*1dHk}!)%F_R==Hj!dRNy4lm#mtg~*+q&O zCJD2Q6f;c{W*aGHoFvRTQp`L_n0=&}fs!!`Nih>8V>XgtMoPx4B*Dy-jM+(o87djG zlms(XGG;3YW~^k)S`y4$$(X$)n8A`Ui%Bq(C1W;|U`9*EtR}(CmW<g=f*CFuvz!Do zT{31n31+-x%z6^ce94&oB$xq{F$+pC6DDIelwd|o#;hp8%$SVXQGyvV8MCAWGi5Sn zO9^JoWXzfp%$&)XJtdeylQD}*Fq0-@HkDvTO~I@x!OWV1*;RrWHU+b+1T$?4W?Knn z+!V~Z63o0Qn0+Offm1LGOE43sU^bRuMoz)3tc#gB1+%j*X6O{m(z=+bQ!rcWV#ZFv ztgVZgI|Z}1E@to)%;LJ3$x|?!>taSv!K|)}nLP!wyDnz<6wLCvnCVk6+v{S+Pr<CO zi<v(Kv%fBOfE4Tky4VR)up8)NM@Yf0po^U$1-pYTc8FB$61vzaQn6d;V#i3uuAz&a zBNe-cE_RSq>>|3@Nm8+!=we4n#jc`@oh22!i!OGURO~Xk*lAL++vsA)NyV<Ci=8JG zyN@n*pj7Nay4Z<Qu^Z`PM@q%6q>G&?6}yuzcBoYBQaaeFQn6d<V8=?uuBC&WD;2w! z4tB6q>|#3D$x^YK>0n1o#jd7<oh=o+n+|rkRP1s(*y+-++v#A(OT(_GgPku8yPpnr zz%=ZFI@k%*up8=NM@+-6sDqs`4ZEWbcE~jBk~-Ka)396WV8=|uuBn5aGYz|^4tCHq z?4ml@Nz<^K>R?Ar!>+1>oiz=+s}6S9H0-iE*lE+S+v;G)O~bCMgPk`GyRQy*;56*Q zI@pQRup8@OM^3}8tb?674ZE`rcIY(h(mL3w)396XV8>3!uC0TeI~}{X4tDT#?BZhV z<muSW#n{o)v8#)*v!`Qs7h{J{$1X3%PM?n5UW^?-9lO35JAXQMe=#zEbYuZyWCH2P z2E@n+(vcO2kr|{TI}js7NJo|+My8OCY(b2SAstzR7@0#lvIj9Th;(ETVq_BO$R@<d zDAJKth>=;OBfAhI!$?P#Ax5T=j%-7Wj3WbChZvbh2C@$^GLQ^pA!1}A8OTP&$Vf7f zm57m<WFR{cBSXnRmLf)`l7VbRjEp4%S&JB%O9rwRF*2A8WHDl7G8xEb#K>qekkyEh z*<>KQ5hKINK$as$rjvneM}&+g16hv<nNJ3?9}zO33}itfWI`FphD6ASGLRLCkQrql zI}#y7%0QMRLZ*~~Y)OQSDHB<f2$@qRvL_KTs7z#0B4kpT$fiWds4|gNiI7=kBD)eH z!^%XKB|@f^iEK-Rj4Kmamk60xCbBOPGO$c!VIpK=naIXO$jCC0m5Gp<Wg<HhAw$bV zmL@``mWgalgp4f{S(^x%TPCtM5i+<;WN{*7a+%2HM9Anek=2Qi*<~WT6CuOPM3yH) zrk9CqPlSvw3t68CnO_#NKM^v(EM$QqWP(}921UpSvyc^vkQrtnI}{;9%tDqZM5dU9 zY*C1eF$-Cv5Se2ZvPU5@$Sh=$LS&Lz$R>rzD6^1N3Xxf6A-fbJ!^}dKDMY53g=|xZ zj57;arx2NE7P3zvGSDn!p+aP$S;$6($Vju0l?suWW+6KjB16qWmMTQ1nuTmth>SHG zS*sA4Yc{f1Au`x(WU)eIvf0RHg~({Lk<|*3*=8fV6(YmUMwTl?rkjmySBQ)^8(FUq znQu0-Um-H!Y-GVgWWw3VhK0z8vyl}Gkr`(rI~F2C&PJ9jM5dgLY*~nmIU8BC5Seo} zvS%SO=xk)sLS)j}$fkwJsI!q(3z1o8BfAzN!_G#QEkvfBgKS%Xj5`New*Z-U4zh0n zGVmN^;R0mhImpHZ$jEb$l?#xW=O8;5AVbeVmM%c1o`Y;%fQ&r{S-Sw4dk(U90W$a; zWbp!I@;S)n1<2@gkkt#2+2<g;7a+sWL6$E-rk{gsUx1812U))WnSTzle*rpx9CQH! zbOJf(1_bB`a?lkB&>7^QI}o5l$VHbRK&Oz4Zb5*KAs1bP0G&fFx(5L|h+K3L0(26& z=q3c{D00zN2+&#NqPq~F!^lOKAwZ{*i*7@Jjw2UchX9>NF1il^I*?p+Ap&$Fx#&g& z=ty$Wl?c$8<f1zfphL+;mm)x?l8bIdfQ}^>U5fynOD?(>0XmpmbTNE%GP&qx_~>YI z(be$L+2o<S;iJRJLzlxxr;~?nhmVdY4_yx*olhRRA3i#uJaj>PbV7OPhWO}+^3WCW z(HZ5TJL025%0rjLN2ipBZi$bMDGyx}ADvSkx+gw5s62F0d~{NI=%)DSsPfQN@zGi3 zp}XRv!^%UK#Yd-=hi;3Hjw=sc7ayHh9=b0+I<P!+VSIFAc}QjX=*aTXmGRM;<)b^} zqeII_m&Qk@mXB_YkB%)LT^k>rTRyrsK03I3ba8xia{1`y_~_{J(be(M+2y0V<D<jN zN0-M(r<adzkB^QoA6*|GonJn>KR!CZd~|_)bb|Tl2Knd+^U)RZ(HZ8WJLIE7%tx2V zN2i#NZjq0UF&|wc51nH^x<?*5$b58>Jam!;=q7pSC=1Y4^3Yippu6Ou!z@6T$wQ}E zfNqnAj<Wz=Cl8%x0lH5fI?w`ip*(b=1?Wb3=tv9DmGaP;7N9%jp+hY|m&!w@T7Yhq zhmN%XT`LcrYXQ1f9y-_pbg?{ivIXd7dFW^h(ADxV4i})i<)OnZK$puyr(1w-mxqqG z09`K+oo^w!UmiN(LUh4Abi#$`hI#0S3(*zx&>0t^JLaK7E<~5iL#JGbZkdOUxe#45 z51n%%x@R6b=t6YSJap29=%#t-s0-0m^UzrrqPymy!!AUZ%|oYMh;EyQj=K<DHxHe6 zA-ZoKI`Bet;XHKWh3Lk4=*SDvmGjV<7ot1op+hf3m(D|{UW9I)hmO4nT{{n*dl9;K z9y<6Ubn!fN@<r(8x#;MN(A9I%*%zU^=c2<eLYL1)r(c9_pNo#a2wguHoqrL!e=Zn+ zBCr5lFabqi1Gr!WiognR!3-3E9pHi?C<05s1yfK2wtx%9pa`r17tBEs*aI#Ygd(sA zTrdemU=z4t6pFwqaKS7TgI(Z)VJHU6zy;G#47Py_#-SLj0~gFgG1vz#7>Hu95L_@3 z#b6`2U?hscN^rqU6oZ}Mf}tn|OTh(GQ4F?%3&x@ttOXa$MKRb5E*Okruozr08O2~T zxL`Dj!D?{9Y!rjt;DX^O2Ft+((@_kzgA2x^7_0{u%ttZU4=xyxVz3}wFd-#iL%3i> zO2CS6!HkrE9pQo@DFI8u1yfQ2wuB4Dqy(%97tBcs*b^=oloGHgTrepmU{knYR7$|A zaKWsUfL-B&VJQL2!UfY(0=9(<#-#+T3m42w3D_4d7?={UFdQ&3C17JXU}Q?b%5cEU zlz^S#fT1Y?OTz(EQv$Yz1IDHVtPKauO)1zL4j7zLus9qrIi+B8IAC;2!Rm0p?39At z;eg>O1<S($(^CqzhXcl^6s!*i%ugxU9}XCxQm{ZAFhQkYgE(M>O2G<ozzmgw9pZo? zDg{f#0aH{8wul49s1&Rb2h33^*dq=Yq*Aa*956|xV3RmtluE%WalkB<f?eW(VJZd7 z!~xS(2DXU<#;FXf69>#w8Q3Qd7^pI^P#iE(WniN?v+@R&ftBKbnJNQ2#Q{TA29}Bg zrm75V6$gw}8CWY0n5#0dR~#@{Wni&5V6w`<W^ur1m4VgbfY~YoyTt*+RR)%e1E#AC zY!?TNR~c9@4w$bpuwNW7U}a#zIAFrcz=m<ah?RpC<A50}2Rp_ALskx!j02{u9Bdf} zj9EEYGY*)ua<FF{Flgmq(Kukz%E6{_z^IjjRpWqJD+jyA0mD`fmW>0ZtsHC{2aH=e zST_!sw{oy=Y%p-;VBy$c;>y9svBAidgOy{0nJWi7#|A@J4wjA$rmh@p9UF{YIaoV3 zn7eYYcWf|t6=3n$VDc)!=CQ%(Re;rFgW0P9yT=B@R{@rf4W_RGY#$qpUj<k{HkiK( zuzzeYfE8c?*<b=Izy`9x2v&d<WP=&306WMALs$WpkPW7=0&F20j9~>>LpGSh3b2Q4 zFo+dk5!ql8E5IhQ!6;UMRb+!%tN^>n2E$kZmXQsnu@Y<}8;oNmSVuOP$4an|Y%q|O zU?JIHA}hg0vcX7Jf|X>0nXCjm$p%AN36_!#rm_-jB^!)oC0I)~n9EAAmuxVYm0&U1 zU@|MgX0pL(R)W=JgW0SEyU7N_SqYYt4W_daY$qFxXC+uqHki*!u%B!&pp{@j*<eB| z!G^NIh*p6WWrG>50z1kELs|uvlnth|3T!DGjA<2EQ#P2>DzK+)FsM~vQQ2TptH7qR z!KhY&Rb_)&tpdBs2E$qfmX!^rwF+!28;oleSXVZf*DA2DY%s7@U}4!{VynQ$vcbq! zft6*0nXLjl%LYSR1(uc#rnU-fEgOt&6<Av~nA^W#Z`ojQ|ANJ3gUS61HkSoP_b*so z7MR_?V0T$yc>jXsWr6Aa3$~X9#`iB+Uly3(zhHk^V1WOE1!jQ>{tGsk1xENUSYZ~J z;lE&qSzw6&f+c2wDgFz#m<7i8FIZz1nB%`-k6B=l|AIwkfl2-gHkk!R`7c;y7MSI~ zV3%27n5)4uv%oZ0gKcJkajpjI%mVXV4fdG@2D%z7Gz(00HP~nt80l)T(kw93)nKPt zV5qCXQnSERSA(r)fw8UzYs~_4T@Ch{1qQnsEH(>Fb~V^+78vbnu-Ysz+tpyVSzx%U z!E&>}bXSAzW`Xgp2J6iN^IZ-0n*|2E8Z0;qOn430a26Qx8nEIlFyl2~$5~*=YrvAT zz?9d3EoXr-uK{b$0&`vi_M8O<y#_2g3ru<q*mM>c^%}71EHLXeVAok-*lWPDv%s|1 zfNf`iajyaE&I0pZ1NNN-2EGO?JPS;G4cK@V82K8o@+>g(HDKpiVCZYW(zC$S*MO~O zfw8XzYtI66Ukmo01qQzsEItcNel6I178w0nu=*@8`?X;ASz!2U!Sb`f^w)yzXMypr z1?$fO^Ir@0p9Ke?7A^n_PCzZ(02Um9TDSr%I0LnC2Uu_jYT**F;1txtEnvYhsD*35 zf^$#{_kaZlp%yLz3r<2U+yoXJg<7}@EI12wa2Hr`80z3Mu;4V*!EIo{aj1jqz=HEo z2ls&m2cixx1Pe|?9oz^O9Em!(5-d0qb#NzGa472FQn27u)WNM_!Lg`=Yr%qZQ3v;e z1qY)JE(QxuMjhM?298D@Tnz@!MjhM@1`bCZTn+|KM;+V_298G^Tn`4$M?KsR1`bF) zTo49MNIl#T298KQToDG&NIl#U1`bI*ToMLONj=;W298NRToVS)Nj=;X1`bL+ToeXQ zN<G{Z298QSTone+N<G{a1`bO-TowjSOFi5c298TTTo(q;OFi5d1`bR;To?vUOg-Ef z298WUTp0$=Og-Eg1`bUFTp9*WO#|E-298YwTpI??O#|E;1`bXGTpR{YP6ON=298bx zTpb3^P6ON>1`baHTpk8aPXpW@298eyTptF`PXpW^1`bdITp$KcPy^f`298hzTp<R| zPy^f{1`bgJTp|WeQ3Ko}298k!Tq6d~Q3Ko~1`bjKTqFigQX||X298oATqOq1QX||Y z1`bmrTqXuiQzP6a298rBTqg$3QzP6b1`bpsTqp)kR3qFd298uCTqy?5R3qFe1`bst zTq*`mRU_Og298xDTq_37RU_Oh1`bvuTr37oRwLXj298!ETrCF9RwLXk=IM#1Mz~xI zoUSIgT?`zrCb(V<oUbOhUkn_uCb(b>oUkUiVGJCxCb(h@oUtajV+<U!Cb(n_oU$gk zWegm%Cb(t{oU<mlXAB&)Cb(z}oU|smX$%~-Cb()0oV6ynYYZH=Cb(=2oVF&oZ44Z@ zCb(`4oVO;pZwwr`Cb)16oVX^qaSR-}X1H<;oVjMWa|{~mX1H_=oVsSXbqpN4X1I0? zoV#YYcMKf7X1I6^oV;eZc?=xAX1IC`oV{kadkh@DX1II|oW5qbeGDAGX1IO~oWEwc ze+(SJX1IX(`vRNc1~PC2o8byFa0Z*<4l-~Eo8b~Na0;8@7BX-QTi_Zpa1LAG9x`wc zTi_xxa1vYKCNgjoTi_}(a28wOE;4W!Ti`M>a2i|SHZpJ=Ti`k}a2{LWJ~D71Ti`-6 za3WjaMlx_DTi{AEa3)*ePBL&PTi{YMa4K8iRx)rbTi{wUa4uWmUNUenTi{|ca57uq zW-@RzTi|Lka5h`vZZdE<Tj6pta5`Jzb~120Tj6>#a6Vh%ell=CTj7E-a6((*hB9zO zTj7c_a7J6<jxumaTj7#2a7tU@mNIZmTj82Aa86s{o-%MyTj8QIa8g_0rZR9;Tj8oQ za8_I4t}<{~Tj8=Ya9Ug8wlZ*BTj9Dga9-QszA|uN+u*`7aAMow#xihZ+u+JFaAw=! z&N6Ul+u+hNaBAD&)-rHx+u+(VaBkb+-ZF4-+u-6daB|z=<}z?}+u-UlaCY0^?lN$A z+u-staC+O|_A+pM+u-^#aDLn1{xWcY+u#B-aDv<51~YJk+u#Z_aE9CA4l{6w+u;&3 zaEjaE7Bg^++u<5BaE{yI9y4%|+u<TJaFW~MCNpr9+u<rRaF*NQE;DeL+u<@ZaGKlU zHZyRX+u=GhaGu-YJ~MEj+u=epaH8AcMl*1v+u=$xaHiYgPBU<*+u>3(aH`wkRx@y{ z+u>R>aIV|oUNdm8JK$n7aI!n#W;1ZKJK$<FaJD<(ZZmMWJK%CN$=!!`!0l$>cz3|{ zX5f5xkn9czyaO&c11G!#Za4!+yaTQ{182Mg?l=R7yaO&d1E;(LZaD+TyaTQ|1LwR0 z?l}Voy#p>f11G%$ZaM=;y#uZ~182Ph?m7d9{U2O*22T4wxa|xa_kVER8949%;J!0( z;QzseXW+#DgB#Dlk^cu*o`Ey}5AHkzhyEX2dInDYKe+V_9Q%K8?HM@t|KQ#;aPa@Z z#b@B;|AU*)z|sE)SD%5i{}1jy1Bd?~US<YP|3Cb?3>^P|aQzuL|Nr3r|NnpJKX;tf zW$3?ewKHSrpKpBU#L&NAJ;0ZtKd&^tH$#7Z&Y}?v{eAHbQyBXDwMP~*^z*ziUB}SR zcjf6WhJM~1-H$W$^G^-C#L(~KJ@Y<8zaP8eHABB|_^uxe{r+dAAq>49BX1`#^!ohs z%4O*FdiJLh8wraUF|L)N*E26iz@pc8-Fib7z20?fI~KkED=&Dk=>14`=+2_|r|XBo zEPB6A4xGTE_b)bn4vXGTokc5I^!|3K$IOQJd;Fn8EPDUv7@cR)=V8{vTP*r~jOhHF zMV}W%z-JbHe(EP`S@d~&lON5Z&)2k#87%s|RdGsL^!eLxp@Bu8$2@xun?9d?-|4aG z^Ln(OC7V9K-=h_5`aGx1>CC3jcWz}rHhtdXca3J#=l`|t3^siqHe6rArtgQ1+eS8h zU*3P&%ck#-=a5ru`aYdaxXPyQSMq{KZ2G<lYTmKw`)9uQH=DkX2GVdgeLw$RPiE8i z^^I!*o4&u3K3B8p`<y<ogH7M>L9t>Eec#W`G2zhn|5v#Kht7lWZQdL@AHMT@ap=4_ zc3~KY&X2CPlR0#r1iYBfq4UM3+Zqm?H#-7$aOnKGH|{8h&ZEZ}7ddo39bI;pL+91t z`j;F!zq0mz<Is6FQ8$P~=iB|uaU44D!tJv;bpA!XEa%X9_@Zkwht9`&8XlL<%gRyu zTsl7|CR=mqJUu&K$))r4LAgJd&f8O)2Xg8B9mR~}(s`VFY!;W!=aB}>xpZEixUrc_ z=l4yg16(@K54<|VrSrX~{|zpk_d&m(aOwPa8v2n-&x484DlR=ACQgmy((}SGJC#e% zkANjbTzZ~#uBzqI^JU{^hDXnv{q4Fudj8BlXvU-Gkx<8(N6)7{=X`neywaHT;nDLe z?&b&{J<nb_Oy$w@ZPL?)JbK;*d9LHp^N;gk7muEY!fwZT^n6VEd5K5Q%QgM)^XT~* z9r&6@&(o&iKX~+fEs6~0(ew7!_yit3e=QPoc=SA;HLa3I&*#PIEj)T&`^^#X>G>Uy zW5B29x%om{K0V(%6}t23c`sYqjZe@2*Cm7aG!MvDjOWvQ;8#AIPxFHDsug^iAHG*? z;nO@}v-%*P=8J)qXZbX5xU9a(r}-nK;whiz5!Y3p_%xpkEm!ktUU66v#i#k@dr2Cf z<{8=2Vm{3`wuN<kns>?;umm*!tk01MXdZe$+gw2N(W^9P0nJPEr}_zKeu|3kBcOSz zZtO?_%~!GEQw20{Eg8B<K=apo%{l?iV;_6(7SMdQ`s;B4&1>m?mjyJx{d;*|K=WLZ z%NqgBcMI<R5YW7L-#kP>^WUWl2?Cl2d+6p0Xg<7fuu?$t;*GWz0nLw7HVT9^PpZod zgfw4f&bJlPy!kZ6T}bn%<JfLOnny<k4ieIQ>eO?*kml9rZ)Xc>e$8}VA*6Zs*Yzzz znr}zQ4hm`BJ#g@>kmlbdO*e!z4>zoMDx~??JL{v6=4FHNY9Y<fceIg0nx_-HqzP%h z{`jOwNb`12^Ex5T-^))hBAUnhw&{v!KL4@OOhof~R=Sgj=J&@VeML0S8~*4mqWRuM zIYLD9e#X@)BAWmGg$qTr4|LwVRz&+jLf%dh?F**kj)`c0X#e@2i1vvCZudmAUp%|? zN<{m{I`(%F?H|djf<&~BWF^OmXg@hLAX`NHO32G{5$!K8OqxZs&$#d7iD|zXRw@_M zzQdVdEvEfv+IKfG?L)&I{Kd2%B^@6irhTcSdW@L%r$19?ifNy+_`Ot2`<2XTlbH6c zo5%NwY5&qxof7|lADehhO#4~Fmq%jS*Ho78#k9W-*!x>d``nDYFfr|SqQS{x+V@60 z$rsc9XD_Z6(>{1=MZ1{x!;|469oiSIJdAZ{f9!wCUWfL{rgBdm+AsT#?4d*ZrrGl$ zI<$Z87fsZmeRSuNxjM9;@&i`t(7tM8zfFhs*Pz{pbZDP#OFgGU`|Y1Dw{&RVm7jm6 zL;G)4`6nIPho=qE=+J&V_HL98?aMJOX*#q&XHF>Ap?!MOi#i?JuP<>~y0mZin<>$y z{d?nkGhN!peZ<bXw4ZO9>#IxqdcRM-b!mUUs53&B_W9Lwr|8mtANgT{F75m8g==+b z|F@pGQ<w4p>FcAqln?A@{ijQL!S)GvbtylnfACV5@`T#@uey{kY#1D<OL@cQOR>6? zKQtF)>QWxj(Wy+A@`(cn8g(hJI2OZ^P<|n`kx3}ekgv3qP`+{Ti$X$q$A8?;63Rae z#`cp?9wNOyN<#U_;eu%r%1d^5EtXJzQnq!ygz}U`)ouypE4{=gB$T&UjlV3R{AKIa z`x44yMr6O1P(E|T`G<t^nprD@C6wP>dmAsIJZDTpwuJJX9o;J=l=oQeZkAB~(^<un zQXZt?=u0Ud3LIoDrM#&35jQF2M{dDgq?9Ke6AqA4zBF^_7%AmV_m0evQvNhOaEX-i zr~}-MQp%^S`|p)fUgfsuq?Gcj$KS6=DbKpl_&`eeR+aBtDdk;XSN)Vy{#E-pL`r$s zwVVVg<zuhSbETA*`An#kQhsK8qD4x1+IBTxkMgyC&H8$jw{7sU(WCrLZ;?`u@;Kvb z{(6+p9gZBJM|s^`_E<g2@9uY=sYiL<=%q{bDBqiRZKEFLed%F)^(g=Q)pk;k@<6`F zRXxfFKg@inM|olJiMM)`ANKwBQ;+gQ>*5eS$`|JtCF)V$$m^f0NBN^<b)_EVk=w4e z=utj7Sj(4DUb(qaUq<<*z|=-Yd1g~zrHt~;5zG8#ly|nD9U!CpQ~G6$jPlSunKNXR zkB;Upl~G>0!*!#K@>Blky)w#EE7zTrQNHT?-xV3<t#O|p$S8k}PI)7vJXX>ALq_>* zszr#5^4g*v2{OuWN6*NSQJyQ^ULm7=*X2sHjPl;AA9-@he;35c<&*~>thAC-KHMg9 zlT%(C=Ga9}`SIW0{pFM=FP}PEPWf{8b<^dPH_th?SWfwK(w+5k%A+5A-YutmS{rd( zPI<L|-X%HZ*KLjW<dkO{=)RUyzP;1tyPWdw&b~o%%D?*zjFVFyesxloobvGji_7Gc zm-pP<D5w1V;31Aa<>}@Z_4FxUZ@+7yPkFoNYZraW-(P(9)2BRsb5I|B%I8nUj?kyP zz9M~!KIQjU3m529o`1G#jXvf3F^xO)Des^0?}$F-|6cry`qT%E(7mHi{lIJa3w`Pf zW*C3br~Y7!xmKV0gkx6G`qVFoY}56rZ-}xh)~Eg<&Av{b`UrOi#(??>mA$S3^%d9b zObw{N_+;Z~Kz)X>mA3))8(++N8c^SH(`cvx^&cA9L<8zW+;rv|P(KpOU1>ml$@lgx z2GpPA)gLgRK4nD383XE9YVxidP~Vc2^4Ng-mzK!)2GqyQ(EK)_ex~VDm;v=QaZi&B zsJ|(>o@YRPPR~<S2Gs9_>}oZjzUSO3fg$xj7iSt6QXdpE+{Tdlp}}31hSV3;JNg?^ zf0Q8`U`TyZTkB{;>X#-IPB)~!DJN>NA@xrWzpOW;KI+b$-G<ap#T+?qNPSh8)t3yZ zzlxb~&yf18J6&HHQonWI@|z*`T`B(p45|O>pBrOHeORU@!;t#17Y|AdsV{qRu-=gR zv-AZlBkI$7^_Lh?zZPX<W<-74*)~Tb>fiPydmB+7cjtXiBkJcWPYpGqzHa%FiAL1l z8T6fNM15X~$x0*Y_sT1`7*XG65pckW`oGQB&KOZ2*t+_<5%q&F`ad?JzVL|Adn4)( zPZs?$qCWB4mrx_>7v;wiji_%tJ2lsc`p2#=l}6M@3hJAUsGn?C@r<djG(Rmjrv7r) zG%I83GXor4jj7+9P|?Yl`cD3*zQ)vlX6zbiOnqqbz^TU6k2Z=I8dG1|EqaYH^`|#4 z?l7i4)nV!pW9nDK%r6*I-+D3qmNE6OyKg=-rat!QtWU<&&%U!%8&hA~ni^qD{q4dl zDaO?2mQE}%rhfN~tlF6R-f>}V#?=4%91@yPAMDZF(1iNo!3{Ph)E94msWhSfIAnpp z3H8Z-W&=#9U;Yv?+JyS%Df_0IQ2(sgX|W0Q(b)y-OsJm@y|l}O`s(n($4scdF08+3 zLVdQ$gF7bFZ_gR`!i4(npY5MbsQ>nPsxhHH{QHC`6Y9sOwWpd;U#|P8(1iN)xKTAG z)Th6yYd4{O{l+zsDfR6)`xu#0|NbV=)|C4Agv0Kp)X(d>b~UBGenRK~Q|j-ZFCSw{ zeZGNshAH*?$Db@VrM_Q2c)cn0|BrKbnGz2$eD^U^;se@D|1%|C;QPBfro;~%8S%oD zc!D{3pG}D`7`R<yO1wcQS(GX92VM_TO^HY7+^x`*_=G{>)uzNN%$eP8O8mn9Dxn$i z46pVWni1cSC$%*r-ofUk(v0|rg>L?4#6!IP)ZdKw2v+~mX2eTO51(d6{KS_@i_D0p zu*h6%MtsG=MLW%ixA<Fj#EkfhdFw8i5s#5rf6I*cj8WU3nGvrM-1^as_>F<PRA$6; zsN2KMi0|mXE7^>A4^3;H8Sx(jw^f-D4-!z{Vn%$(pmlt6;za_=<mSYW3|wSoPCUt< z423!IB|Ro}GAG{TeOMoJ;!hO)Mwk<ia`oe6bK+A3uJg@_S6O>~l{xV%@w(g0iD&WK zb<mvnmXqaY%!zl&ntt7!_!njHBXi<m)^&PkPJGPk+ds{Tmnjg1m=iyvzaie7cpCq- zEOX*(CiE{eC*Ee!lLm9*Z&pg!7R2K$Unj93K4)gUsRi*m1G+d`5Wi!6*~@}>p7Mt7 z7R2|wnl#vgc%KFD$5{~nBbLpwARg%cilr9B2YCc;upnOOsm&e>;)jg39=9N#XkFBQ z7Q`3*bh>LnyixP^7Z$`HIYfQ7AReiwy~cw0r2ZQtEr?h04@j{fe#z9Rz=C+D!UcaV zh;O>{w$*}or@<Y3OX8oBhv{1q4>j?kl_l{}-!l}J#7pTqcd{gYYRIZSmc&!7ct6~d z_^Pc<lPrn1TGex&CGl6o_pG!e9!sj)Vo7|~SJnYb;<ZNfJ8en)mUhooOX9igem<}y zzH3qQYfIw2PIdZjN&MH<)q$48gB^byV@Z72?5uQ4;>C=Ni!F&Cdp)Aol6W%Z-VRIR z%Z|MlSrKm*QebFB{8@>ytrhWTrGu4Ni=~3FO<k;rS37yXpB3?I?$INyh-Z7&I>n0k zHlgzZE8^V-j9G0({M*be+pLI(8+YrV74dP7+A~(f%f%L7vm$<OmhK}f;^{P=Z>@;0 z<Bt7dMZBHO+8`_9?~KmGS`m+z|02VR_`H)L#a6`YNeXJMh~HcCufvLXzAL6;YvTLv zdK+02@3(J=tu^s~U1uq+i3bc=*TtInK*gbc*2D|Wy*$#I_`y|ArdShCIOfZIYvK!e zL948ZH#{A`)tdOjvg`xa#3NdipSC7G(W>F9HSvmnJ04gQzj%rN#+rCWiR8OA@r@Jp z1Fea7TwxqzP5k2ovvh0XA;lI&*2G7iwWzTsUNYaj-J1AGftk>Tc*;g20~_KiKgz9b zh_~#c>uN*%<t1Jx8{#p)w)eInKJ!W4FdO1E=a){jA%3$kbB+!1oSkEr+YsM5TD!@H zcu${?du@pSOn-2~hIr7i7cSWlA9`}{T^r&>&#io6L;UE>DW7eKC#~wMwjsWBsB44` z@uo{;$u`8F0ynfF9<?F0!iM<NMZcQJ{TE)n!?7iP_3S>WE%B@)=b70O-x}J-(Uy2u z9V;(e;$QWeyV(*C8y`2&miX8iFUQytFMI#cbX($QU(8%&OFZo+-?g^H*LLuC*b;9$ zIQ5V%@wcO1oV6t$*I?ImTjFysk9=fHyl#s5TU+9HlZ(FF63_eJ`#@XbdnLPLY>D^n zKP26j_+MYWB3t5tGZU(9i4Pulz0H<*;YD)<cEk_&wb!>Jo;V@T(vJ9IhX*cp#2dRV z@U<iUxY)Lr9r4I>GKSa@pM2`dcst^iH;kWUNBpv$&Qd$#ndht5+Y#TqeCsYd;++*9 zN9~AzzMg&Fj(BMG`I~mcN8cLo#Ey7r&)Ro(#80og`_qni>LsIt?TD||Yl*cZ-g^0i z3_IelH;*c|BObd`eT^OQ+4rxv+Yzs=?klt>e*1jBzCH2W@*`IE#CP{mDC~*%whZ>Q zC;t1%;$HT|gXeOF+7llhdV9P*@#3?)&$1_e{7&2wd*aDYuUKzSe7S<X%bs}i)t8Uh z6MsHidETCQ^p>AD?1@kBJLa)H@#@}LZ|#X+4_)=co_KcM)<ApW+nWx@*c0!5Ql4&4 z{Cn`VLVM!jpW9d46CdB@X{$Z)@=HAV4#dy*ekXGvp8iKC3kTxsvpzaG5O4puv$q5B z_l6(3I}nfW<TJ>D`25rtV;qRr_j8%<K>WVvorMm>^M{+PaUi~5a(bHs@%~lpgAT<1 zZ`gd=fqZ}~#aA52512jez61FJ+TfQC<PU^)`Qku6!J7MO2l5NvOCub}H@LJl$$|U> zlbjp}@)7)pmOGH2Q2VmMfqaEg`YcEC7Y1z7aU`E1A<@W@{06SStt0skk^i|llK<da z+sTo92;VV%9LbLee>%*Oe2IVTiH_t?gioLCNIr${+og`=SNQNZIFfG>JZ+aF`4^4P zk2sQ#@vH5eBl#H?BX2m8uc3GCkt6vVR}0@blF#wY=er~M9cy+3IFj%2O%vrv{>L?O zsw4Rz(y{rD<cAnttaKz_<V|9eBl#m)CLAa7N$yOMIFVmc`=5yu`6kJ+c24A<jFGxK zk&iNEXcs5)Q>ym%bs}HI^viH3@>gOiCOVPNVrD<biTsx8iOZbGcbRf{gA@5LBR}nO zA|ECy|A-U$F<J8SPUOq%>~+J5{F%o~9yyUuGwbXdC-Q6VeE#M{zRl_ktrPh-!K^4J z@^M}`rZ|zGV=*|-iF_Ty#T8EE?_56E=tMrxy}K-D@_YP$>Nu0{Gcn1?nf#xYDjR3= zfm{S`&g2KBS$1+JU&zF#w=?-eDFcQ&lTTzfX}oiH_(fF<XF8K_)PKWbXY!BC_pWs& zA8E^p?at&UP5tknGx<tCZ=QB0f9cVKE6(II$)DYGCco+5%NNe%JFR{5$(j78`EUO? zlMj{nCe)eysIXV@&g4t=d!Fe`{*?ctVrTNHUf!;8Cco<b<u+&XtxQkzUC6)EJs@)- zA8Yq!a~JZnRxfpQAzv$fs;3M2TfsxRx{%N1-MPOD`CUeKBVEY%+N?9#h5WDab#q+E z2YZ&b%!T~0U8)T(<cozp+~q?4*oC7<T*xO&Sa!~Z{IavduDg(LrgeGfLjKu$?rRtF z(Jp6waUnmg(^s_%`D(+?g}acymartzg?zT;p4l$sw~d#Tx{&YIFSpi({I|#N+Fi(p z+qX}kAU`g8v|K^H+(mN>1^IK~`Hl+m>9)S~RFGeHWn)(b`F3vI`zy%5^XeF(ARq71 zpGgYx^Um&@qaa_ewC^$n`Fo!_)+@;8tNpf9L4MzzO@|fa`~CDht04bxc;QtA`GA9O z+*gnv_;&0|1^I#}IG+{d55|7@qadH~=)zD1`GwE*;}zr^_V}HlApg*Rd69yA#5)Gn z3i1;-eQ!~aulR8u*OmOmd3>oW`HWkhnz)kR*fiYEm3+s%Qa4xfAN`MYawQ+K-l?}M z`H_~YA+F?0KA%3$mHf&3HPc<mr(_>n=t_QNh}CLW@+}+RY;h(3@?`&guH<7Li9PO0 zerEC9i>~BrzOK0GO8#cr*2k{obFO5+btS)Z-tlj)<a>UTX<f<x-1=XHEBT;TO_N;7 z54F6O?Ml8V$Gp^){LztDYF)`EZ7^(iCBKw&mhVQs=~|J@jr`L-`^?<PM_t`$??!%V z`wDkA@>R<+JG+s;+I?&vH}YAFeh+mczqQt5yc_whv#!l>BmdQjx5$lr*b%E&yOAFo znXtu;eA!n$_PLQiTYCGr8~L;c*caW%uidiXrW^UTf$B$Y<lnBded9(x?v|Zj-G;-@ zO-xj~k*|B!E8LCz-TQ|V+{ovZrDwX4-<#l7>_)zC?Y?R^@_(1bwz!cG>~F_ak{>*C zwM0q2aLQL>CHccIIJQdii4#V+D#<UNblz7<zOh?U4<-4>lgtMx$w!W#F<MD}@{{XR zl;kT%r_5E7zdXu#xsrTl%W)f&<Tv*@zEerQ^GDSoCHc?08c!?9hrX=5q9i|>GyATR zeCa=@pDD?oj{NyvNj|kl*$*Z8)fvWtO7gAK`$j3rzg8|wR+5h$awbPfe)gvirAqR( zYm#b}<Zth6Zc~!aJ;99cPJZ_`e?52dy~`$;x|9F?Y_**``QV?9xVe)bF1_vNPQLit z4?W$<AHNek$en!h)YQ@L<d@Gbo8nHsxkt-fck<5%3zoZ+kN#ADgFE@@lPq_-ldnG9 z@sK<D>qp#9yOYl@@w)6zetW9V9e48Gt9+ljlm9-__nkZW@Ks*l-N}znQEJ`Emlrul zxRXD>$2!rSeERN2S?=W5cNZ7C|KGQ7|Lacvy{M|$oqYVHOtuI4`NiQn9^~uy`fBJw z{(i!JD-ZJd-<)*zAiqCigO>;S{_fMddXWDg(X*ci-3Rd6dbkJO4-nfi-h=K7=#nwR zgYFMV{kqVD?i2WZag_(%FOa)xvj^QbFlf*o54wM#*!-vm-A52ydDesOCn)-J)r0OU z7<lfU2i;$gHRrhp-DmL0{euVHZxGh_!-MWSuv7(j(ESI`jz)UWeFz&yCwb8Q2wU~D zJ?OrKFY(15bbmsp|EfLcK82DAEgp2gLW+#zN%t*q!gW09{)NSd3_a;S22L+4Pr9EW zxyH$p?rSJ~<mpNGH@Hvp_oVwAo{9Q;()|u|zYO)H`yNIv8s|y(KdhBa_k{az5c+k# zC*2P*eclRBx-Y_3u+fw5kFb2c)06I#7&YpUC*3dczT%W8-8V7n<RwqKf5O7`wkO?3 zVXb}aN%vDsnDxe!?yLAw_r;U$ub6sV<w^HhxLAjJ()|`LZ(=>^zKiL7(>&?^i(ip> zo^&6^gc;?YbU%h!VVx)4mmyoz?n(D&_&4yp;Qkw)-XZm(`!x*z8GF%v8=-q`yy*Uo z*Nno8?&DDJ_V%LtIXJCdz39G<4V(IT(fu8ImBYN~K9AIe<Gtv9kC>F{UUc6_{m2Dg zbpOYoUn{)mJ`k11MlZS_WZCtdUUXkbPr)HCx<91*x>H_spU8rg|GemakxzYYdC`3% zeh(gb(fuQ-{MTM|AIY;NpS|dQlKX+bz39G@0Eb{Ny1ztkPqY`^XR<#z*^BNsvGmUI zqWexV50!Y){U<>w)n0TTN}{sGi|$9!-O2W*`%;#LioNOnlrm!jZ@N$A!aNIax?g3^ zGY4<FZ)IGy(wpvIS<<DGH{Hi_Z(C3A|KHE@eV{kp*K(j`q&MB)qUbuwo9=V@yJ40$ z-S3k2a*;RP_fk@@%A4+gF}2+6P4~ep8@t<^?uSX=f7qMui&^vjv^U)!<B)sFo9>fo zlHT^F`(-M+J@%&iX4nf}d(-_hU5<bDru%4)z5eY@_tUh-26@wcH7Dz$yy^a$9)`)@ zbf1mTH`|-;x2YXf>`nLGv@ZGUP50l}>}~R<`*7x6VtnX+oZrucK6GDBx1TZ}x<4l> z!qf-uzwzF5TOYb#XJm=Ohwj_)tMm4u`*%9GclDwBc*b)3`Oy75hegAD=)Rr=-Els2 ze@}1eG#|Rp=eJ~@58dxGO=p=8-S?v_Sm#6c|0FWoeBl0@d}-S2L-zx{t32vM_XTNk z&-&2)L8b9meCR$QMZg^&x?gDL`zJnh-%!!*H$HU#(Bk7?eCR%+*7bjU=zgMy(}I2I zzM_S_qkZW9B7eJNAG*)Tnw{-K_Zyk!6#3A7M=rmreCYn8p;sGy;QpKLT>sC9?nlxL z6Zq17NtQNxzI1=mks4!Px=)Ftwf3d^mCjvo_NDulyytoP()~->?p=K8KBhYjy?p6@ zrnSEY`O<w&V-Jq<rTd%u4xQvn_c`?z&+?`FokoT(^ricrmL6T{OZPur7`V}w?t=>N z*x^g}L+O7$;7j*KEnaoPm+p@Wvb*3*_eph*zvfH#OT9UG&zJ6->f-mzm+qem$$INc z_fai5{?(W6r;_8Eb-J%AFeTWR?yowuJKC4-vl?snKaS2iys9(pyLFeTOueO4sZC2m zJm+lO-66OK4-ikzuDz9+w$tg<royyL-QB%JNeB`M8iKpKguH9@kMFv^_nMg&l5_Tc zp8Ni-^;>qoeydx4Uvs~{tJ6kqzF+^<wuW8z>%&_4(xLnHV=ZZZ@_v0;wPk0<>Caj} z^ujoOT8H*r9;aXHl83H~)3?>)l3U{RZw;G$SDZesf8G%nr=P3j^OQJ!U1y}Uj?>@O z@t00<`n;ZP-78MN*SbFj#_9V?Xfq;C|JO6Wj*rs^wkvg7oPMxgUuDPX3;X8oSe*W_ zo96sqoIbH<{`yp$ez8*@doE7jSk|Fe;`ER082!IE*#B$BR=*#opR7LV^EiEFLtp<c zPJh|TGk=TIXO^B(7N_5ARYi52zO$is*2d{St9z_2P9NHc^&8{#qpeNb8K*C8)N=>o z^rx-cdOS{_+Q`W1E%d9cdHTE-`qt9xE@=V#f6bD+u5O`^ty|8GE%dYf@$2m^^tC0N zb8id%ZEtr=Xra&T(tkx-=yxkv)V77bxB5%Ew$T69sz;v|`ruys``{M(;ST;WvW335 z4#y|7&>#2webZa$lUqGHr-gpGCeO!O=$lKQ`+qI;&posE$rk$Pe!1?s7W(Nnw|k|9 zzPfX!%xR&&uIaPywa{l5_tmE@^xLH^{icP!yU4+xTj;+_xU{5&KD^uRscNAg?}GL< zE%fDW8?v&6{=A<u*R{~6_t+y_Tj<wo|NNd7`u2|h?{EwKdvASuvV}grwm+O1ub*$( z9~Z>y>l;yVSv>6jb*txJ6R*#&+rp;t`u#pz*eqV(-#H8Jjo1IzyD}kOAK*VrBJuhG zfBCs>yuQG-Uv`PtA9&#1-tqbbkG(u7UccbpzmJI5H@G}^e7yd_&&N!S*GE{`Ju6;6 zVM0P-yuQNvYafo+Uzp$cM7%!3GuA#6uivof*B9gU9d3MWcD(+>w7j?C^&vjg`@?wH z|LecH<BNEGiM1!ci`SpHdEu||`V`mCDUH{!I6rfKyuQV^qD$iSFHSgjRlGjNs~4?{ z*U$LXOIzdhHAaT+iPzuwS(C%@`W!E*Z;03Lm_GZA1bvUs3_d?W|Kq2ZUz(r~^83oG z67)lU@=%imeUVQk+?Jp}vfq}w6ZA=*^-^4de#zI{r6lN^ym@cS1pSjwzuX}~ALY7M z-4pavUcb3-g1*XDe@{=)U)lApQ3?7iqZJbp^jlszep-UQ%i2@36ZBukUM@_~hk1VU zhZFQ;78O5{pf7XjfM*i)XI{SLg#>+?iP^6u=+_KRdow}b=G{-epP+xU;j+&X^l^Ui zpKmy_ExV!X^*<-*>nxu=H$i{roj1%&(C0bzm4ylVJ)gf~S%SXL+5fCd(Es`Ovo<E^ z107hfJwZR{xx4lzOu`rX@~|Tb`a>_OI+>tPbX4-0iTXuf`rrA9`bIxK|I$R*|0Zu` zUX`eiv~bA{iTX+75^qh^S6cDHU5WZjTOMehsL%BI9!ZJ%O)K7wCh9v~-Pkr!|LOd` zT@v-7&VHv?qJGq_M+PM7OI_A}Sfc*aR{tK8s899r)e{r-tA2QEW}?2;4=3d$>R)~0 zlcGd@tZnu_oT#65*?oUc)YsZ+>NAP@TVHtZg+$o@8-HH+YNCGE->?2(qQ2MHyT6yH z|8>xVpCsyo-SOF1iTYs&*Z+{HFZPWKeoxdN`+GuJqCVN5hRsjZFZ;|RHHrFWBk!(A z)Ia-2d3~Zj+NN7KCF-XgaMsR5eYIno?oZTT+a={_BJ6+Db9*%=>bIRe_RJ)Gx98=a zpQQh`+vArc>BAlO!j(z-ar@7?K1pBh^&j4xq(Aq&FYZXvr+epj_a^Dr&HOPwN#E|% zKcyz=-wl6km86fi{dXOb^z+t#(Je_|Z;ucAB<b&ccFv$AeZKF#Fg!`W?{kljP15(< zJ9ko&{@?XuGn4cIcj%Rqq#rnzQk0}G__3xBC+QC!eby66`h@?o_30%2!v8FPE=k{T z{W~uw=^sArk=K*-5g!`*c9MSL@8dsA(pTK${Lhp07k^pzO_Dz2ZJ+#<q~CaV?2jaU z$8)=sC+R=VxS~1<_W!2Ubu~%)k#Br!MUuYc`!nj3^e10-*QO+W%D->ho}^#7<-2>6 z^ez8m^x-7^%Wq!Skfe|KAB#^**3TR~eonH!=3i4TO4i?e(e}%d^*P`1pR1GgJO8C! zlVp9*f9$#?S^snE7w$~f2mN&FeaZTv->i#I)))O~n3}9VI_`#6$@-)}{Jwp%e(7C( zyC&<KKCz=$vi|AC4-H7xN1b)W&}9A8_3w;I)>r-4#0kmzt1q8BC0U>Ks_rwB^;=J_ z%}v&KT{1M5tp9q;+J}?%VJ{l}M6!PD|6BibvcBxb5zi&-&u+cyKgs&EyY%~SvVQIB zD&I`jxBX?y_mlN+U-rc($@;jHZ~iJ-KliQw`aW4-ckPK^lJ$4@7+0LE&-<yWie&xX z|GIxcvcB)Z&o4>V|Gnklie!D@X?^RH^@I2QbYrr<@aE@jPu3s4Xyl$`ed3AV98A_P zKH|LN$@<2-rJs_bf4t$nGgI`DXC68)ML+qwE&q}N`+w`4$N!q5zkK-oYf|)?*IjaB zihlDZeQr(BcYgD;cc$n+-!lK+6n*H^&y7#fkN#b&loWmGf8+!y`qQ8Oplymi^=npl zO3|-A{(>GU`qpP9_f65i-g?ZS6n*Rqo*b5<pZ)qTMyKd&zpHjaivIQ^N2a8}{@?n@ zRWnocyI05Ors#WL(X%K8_W!p3WjvIk5B|;v9!t>=KmEBUQ}o5pdi!4~u>ZFueEmX- zKKZZzcqK)@{Qmi`r@;Q-wyXB76#esW*S(*jkN(>ApQPxg@4E5J6n*t68^23|{l9JF zx}Q_@*>|n`BSpXcwA!*1efQnwSEcB`-}3vS6n*%SuWD2D<9B~+Rf@j+YyQ19MSuPq zu}vwk|F^Fnzdc32{+jN4QuOUVA9pZC|Nfa*981y1f8U{#Df;;@uQ@$cU;pQyoRg}* z|J)}oOx5Rq^@vMT_4}`Dab>E$|1oD@mkRrT`|R2qQ|$xz*IT!y+7A%Pyff9lfY(#* zO|?JZhf`am+9&XINm8o)0#`i|NwsfaaHrO(_7C(qyF;pd1gHPeCDnd{jKZF&_7yxD z*Dn?JzuDj|gHr7?*zoeORQnBX?lL;nzJsfej!(7!VD6ifQ|&{zwR>i&{Rn9Xvr_F# zIQ!-NRQnSiOAAx&Q}}V!!>RTwyq*7es(lM>uXrjI_P^PSpZqJ;K8DxYzmRG_!+@19 zr`p$0JmtTsu>Z|AH~cTvK8GLw@ouX94sEaeDD}_2hu1$#wf|x2?O&(b2XWDd->2FS zG3sx>q}mrT{^LJV?T=`3cUh`^5>LESnQFhpn>Q{@wQnNx#U-isPwY8=MXG%iH^%Bx z?WZ_(*Sb{uD*m0mIo1A(B_-Qa?XxJqcTcMQ7L#8%kZRw>ug8w0+J7;3NJFZ97`Z>5 znr1)7s;kdRvoB-Mtn<?B&-lFRqBQ$7Zn@>MH2XE$<zAU)-^LXc*QVLO@!ZunrrF2w z`?y=u?B|&MS+g|Q|2vx<yF1PPju9=Jr`hMx{*m}J`#n|^C#Tu>aqXGWH2Xi!Yu!4{ zK9IKy+o!?)-?{tC&T00AY~0p8&Hj+bZ|IX|pUAQv1Jdjlsfneh**8-7!SFQuM;0y_ zon{}&!iMo__LIbJo}6Z1$<p@I)9f!<K7Ll3eI}1Tnww_7$+|g(Y4)9L{_%k{`%hk| zc_hs~l)YOYPlNrx>(GfO)9g!m>%xDf*`LyQ?el5&shr;IKWX->eA@iAH2YT0Nq!^E z{*`m1Z>8DC@<q${((GqByVXZ&_O+Z5e3oW^%lj!`rP=3lH14}J`(5_j`BR#GFV8gj zEzSOy<(Cwv*#}d8YIz#$|J|c@SEkt)GiSwuH2Y)z@kdRXeKOa+zbwsunch#YO0#dK z`SkiU`)9uCu^|oi|LzTUZ%MPC=G(J(q}f+<fBo(>*#Eoxe7!#n_W$l{|9&{lew!!K zkEhvp^V*$_Y4+a?IDA^fKAf+AJ1b&8&W}%=7qKsAe2<GF_UC+a>7^0-bUs@A*NFW( z9iP2AV&Bf=-LH?p{@*kCoTd@`cn<w?OT>Pjo3oll?CUvn``r=ydnPZtH)5a9V~@r~ z?DuJRe`3VGpLbTKMC||h_@QXTKA-`&w~p8k^k#Xx2<-no&t-Iq*dNsF>~0bJgoe%O z8L?j|F|}{RzM(G`4v5%4v}kO4#6F^bA08I5pXkt|qav{X_Z+`$Tm<(2p8w6xh}dVe z<F+Xg`;BTpoF1|7Xv}@HBK99W`&mu|_Wy4q?<<JdkF@B+Sj4`h4YxfMu|MgB+5Z=@ zPifm_Pekljs(bXwh<!^l4*xS^|I)i-{~fW9sc7K~5&N0;rMw)muj!mQuSM){`su7U zBKA35n(=1Dey6j`--+1w^vZ1?MC^a6e(>XneNb~&d={}E>aylvMeK{Z<^R5o*dJB1 z^oNLjQa9c9OT>Pui)a2Gv2W^?pNb>)PZgh89)bP8_o*(G5&Nn3KV2QMuj+91qKN%f z|G8*s#6GKKotH=KxBBXlRT2BH?)z~~#Qv*}d)Gzm!)myBQ^bC(9(}h)?8}Niv?Buh ze{aP{yCbmw_wHZ1FJiyew+#m)_HA8r)6t0iTUWI`5wVZ!^U;kF`?<D0a9Y&9t{+}G zGYb2E-<@Bc6SdE)MaB71`@NR0z9?$n*R{JZiQ4~lxZ(1sePGkhyDDlw*h80J8?`U& zzUyv?+8>tJv}x2nv7xuz6187!<t?{I?HfCA)16WK$KJi+o~V6fhpxOYYCl=sMR8I4 z%0`@?5VgOo@L*EZKC}Bbrbg{IduUNKYTw!9pISxjKimCQ+o*kL=ResYYCqa<(>h1( zOZ!W&Zc+Qwj^5idYM)yE1%0CSt39`=U(~*}4nGf!!u~gZ_TTAI``EI_4U5{(wm)HH z)V{W}&m0rAzwOHf<D&Mt9s5s46!yP)?TE=y``)_VIxTAd+n~*vQTyN;-kue;A1-A~ zPSn1*3!CIe?T^c?EsVnc-(T=l7`0#S@>UN;?VD@2?~$nea~HhvSkykciQWDlwV!VI z;U}Z^)oq{s&#3)%7q)#iYM))nrstye+x_*i7o+yw9lzz}sQq`@zrGr^5AX3o|Bc#@ zckiAzqW0xYe&o%l{dvI^??mm>d-tvPqW0^(egB71`}R_P`Z#L;-spCpMeXBjI`509 z{d`&7zmD41H?;cOsQrB_yZsQg&u?e>Pf^(axaZpZ8ny3l!S}yM?f-lI-r}fzfM?Dr zjoJ@*@{)?EeS!Z7E2FUgaUX4)AGJ?#bf1M$`vpJxZgJGU!M|U>G;06giP*BJeT1jh zt%%xB_;SkXsC|W{&#j5tU-;OLwNd*FSG3v?wcqf!=Qc&{J51TQC2Id+hq&!g`w%zB zc1G<-yryh-)V{>}i}pqBPfY4_AZnlD&Cef-+OPOV&5<bVe~Zs9IUcotv2WLgsC|r2 zJkl7opRwonrv~;lzPI!A!2ZVPZ#XNk&+*c3=LGgUHqARPu<!BrITr-5|M3UQFAD5~ z{BGA@0{bB^zT~pNzR1J({WY*Za(eeG1N$WNGp-Kom;CEP*9P`YwtngQ!2Zd`51It_ zQFi~SX<$F)-zsko?5mu;?AE~k%KxsrJ+RNR`L;U(`z`zIzALcr@~nOL1omHc-gj?c zALjLYng{k{KDa$Dfc=lp-Vh(ypLuLWVql-<Mb*iH{hD9=ni|-*x%rbwVE^U^uLgmA zoQ;pR3hd`xKebI@UuXZm?E?Ed2PJk0?DO1yWv9S?&kGNA4($8<d12SU{?C)|cMt3X zt$MI$U_a>H{d))Yg<jLFZ(x7u3y1p!_KD7!J0P%MH0_^*0{ceC4oDB|AEoFbu#a?f z{jk7((xf*=1ooA_GJI5Ef9X@#jtT5Dy>Q9cz<$%~o*W<8clvG1jKKcW_4_6U_MyHy zXL4Xa>iQm21N&0HJvJ?{KlR%GWd`=Cp5JL^V880$cgzaxTYcfF?7;rj`|i#S>|-5J zmKVVOCtfhBAh55s`_aMx_CN84r(=PAt_3$f5ZLcJ_R|Lg`(9T^9uDk(U03!<U?1$P zUXKR$!#-R4SYTglH2sOd{@9#Ve-G@Fjif&r*f0Cf+NT2hW~cW0XJG&Ain3<{`)C(M zo(=4$9r)?L1K9thj2oX1?5{oh=@$a~Z0|q%Vqm}RmXZGnVE>cuE_*qE{ZBf6*Q<ej zxc#4cEwCRqx?^@=U+(7}Uk~ih{d~?FfqlA>V{-!7|Kxr>-VE&9J^IF5f&IJ9_Prgz z{wJ>w-VN;Mz4IUM1@`qeEPg+*zc>Aw4+Hyr+YS3Du;2HG|9%|U_xnTLCjsn#a>r{w z<KI`3JiOoMf&IXzJ@rLkUvTr^zYOdT-ge-tz&_!7Z~Z2K{ZDD^{cT|1@Zi{Yf&IfR z-}yeUkNC5y9|HS{Kid0aU|(^I%YF{*FK&PTFM)l=>%0FN*l&DZ#%}@af69*!{2thU zeDwK00{f7Edv|VNKk{YY6$h~YDSJvv0{fE(E-DRR|5G}zEDP*cE?HY1!2YLHZLA3F zUmmu3USJ<{{-(;ne&!q3R|WPp_gp<cu)q2ICDnm_&OIs?1ok`M@YBM;zUQ2e76tY{ z4}N8F0Q;X>`e;o6`=9#rv?YOk(Lw*Ef&I~)Q)&bIq}N=#EU;ht<gsM|?0@PzwaWwh zr<Z@WBCwD8g(p@9_EWDKwkoi%`ord{1K9u6Gf%4v?6cldT^GRqr}legO<>=3mofE$ z{nzvETpQSjy=2$gz<%uUpRNn+%YJ0q`oR9|JMZ2Q*rz>c(}uu)?Mr8G4D8$P+h<c? z|8|qJHV5``&-!|EU_W>7F<S!I|FrKe-WtIEr@i;>*1$gRn}=@;VE@x@JAHd#-}mQl zZV&ALE^V_Tun&A}{f@wX@F(+k2KI$VF5MN_AD;cruE0L=<dofk{o;>Q><;W3A2@JN zVE_2n>-Plqk-s{5Z(u+9`TO?<_LZNWvoEl}{E;L30{hIL&e<Q>Z+_!}{egYwX;Tjb z_Mg{pJP_E2eqs8-0QNufN7cc=zVuV0hXVW4%RV?1*r$Hgm4^fS)%S*n1N+u{Z#o>< zzn<3lNMIlP#~&OC>}P-fyrY4A?e~m78o>TXQ+_)d!2U<8uR0dk@4jQou>kfz`s}aA z0@(lPZ+|%+*ax3G?08^5{I~BN5B}_n-*-H)KYn4-iNHSj_X|%1_RC-J^NGN|`9mj8 z1oqEoBsT>1(T|zg5ZF(@`Td5#zWV)Z8Up+4AHVcuV4wY)txpE_+jpLRGO+JH=arKI z?0<02+>-(9e=vF5$pH30xc{QY0QNt4=-$Qv_CFZZy)l6O55Ak&7{LAqZ#>c%!2So9 zzS<bT{s-rO))>J42T%Ud7{LAqFDz~hVE=<=Ya0XD|Der|#sKy|*mR&Vfc+0HIo=q+ z{s(hUHU_}|E&YG6|1JH$VgFnDpTqvQ^uG`L-_rj*?0-xDd9eR2{pZ8}xAfl!``^-k zKkR=?|2(k&E&cPs{<rkc3;W;FKR@h$OaDHw|1JId!Tz`O?+g3i(!W3Ke@lNJu>URn z`N00S^ydZp-_oBS?0+kNp0NL|{Q1KExANx=``^l+KkR=ie;=^_t^EDK{<re?1^eI1 z-yiIMs|Waf!v44N_Y3>q%HKEae=C3gu>Y<6Jiz|9^78@v-^$Mm?0+jiKd}F;{5-+_ zxAOA^``^mX8|;58KYy_Qt^GX0{<rq?3H#sL&nxVIYd^oR|E>Kz!~VDS^9}po+Rr=e ze``Pgu>Y<7KEVFB_WJ?*-`ejB?0;*&Kd}F;{XW6|xAyx5``_B{8|;5;zkjg*t^Gd2 z{<rq~3H#sL?<?$oYrns+|E>K#!~VDN`wjcw#_v1qe;dF5u>Wm*9>D&$@%aGz-^S+! z?0*}dAF%&ze4fDmxAFM```^ar4eWm#pFgnwZG0ZV{<rb@1pD8{=N0UK8=qgW|80Dp z!Tz`L`3C#n#^)XEe;c2Fu>Wm*9>V^&_4x?<-`3|P?0;LIpRoUJeV)SpxApl7``^~* zE$n|=pTDsGZG9fY{<rn{4Ex{K=QZqqTc6*s|80Gq!~VDR`40Qv*5^I!e_Nmbu>Wm+ zAHe>%_5A?*-`4j9?0;L|AF%&zeV@SoxAXl1``^y@4eWnA-#@Vb?R+1>{<rh}1pD94 z_Z94aJKtZh|LuIA!Tz`N{RaEr&i5Vce>>lQu>b9RAHx2(^Zf|>-_G|X?0-AopRoV! ze4oPpxAXl9``^y@E$n|g-@mZ`?R+1@{<rh}4Ex{S_ciQ)d*9!%|LuLB!~VDT{SN!z z-uFH1e|z8mu>b8n55WGn_j~~R-`?{A?0<XD53v93Jx{>?xA%Mj``_O42JC-(&mXY= z?LCjc{<rsh0{h?I^9t;Ld(SVh|Lr}`!2Y-Qd;|O6!SfF6e+SP$u>T!A55fL-@O%XO z-@)?|?0*N(Pq6<TJWs*?ckp}#``^Ly7VLiq&tI_r9XyZ0{&(<v2K(Q^^BU}b2hVS? z{~bKf!Txvfd<XmA!Sf#Me+SQhu>T!A55oR;@O%jS-@)@D?0-kkkFft8Jx{{^cl3M- z``^*?ChUJl&!4dW9X*f2{&)0z3j5#D^D69rN6)XY{~bNg!v1&kd<*;E(ep0se@D;1 zu>T!B55xX<^n48a-_i3j?0-kk&#?a;Jx{~_cl3M>``^*?Htc^V&)=~Boji}j{&(_x z4*TE9^E&K*C(rM&|D8O~!~S>jd=LBI$@4z!e<#oXu>YOB55WF+@_qpO-^u#|?0+Zk z53v88yidUXck+G#``^j?2JC+)?;o)LoxG30{&(_z0{h>|`wHxTC+{z?|DC<h!2Wmk zegpg8+4~Oce`oJMu>YOC55fL-_I?EW-`V>T?0;wPPq6=;y-&gZclLe-``_977VLj# z?_Uq}eYvyuG1&jk-p^qFJ9}S){qOAk4femY_c_@A&ff1}|2uo%gZ=O9{SWrPv-d&R z|1RDSVgI{$UxfYd;{6f!zl--t*#9oxFJb??c;AHm@8bOv_P>kwQP}@3-cMowyLexP z{qN%a752Z2_gUEgF5Yip|GRkKh5hg1{TKGXi}zvJ|1RE-VgI{$Uxxkf;{6%+zl-;2 z*#EBHuVMeYdf$fq@9O;<_P?w5aoGQ^-p^tGyLw-T{qO4i9rnMg_j%a=uHNrq|GRqM zhyCyB{U7$ftMdTZ|E|slVE?;1FM$2;>ihuqzpL{E*#EB17hwOpI&Xme@9O*k_P?w1 z2-yFw&L?31yE(6b{qN@d0`|X~^9<PkZq7Gg|GPQwfc@|0`~&vCoAVIZ|8CAlVE?;0 zFM<8<=KKWqznk+E*#B<MS786UId6ge@8<jk_P?9+7})=A&Szl%yE(6c{qN@d2KK+3 z^Bma!Zq9dL|GPQwf&K69{0H{GyYnE}|L)F*VE?;2FM|E=?)(V$zq|7!*#GX%mtg<9 zJ8y#h@9z8w_P@LHDA@n*&Zl7iyF0If{qOGl3iiLd^DNl^?#{Ph|GPWyg8lFA{0sKK zyYn#E|L)GmVE?;2FN6K>?)(h)zlZZQ*#92R*I@s9IB$df@8SFn_P>YoIN1Ll&gWqN zdpNIy{qN!Y4)(u?^E}x99?th*|9d#^gZ=N}{15iOhx0(#{~pc<VgGwLFNFQ?;rtNx zzlZZg*#92R7h(T<IB$gg@8SFr_P>YoNZ9|L&L?62dpfU#{qO1g6868R^Gw+Pp3XO6 z|9d*`g#GX7{1f)S=Y7mWVgGwNABFwz>AV#7zo+w4*#Dl+Q(^ymI$wqT@9Df1_P?j| zSJ?la&SPQ!dpe(m{qO0#7WTiV^IO>ep3ZY&|9d*$h5hg4ychPrm-AoP|6b06VgGwM zABO$!<-8d7znAl4*#BP6lVSgRIbVkT@8!H1_P>|&XW0K<&ZA-fdpVzm{qN<x8uq`J z^K01uUe2>&|9d&#hW+p5yc_nvm-BDf|6b0+VgGwMABX+#<-8pBzqj*q*#F+n(_#O6 zJ70(W@9n%D_P@9Dci8{l&f{VKdpn<p{qOC(9`?Vt^LyC;-p=!3|9d;%hyCyU=e+-{ z=XyK;hyCyEJ^=Q=xBCIu|K9EkVE=o&KY;!3?LGnazqk7Z*#F+{8({x?yMKWF@8doK z_P>w&3E2NW?kiyb`?$Y={qN&G1NOg<`wiItKJGhU|NFTAfc@{|J_Po^kNXkW|32<Z zVE_BLKY{)4<30uUzmNMB*#AE6TVVhDxPO8D@8doO_P>w&8QA|m?rUKG`?$Y>{qN&G z2ll^@`yJT-zV3Tq|NFZCf&K66J_z=|ulpg`|Gw^vVE_BNKZ5=5>pltgzpwix*#Exn zn_&O@x_^TG@9RDa_P?+DDcJwM?yF$``?|k^{qO5O3--US`z_f2zV5qV|NFZCg8lF7 zJ`DE1ulq6B|Gw_aVE_BMKZE`6=ROVgzn}Xx*#Ca++hG6uxqpNG@8>=a_P?L|IoSVx z?(1Ox`?<e^{qN^K5B9&G`#sqIe(w8V|NFWBgZ=O4J`nc5pZh`B|9<WZVgLKNKm7jN zihk}BVgLKNUxfYd=e`m4zn}X@*#Ca+BVqshyPt&p@9(}6_P@XTOW6PZ?lWQk`@7$S z{qOI-6ZXHq`%l>a{_aCz|NFZih5hgEz7+Pqzxz|z|Nib%VgLKPUxoee@4glGzrXue z*#G|SV`2aMyPt*q@9(}A_P@XTTiE~p?sH-P`@7$T{qOI-7xsUE`(N1q0S~YbhW#Jl zei-(Dfcs+D{{ilgVgCoXPlo*;;C>nQe}MaD*#80UpJD$8xQ~YYAK-o(_J4r;YS{k) z?yq702e{9M{U6|d8}@&I`)=6(0q(zH{|C4ahy5SmejN6HfctXT{{imLVgCoXPlx>< z=zbmcf1vwz*#Cj<-(mj;x{rtbALxD__J5%Jdf5Mg?(bp$2fELP{U7LlANGHs`+nH} zf$sld{|A}}fc+n6J^=QApm_n<|AFQQVE+f2CxHDQXubgUf1r5-*#Cj%4`BZXnn!^B zA80-S_J5#x1=#;V<`-c92bpJp{U2n$0rr28c?a14LFOM|{|A|efc+n2J_7cCka-E% z|3T&_VE+f1r-1z*WWECSe~@_#*#AN1FJS)%na6<rA7nlQ_J5Fh4cPxd<~Lyf2bt%9 z{U2n$1NMKAc@NnCLFPYT{|A`|f&CwBJ_PoEuz3;K|H0-*VE+f3CxQJRY`z5cf3SHI z*#E)iPhkHCn@55DA8bAa_J6Q>71;m5=2u|<2b*Vs{U2<;1@?cic^BCK!RB9J{|B3g zf&CwBJ_h!Guz4BS|H0;GVE+f3r-A(+Y`zBef3SHQ*#C6%H?aTd=5b*E)6M6={->MQ zf&EW6zXSW9Zk`ABKizx}?0>p>AK3qN^FOfv>E?l8|I^I}!TzV47lQpyH$MdXpKhKA z_CMWx5$u1uc_Y~Wbn{2B|LNwDVE@z2C&B)wn^%JUPdC2=`=4%}3HCqTd=u>d5c5v3 z|3l0_!Tt|14+Z-_#C#O&{}A(1u>V8MPr?2VF;A6yq~8$pRj~g<%v-_!4>5lQ`#;1y z7VQ5J^I5R}L(FTz{tq#~1^Yk5JQwW$5c6HI|3l1s!Tt|1{{{O$#5@@6{}A(Gu>V8M zi^2X6F+T?TKg2v4?Eg^nWw8H4&6~mg4>f-V`#;n?8tng2^J%dEL(Qwf{tq?32KztM zJR9u)Q1flD|3l5Y!Tt|5{|5U%)I1#Q|4{RBu>V8N%fbE+H9rUYKh!)O?Eg^nb+G?K z&D+8L4>f-W`#;n?9_;^6^LeoUL(S{K{tq?32m3$FJRj`;F!Oz||HI7t!Tt|3{|Ea& z%se3M|1k4`u>Zr%3&Q>nGd~FXKg>KK?Ef(Hg|Pp_%p1b~4>NxV`#;P)BJBS#^NFzk z!^|tf{tq+12>U<GJR|J?F!PPD|HI5X!u}64{|Ng(%seFQ|1k5Bu>Zr%OTzvSH$Msc zKioVe?Ei4{m9YQA&0E6$4>x}a`#;<~ChY%k^O>;!!_8~L{tq|53Hv|XJSXh`aPyt8 z|HI9D!u}68{|Wm)+&n1k|8Vo6u>Zr&i^Bd7H$MvdKioVi?Ei4{rLh0Q&6~ph4>x}b z`#;<~D(wGo^Qo}^Bh0J9{*N%f3j068JS*(~2=lG5|0B%1!v2pi{|fs*!aOYO{|NK3 zu>T{>%fkMTFh2|XKf*jM?EeV!wXpvq%-h2Lk1&4=`#-`wF6{pZ^SQA9Bh2f<{*N%f z3;RF9JTL732=l$L|0B%%!v2pi{|oy+!aOkS{|NKJu>T{?3&Z}8G(QacKhiug?Egsf z#jyV)%^So1k2HS_`#;h=GVK3I^U1LPBh4$r{*N@j4EsOQJTvV7Nb}9G|0B&i!~Tyn z{|x&-(mXWm|48%Eu>T{?OT+$;G(QddKhiuk?Egsf)v*5~&0E9%k2HS``#;h=HthdM z^VzWfqs(i={*N-h4f{XJJU8tBDD&O0|D()%!~Tyl{|);;$~-vi|0wg}u>YgXi^Kkp zGCvOcKgv8g?Efh9<*@&w%$vjhk1~G_`#;J&I_&=_^Xahvqs*(r{*N-h4*NgKJUi_F zDD&;G|D(*i!~Tyl{|@^<$~-*m|0whEu>YgY%ftSUHa`#hKiWJ!?Eh%<^|1e=&D+EN zk2Ze~`#;(|KJ5Q!^ZBs<qs{BX{*N}l5BopbJU{IJX!HHB|D(<O!~Typ{}1~=S{?xQ zf3$o6?Eh$a0oec1@&mB{qvZ);|3}Lg!2XYxH-P;gEq?&}KUy9E_J6c|0_^`7c?H=2 zG4czr|6}ACVE@O+H^Bank#~UoAM+>w&?6Wl4*~l>Mm_@ee~i2Y?Ee_~3E2NJ@)WTD zW8^Df|HsH%!2XYszkvN8BaZ?5KSn+S_J54L2JHVB`3>0rG4dR+|6}AkVE@O+d%*sW zk^g}GA0rO}`#(lL1onT7ya??7Sosmy|FQBUu>WJ_OJM)U%A3IckCi`x{U0lj0{cH! zJ_YuFth@^B|5*7I*#EKeEU^D$<y&C?$I83F{*RS^f&CvV4+Hx@Rz3#yf2_O=?EhH# z8QA}^@-(pjW94gL|HsPP!2XYwzk&T9D~|*FKUO{m_J6Fr4($I}`5oB*aq>K{|KsF) zVE@O-`@sH>lmCJJA14n4`#(-T2=;%Ryb$dFIQb#i|8ep}u>a%ai(vo9$s57`kCQ)w z{U0Zf1p7ZuJ_+`JoV*h3|2X+2*#B|zOtAms<eOms$H_av{*RM?g8d&S4+Z-_PCg3u zf1JD&?Eg6VDcJvU@>H<@<K(Mg|HsQ)!Tyhzzk>ZAFOLQLKVCiy_J6#*7VQ6c`7PN0 z@$y`-|KsJmVE@O<d%^yXm;ZwOA1@CE`#)Yj4EBG#ycq2Nc=<8d|MBu<u>a%b%V7V< z%bUUekC#7#{U0xn2Kzr=J`MJNyu2Fh|9JT|*#GhJY_R|1<=a}V+dp334fcP${2T25 z1bH~v{|WMOu>TX}<zW9O$j`z4PmrgB{huIT2m3!k-VXMEg8Uuq{{(qF*#8Ogd9eQz z<n>_xC&=%?{!ftSgZ-Z%-v|3YLEaDce}eoU?EeILK-m8Y@`14b6XXS9|0l=~!v0T? zCxrc<AYTakKSACQ_J4x>A?*JIc|_R%3G#`s{~7X%u>TqIi?IJ0@{F+m8S;&={~7X* zu>TqIkFft4@{q9q8S;^^{~7X<u>TqIld%68@|3Xu8S<5|{~7X@u>TqIm$3gC@|dvy z8S<I1{~7X{u>TqIo3Q^G@|>{$8S<U5{~7Y0u>TqIpRoTK@}RK)8S<g9{~7Y4U0>Rt zAwLTHpCL~Q`#<p%_)^&aiSnkf{}bg;VgDz}qr(1Aluw2IpD3>i`#({B750CkJS*(~ zMEO?O|B3Rhu>TX~Ut#|z%EQ9`Pn3^^{huf=3;RD&eirtBqC73^|3vv(*#C+0wy^&b z<!@pCC(7f({!f(8h5er>uM7J>QGOTpf1*4u?EgghUfBPM^1iVD6Xkzl|0l@<!~Rc_ z4~G4pBrgp6KS_QV_J5K*G3@^&`C{1rN%F?9|C8j8VgDz|Bg6hrl23;HpCqpg`#(v3 z8TNmYJTvV7B>868|4H)Bu>X_fpJD$e$wR~bPm+&@{huT+4f{Vyej4_Fk~}r+|0MZp z*#AlL*0BGR<ga1>C&^>O{!fz6hW(!;uMPV@Nq!slf3iF`?Ehr>ZrK0H^4_rjljXl* z|0m0X!~Rc}4~PAqEH4iGKUsbp_J6WGIqd&r`EuC*$@1o~|C8m<VgDz~qr?7BmQRQM zpDeEq`#)KJ9rk~+JUi_FWcha3|H<<1u>X_g-(mkJ%frL|PnM5|{hur^5Bon^ejfIJ zvOGQP|77|42O9QFmbZufpDce5`#(h<ANGHWd_L^|6nTBv|0(kOu>Vu!`C<R3$oIqk zPm%YB{huQL&;NglJ^=o+Df$8U?@rMd!2foN{s8`2Q}hY&&z_=RfPdE%eFOZvr|2Kx z&oYHS)7|{prsyZ&&pJh40e|)>`V08GOwnh+-))M11OBd4^d0bbpQ8VOpT!h?2>fiO z=ttmZHAP<nKf5XV6Zlz9(Wk)AcB*~_e%4d<E%39Ss(*ps#Z-L^{BEY|XW(}=RbK<Y zyQ%sc_+3ub=fLlFs(uH4*HiU9@VlR?|AEiKRDBS9Hm2%_;IlGSUj(0>srn=MEKSuX z!Dnl#ehEHnQ}s>o*_*0=g3sbqeH45)r|PHRvpQ8@1)tri`YZS>Pt|9^XM3uC3qI>p z^<D7UpQ`_Y@4{4l7<@OT>c`-_GF4v&-<@gtGx#n|)2G3AYnpxyzH8I;ZSdWjrhkL) z;xv66d^e}*=is|KO<xD!-D&ze_%2V==fQV-ntl(y>(lgo@ZF!L|AS}2G<_gE8>Z<8 z;aM?FUkJ~RY5GHWmQ2$p!n0+Xei5EE(|G3G$+Ksg{t=!<)AW(>Y?`K@glE+>eI-1* zrs*%?SvF0d3D34^Jmc=<SvO7J3D3T1`cHTkPSc0NvvIn96rPpS^`-FaoUT8GXX$i( zDm+`K>sR4fJ6+!j&)(_!S9lgr*T=%MdAfcUp4HR!weako&NKWDp5@c^x$tbCuHS`c z{d9dVJo~5Xf8kv)T^|hZhUxlYcvno<7sI<_y8am6CDZlE@NSu|Uxs(hbbT|td#3B3 z;axOc9}VxO>H2ASS54Pf!@Fy`{u<t8)AiZ#Zkw*(hIid`eK)-Ort81qU6{!`@ebaN znfh^fS7z$V;oX_3KZkc|Chyccc(-Qi*Wq28sc(mOZ>Iho-o=^vcz8Ew@{VrCyE;=} z5AW_w{XM+PGxhoKZqL;3!@E9H-w*HpO#MHc1v2#kaW=@*55!p^Q(q8ghfMuJoFy{# z330Z_)Gx$YBU9fHXOB$%L!3o2^$~G4$<$B8StV0n5oec7{Y9K*GW8j8w#n3Q#91d( z-w|h@O#Mfkg)%u4-Okx)hJGZ@N;C8&adw)aKZ&!{41G$Rt!C&~;;c18-x6o98Tywv zi_Or-#Mx|yekRUpGxRlacAKHUiL=}ceNLS1X6Sd~tT#j76KB5}`ky!p&d>+N*>DDD z#M?P5&d?Xd*>Q&cD9(~I^ht5HoS|Qev*rwaQ=C0#=%3;&Izt~7XVV$_sW_|7&{xIT zbp~hH+c?Y4&}YTjc7}c{&bl-7U2*oEq5q1r@C<!eoQ-Gb$KtF!Q(qQm=b8GmI7`pe zr^VTNrhYBX+B5ZSarU06e~YvDOnqFO&1dT8;;cSXUl(Whnfkjp%g@y3#o2zQelO1Y zGxdFO_MfT$i@U%~ePG-TX6gsyt}s(y7<Y%6`op+O%+x2w-D0MGG42{OxpUmg-D9Ty zG43KW^^tKmnW>+QyUI*`W!zn6>M!FiGgF@#cbl2|&A98#)OW_+XQuu$?m{#5p>a2w zsUMBI(oB76+?{6XPvb5%OP?Bdt6BQhxNFVQx5nLTmi{&FVzczIaW|W#pN+fPEPZX< z-Dc@;<1RN#pBs0(S^C|$>&?>l#@%n0{x|M|v-H7nH=Ly(j=SP4eR0p<H+>d&$XmEe z&eA8x-EtOp%v-o?&eAu>-E)@yIqssf^wDuQou!|SyXq``b=+NN>96B1J4>G(ciUO| z?YQgC(s#$*cb5J;?!vS5;c+*f#U1$;?#i?D<#BhOr9Y3m^elaP+^uJE$G(}n_AGsS z+`VUU2fvxSc$Pjs?&ewg`M9fR>FeX}o~6H!yL=XR`kT4iXX*FjuAimvkGp@C{y$~` zS<D1(W;T$eACOr=mcBq{2U+?9nI&ZD6J)lKrC*R)LzcclW)E5V2bo1==_6z|k)@xI zSw)t<LS`3P`U{z5Wa%?xwvnaZkXc8TzC&goS^5u|g=FbNWHyqeACXx}mcB%0Ct3Ov znWbduQ)IT1rC*U*OP0PxW-nR#7n#Lm>0@Lzlck@LSxuI{MrJoz`Wu<$Wa)Ecwv)|_ z=O$)7+4>%t{bcKZWEPaI50cqXwth%vMcMiynH^;_L%NAsQno%xW=q-nC7Cs4>zicu zl&yb~SyZ+@N@i2p`YD-JW$UYCc9pHal37-^K1*g>+4?P+b!F?jWcHP<|B_i)wmwW| zW7+yKmkxL)TVE!#vuypD%+j*;X);^O*00H|EnD9vv$t&ho6O>}^>H$r%hu1ytS(z$ zC$qb3{hiG6vh{f~+soGP$*eD1-zT%bZ2h0i0<-mjG8@d+56Y}CTVE)%!)*Pb%o20- zi85Qv(J#uZF-PAhv&S6$qs$_6^pP@~%+XKEtTIPmDYMHQ{iVz@bM%=q+sx5#%B(X- z-zl@t9Q~)vLUZ(?G8@g&kIJkxhneY(%uaLkr!q^;VWukkpQB%uS!<5IRc5c&y*FVN zo1>4F*=!Co+9u3ubM&<`yUo$x$}BgBnQjwiyE*z@nf2!Adu8^UqyLpzaE?A$X2Uu9 zVVM=@=!<1`oTERMS#pj(S!T;Q`em6l=jfYd_MD@CmRWR;K3ZneIr?dtRp;odWp<sT zzm{2cjy_vv+qwE}nRVytyJhyBtN)f+c&<KNX5+c~aha9p>dR$zo~u8XS$eKMU1saK z`gNJL=jz*K_MXcO{sw08x%zmS&FAXpWmcc7ub0_<uKr$T`MLUhneFH5_hr_ftM8ZD zf3E&tb^*EifY}Y?>IY_5kgG44-9fJYV0H<)`h?jn<mwk@*O04knB7CJ{$X|zx%!CN zP2}n)W>=A`ubACMuKr?n8M*q5*=^+NH)hw7tM8cIN3Q;3b|Ja?klBso>PKc*lB+M7 z-AS(gWOgaJ`jpwN<my*u*OIGmncYjS{$+MCdHR^y&E)B4W>=G^ubJIVp8jTbIeGe= z+3n=%cV^d<r|+5FPoDl~c0qaipxF)O>4#=ll&3G6-BF(YXm&|?`lQ({<>{AZ*OaGk zn%z^L{%LkmdHSf?P35tpx{h5{p1x{!S9$uY*=6PFvu3xIr{9`gSDwCWc3*kyz^-E# zmZuM!-B_M}Y<6XN`m))b<>}96mzJkbo84NTer<MbdHS~5z2)iOW*3*IkDJ|Go_=n2 zb$R-_+1=&o?`D^mr_Y<+UY>q$c71vJzS;fd>HlUIn5Pe%-C!O&!fV+T=IIM(cbKO? zoLyp`K5=%7dHTiKHRkIZXZM(|f1F)pzCLnxlll6|*;VH2D`$6^ufLpKX1+dicANS7 z&DnM4>pN%nnXmtxU1+{Obatcp`q9~y=Icvmcbcz1on30aK6Q4h`TEt_wdU(vXZM<~ zf1O=yzCLz#v-$ej+12LjYiD<xufLsLZazERYuN4P>vw0@o3HPk-EY4BcXq+~`rz3O z=j(@OSDddep51Z2{&;rD`TFG9E$8c(XV;vsZ=T(AzW#Z3(fRu5*-hu`r)O84udkln zb-w<3cG>y*?AdMS>$hjuov-hn-FLqJdv@XZ?8L8TH=eH_pIv#rzI=A)`TFzOrRVF@ zXSbfOU!PrjzP^2S@A>-o*~RDU<7YQtpr4;zeSyAycJ~GP``P6e=<{c{U!dQgU4MbT ze|G-``v1uS6xatqHlV<M0I~uF_63j~D6l_(EJ1;N0%Qvc$QWEj)}X+?0kQ`L_79Ln zD6o%!Y(jzk1Y{Ko>?<I<P(X&^O0o<E_8E|EC?Ml-C0U08`wqxH6xe@27NX$KK7@l= z1@<G5l_;<;f$T(q{Rw0#3hYxLTT$?5zrqzy6_B~OlI%r+{R?C<3hZMbn^9mt16hp% z`x?k@6xiQDmZQKv2eKUn_B)XED6sE=>_>t94`e|K?1La1QeZy>S&;(!BFK&u*dIZb zq`*E2vLyxfOOQ1wuy2CwNrC+nWKjz2qad47U_S*}l>+-J$gULFUqP0oz&;DIEd};l zkaa1r?}F@0f&CX`VG8ZTARAL?KL%NuLi;kv&J>cNxq>WBp?w-;YYOexAZt@--v-&6 zLi;z!;uPA)K{lt*eh#uag=BXAN_MBv{tmJ{h4y)n?J2b1gRD=XeII0h3hn<O3sh(y z2-%=Q`$5PG71|d<cBs()5VAyt_KA=!DzsmOtWlwTBV>;X$sqleEK;F;BxI8c?I$6t zRA^rb*`-4JOUN=6+Gj$xsnC8CvQCBeosfMhwEu)GRH1z+WTOhnNL@}=s?fd^vQvfj zr;w#8v`>X>RiXVVWUUJATOoT@X#WaXtU~)($YvGV&q7wK(7qP3TZQ(wkmV}0&xLGP zq5Upoy$bDnA^TNm{|i~LLi=FIh85ZmLsqQNz8JD&g=EMsBTH6jpA6ZuLi=UNnibkN z^G%Nph4#;oMJpteb{W~ULi=gRsukK-Lw2pu{u;7uh4$Gzk$$|;ejBoGh4$T$eJis6 zhAdo>eK=&}itNWBD_3M+4%xXP`*X<B71^glwywy29kO;s_U(|pD<Xq;DOtQC`*_Ia z71_^2R<Fpu9<qBy_V<wGE3(grY+sT6K4krh?E4}6S7iSWS->LufXD_G*$+fku*kk3 zvV%qT2azQ#vQLO?VUhhpWDSe#8zOsHWd9IZ#3K8M$R-xqPefL+$i5=7i$(Sqk!38h z&xmYek^M$w9gFNcBKufm{}EZpBKwfYMi$wRL{_rMz9h1fMfN9=r7W^fiEL$&{Yqpl zi|kt>ds$@v5?Rb5`<TdP7TM24R<p>yCbFAF_BWB`EV9puY-f@EPGmic?0X{nS!Dkc zS<oW;pvZ<6*$+ilw8*|FvZF=zN0B8hvQLU^X_5U>WKE0gn<9H!L<aRQWKoOkqavGH zL`L;5WL1mot0KEvWPcS|)*}0?$hH>QZ$;L%$i6GGuSND>k%cX?4~uMUk^NX?WsB^~ zB0F1Te->HVBKx$yZ8%tDzZO~BBKx+;-WJ)vMHaWnJ}$DkG5fj5>c;HrBD)*2zl$ty z%swx&y)iPr7nAjk+4n{EH)j7AS>Tv`U}S@1_JffXj@cJRb~t8#7+K<&ePU#bWA=-Y zHI9)vzL@NB%>FU5$T9oK$R@|^CnKvIv#*Toa?JiRvdl62%*Zy!>^CFp9JB9?>~qZi zGqTVz`_RZn$LvQVD;={hjqG&H{xq`GG5gfWR>$mDBWoSAZ;kAA%>Fg9*fIOq$Y#gv zXCtc}v#*WpcFg`ZvfMHI+{kvv>~|yU9kcI^?03xmH?rU{`{2li$LxnAD;~2ij_i2M z{y4Jav7z+Iku8teFGtoqX5Spy^B5WQ3(2C#?4u)_9<!g0ta{A8I<o6A`|HTE$LzBs z+a9yuj;wpkzB{t-G5hbx!pH2xBO4#HACIhj%)UIb^D+DL$kNB`(<56SvtN&_eayZ+ zviC9j_sHVM?BgSwAG4p2tbWYCKC=5U`}@f9$L#YX+aDw2e*szln0<d_|6}(5!2-nW z1B4BT*$)US5VJ23b|7YdAS^-5K0(-mnEisV1~K~vVGm;V55gkEU=l8XO^De~2&)jY zuMl=2W`7|pL(D!y*oGL4!}+idG5Zc-A7b_&!a~ICLxhcp*^dY-5wkB5b|PkfA}mGB zK1JAy7>vdFuof}<7GW=9_AkO>#Oz~)%?Ryhgw+V`YlPhh?QewT2<>x(?Fj96g!KsR zdxZT6?SF&?3GIV~4GHasgcS+xi-a8s?T>^d31LdkgDnYROwNNf31LpogFOlDpM*sT z?W2TE3GJtZRS98M&VyYE?XQGo3GK6lZ3*qSgmnq+yM%oS?Z1SD3GKs#jS20?gp~>H z%Y>Z??azdz3GLH_tqJYdgtZA_Zq9|h3GLs6#R*|@&V|hh?dOEm3GM5I-3jgQgyjkC z^MvgQ?e~QB3GMrY{R!>=gar!i1BDF=?FWSw3hfJp9SZFag(V8@6NN1b?H7eL3So}U zg*^)GAB9B<?IVRv3hgI_RSNAZg<T5mFNI|a?K6dK3hg(Abqeh}g?$QPpw5AX3hhIM zjSB5Yg_R0nrp|$#3hhsYr3&p+g{=zhSB13-?OTPt3SqF$fyD~#V};EM?PrD63hir! z-3np2&Vl6$?Q@0g3hj4=^$P8Kh5ZWce}x4L?Sq943+;!66$|Z)g&hm+kA)=*?URKq z3+<PMH4E*Vg*^-HpM^yW?W2WF3+<<cRSWH_g<T6_*v^J!3+=OoZ3|)C&W3dh?Yo72 z3t`~ShJ_35!-b6t?Z<_c3+>B=oeO`UKNprRv`-hdE`+f=3)U{QZx{A1w0{>CFSL&r zHZQcF7gjH{uNQVNw7(aYFSO4Wwl9S7I}6q?wC@-8FSP#`7Lb2m@>#Hfq5Z(Hf+5V{ zS+Ikl{lTz=p?$)zg`xezu!f<1!?1^;{ll<`p?$=#iJ|?(u!<qf;+e3Eq5Z|MjG=wT zu#KVp#;}f|eaEnmq5a3OkfD9Zu#utt$gq;3eaWzsq5a9Ql%ajfu$7_x%CMH9eao<y zq5aFSn4x{lu$iI#%&?lFea*0&q5aLUoS}Wru$>`{=NYh`p?%M=pP~KFu%IDK=ozq~ zq5aUXqM?1!u%n^<(XgbUebTU{q5aaZrlEb)u&1H@)3B(aeblh2q5agbs-b<=XP#CU z!myqJ%Np8e4ci*RxSj#)8rpXa`x@GR4GSCEhYcGW+K&w@8^X+<4m%s#pAAbJ+NXW# z?2V!Q+OW2vecQ0Nq5a#ixS@UAu(_fA+_1W#eciCTq5a*kyrF&Gu)QIS@9D6<p?%-5 zzoGr#u)v{x;IP4={ot^|A<Xb;u*0GK;jqM^ed4giq5a~p#-V-Vu*aeO<FLq~edMso zq5b5r%AtMbu*;$S<*>}5ede&uq5bBt&f%YZ=Nk`%_MgK-hxVbvMu+yJ!%BztrNd5# z_NT*AhxVz%R)_Yh!&-;-t;1f2fA+6`a3r*k9X30(pB+{^w67g@JG8$YmOF&$J{7h* zgz-KV);qNC9rio4{~Z=Qv=1IOJhUGkRy?#X9(FvmKOUAmv`-$kJhWdP);zRt9`-zh zK|d81J+zM=Ha)bT9#%cHuO4<iw7(vfJ+#jrwmr1p9@agy?;iF&gn>T=7CwZDKLs{E zgpof5Rz8H8KLvI^grPs>yNQh<O#Laa^&yP?DX{h-%>5~__aO}aDX{n<O#Ugb`5}z{ zDX{t>%>F5``ymYfDX{z@O#dmc{UMD1DX{(_%>OBkjg2Av|DXRiPJl-La~uJU{`YYP zH2S~CA<*bQ52rw*|9l(+jsE*^4mA4j$3f8Op9d#Fqklde1&#iBaTYZC=f`2t=-&sY zL8E^^90!g5eQ_Q%`uE3y(CE(tCqkn?9~=pd{=9G|H2U+yq0s2h6Q{ySf4(>tPWto4 zxp2~-KMsbI{yuOrob>mDqv52#FPsf0{r%x^IO*>br^88qzc?OF`uoQDaMIsD4v3R} z9&kdO^z(ru;-sG!oDnDe{NRu{>E{Wj#7RG2I3`ZQ{)adxPWt)7L2=U0BTkA2Kc6@% z8vMNCtZ4A_i^HP9&ofSo20!08E*kv2<Gg5q{SR?qH28hMiP7Nq14l-K-xr)24Ss)c zXf*hJ!l}{V_Y22HgWort8x4N{aBwvEeZ<Mp;P(?pM}yy2oE;5*e{py;_<hFd(ct$R z$Hxi3?>IkB`2EKLa>C~UPLLBmA8>@6@OgnV<b=-;93m%to}~79?S#)493v-u-ryWL z;qwOv$qAoFI7v?Ue8N$3!sivvk`q3^aG0F%d4|*EgwHn|CntQ~;XFCv^A88g37>~J zQBL@L#F28`=OxaR<32xes2ulsic{sd&sQ8P$9>+;eBy`WK7Vnr9QS#QljXS2XB;iZ zeO}{iIqvfths$xF=Qv%C`+Udoa@^-V&X?mp|8c+^_kDm9=D6<%95KgzU*L>6?)w9W z%yHi*IAxCeetGhV#$&#3aLyd_{ey$%nC~N;G{<~D;ix(0`wC~xG2dS}Y>xRp!)bHO z_ZyCzW4`Zj-W>D&hXd!B??aq8$9zBH$T{Zw@?Sp<Ip+Hlht4tIr#N+v`F_2+dEznO zw>Wo>`ToVhbIkWKPM%}FpK<gMEc+(J*>lwQHx8epzRz*`9QFN<<L9XFdz?Q<egESC zI_h}<C(u#P2RMR`dS1X8bky?$4xyu-CvXZK^?ZS2=&0unoI^)Ff8ZcG>Ujhw(NWJQ zIEs#XUcp&()bk4tqobZ@a2g%;e1qfYsOKG=M@KyW;6OU!c?c)c5zj|Bl8$&@!kKi$ z^HcM?em&xO3a8Q$&sR8>j(Fa}xpc(y7Y?Q)p2u)99r1jIqv?p}HJnXHJip;^I^uZ_ zr_&M7cQ~Goc;3VLbj0%?4yYrZ2XR6j@qCCQ>WJq>oKZ(SKjM%&?0FKW)M3w;IHnGJ z-o!a|*z+e2s>7Z~aZ(-je2Sy$u;*2rRfj#l;;=gGc^0SDVb8ZXt`2+N#d&qu^Dhpp z!=8t6VjcE;j3eu?=VhE(hdn>z&^qjS8mHD_&(}D%4tw6lxpmm{Hx8~tp2u-=9rApR zqwA38b(~#?Jip`cI^=mCr`I9R_c*=|dEUqQb;$ES4zNSs2XKNN@_v9L?2z{boMDH& zKj08M<b49C*dgy1IK~cn-@rL`$omHlvP0fSn%;i*A@3&zKQ=k!eFbOPA@46Z%no^< z!D)8T`wfn>gWh*=o*nf5g9GiL_aU5U2fZKRNIU3#31`|t?@u_?4tk%$sdmu&6^^xo z-nVeB9rXT%gYDp-_puMg9`t^OqwS#gHJoh+y}#jbJLr85r`tjAcR1b-df&tOcF_AD z4!DEf2XVq3^nQpV?tu42oN))dKjM%(;C&LO+yU>GIOYy`-^4k0!22f-x&z)vanc>| zeu|^+fcI6LbqBn^;;=j5eHN$P0q?gs?hbh0#d&wY`!5c>1Kx*m;vMjQj3e)W_hp=U z2fRPy&^zFL8mHa?@7Fl?_IuyPxwqf@Hx9o2-p6tB?e~6;qi?_Wb)0?sy}#q|+wXlI zr{8|>_c;Fcd*8?Tx8M6e4#54+18@TFcRqk4aKG~coPqnDAK(z&?>qsg;C|-|I0pAS zZ@@XY-}wU$!u`%8a1!o!K2cP7&VJ_=I1BeVzt~s5d!O?RoQC_HZ{RrG=ez^w;Xdad zI1u+a55bAJ&-n<B#C^_7a3=0^eu6`BpYs%)iu;_e;8@(}yangtKIbnu8234k!O6JK z`3#Q6ea>reHtutNgTry3^BkOx`<(CKc--f_2j}BH=RY_g_c{;43Axw#5RS;b&WmtH z?sa~ILvpY4B%G3aoiE{--0Qpv=j2}JPdF&|I*%$k{*S%Rr*Ks6bzX(Da<B6%9F}{X zXW_Km>wF8x<zDAqI4}1)|H6T}*LfID%)QRXaAfXvUWPMsuk$k;ntPq6;ndvYd=1Cu z9_MX1H}^Py!@;@7c^pp8J<jKFbnbCphqH5!^E(`#1gmd|;q=_&d=JOx9_M{HKleEQ z!vVU-c_2>EJ<bPlgzj-(h%<DL^Fth>dz>fY6y4)|5y$8r=Z!c=_c(vVLAu9zBu>&j z&L?q{?si^@vvjxfOB|-VooC`S-R*o6$LVh8oj6Z-JO9Liy4!guPSoAbM{%U?c3z4z zb+_|V9ICsWr{YxI?R*u->Tc()I9GQ&f5pMN+j%Tb*4@r$akTDsUW>DJxAR*ZuDhM* z;&k2Zd>6;-Zs)x?Uw1kG#R0p^c`#1cUCxJb#O`ulj5BtZ^J5&cyPPNEl-=cg8OQ7{ z=gl~0cR7E?LA%R&G)~%G&ZlwI?s8s@vv!yBYaF(_oM+>--Q|26$L%iX-8gS|Ise9i zyUTevPTXD2$8qHDa$b%zcbD^X9J)K5r{mP!>3ki>?oQ|JICpnCf5*YQ(|J5j-kr|p zarEwVUXQbPr}KLpzB`@g<MiF>d>_Z}PUrnNe|I|n#{s<4eE?42o$d#41n+cTfHQcf z`vV-pJKZPX6yE860mtx8_YF9Qce;PTLA=v_1Ww`|?k8{*?{Hs%vv`O53mnEf+-Kl4 z-r;@&$MFvL9XOA7xc|U`yu*FS(gXk9;eG^1@(%YUIFom{Kf$5A!+i=)<sI%<a4hd| z--2^_hx->C%sbr2;AGz6eg;SL4)--Un|HXs!Qs5aeGX3N9qxB<JnwMdgY$X2`yU+8 z+uaA@gx>Cc2uJjG_eD6Px4S>WA-&yw5>Dyu?w4>(Z+G8>b9%e`Cmht<-ACc1-tK-1 zNA-61RXD4+yT7{k!=c;VXW_Ko?tTl$^>+7NIIp+6|H6U2-F+BN?CtKyaAa?HUxqV# zyZbX7+S}Zx;nd#dehtU=Hur5fx3{@}!@<4HeH>2iZSLoAbZ>KChqHT|`#T)o+uY~j z^xo!v56Aa5_kB3Ox4Hkr0lv+BAWraY?gw#%Z*yOWGklx-LmcAU+$Z7`-{yW1$M`n) zjX1}*xqrk#zRi6kPV#N;CvlW-bzh0Ie5?CQ9OhfyXW}&9>V6Z)`BwLxIM27b|HOg5 z)qN;V^sVkkaini`Uy3t*tNT+N>Ra8X;#A-2eig_1R`;zq*SETV#lgPSeJoD)t?p-W zv~P7^i?e;J`&%6DTixg4bl>WJ7svZn_q{mZx4Qqu0l&q4Fi!X_?uT*2Z*gCYGk%Nv zV;u5Z+$ZCd-{O85$NU!e%{b?`xPQh$zXkTc04Mzx_tQA)x45syS--{oH4ghN?z3^) zZ-M<Uz;VCDeK*egE$+W@;BRpsjuU^2`*9rkTilo9%-`bv9EbiE_vtwGx42)&vA@}U zJI?*h?%#3nZ+0JlSKi{y?&opzZ+2ggvwySudmR3o-RI-<-|T)L$Ny&c{W$+OyZ@&H zV6%AuIsrDD51=Dpvv~nJ12&r<phIA@c>+2GHk&V?V_>s+13Cvbn?Im~V6%AyItezL zPoSe<vv~zN3pSfypu=F3c?LQSHkogr;{efhZ9bg`o6JAZfw0Lu1f2+*%tz3Xu*tjx zoe7)FPtc*T$vg#}3Y*MV(6O+|yak;Lo6KL(!LZ3Z2AvF>%xBQiu*tjzoei7JZ_wee z$vg+04x7w((DAUzya$~Ro6LXE0kO$E2%Qj{%!kksvC+H;oe>+&kNo)Zx{c;Z=#<!K zzJ!j6jpj}0oY-jogbs?0=27UR*l0e5j*5-uRp_kPXnutbi;d=4=(N~qzJ-p9jpkkG zyx3^|g$|63=3(f>*l0e6j*N}wWv)Gxu+jVs9U2?W)6l80(R>XZ8yn5r(7CbE{0$u( z8_eU-$+5wF4jmmE%<ItEvBCTf9UdFZ^U&$B!F&%L9~;d3(D|{!{0|)<8_WaI39`X_ z5FH^K%nQ*Ovcdci9U>dd6VWNM!F&-NBOA;co&A4(Hkd!6gJgqwBsxhpm`|dkWP^Dn zI!iW~U)r+mnhoZe=rq}2zKM>L4d$KbJXvr4i4K(Y=Ar0BS#Lgyj+FK0rRYpqZ+?mn zmG$PS=u}y6zKV{O_2#YUTv>1aiVl|b=CSBxS#Lgzj+XW2wdibFZ+?pom-Xhk=yX|c zzKf2R_2#|kd|7Y)iw>Ce=E3NMS#Lg!j+pi4#psM#Z+?spnf2z$=#*J+zRbX(c{4g^ z)|o$}gJzw1G&*V4nNOpmW}SI8I&0RMU!%ijoq0AoZPuA@qvK|sc{e(5)|r2!181Fi zI686GnUA9*XPtRDI&;>UpQA%(oq0Msb=H}$qhn{Cc{@6H)|tPfgJ+$2JUV&Sna`u6 zXPtRHI(ycc-=o84oq0Yweb$=qqvL0-dB5=6HEYfP(E+s9JRqGwYt0AJ5wzC4Ae}*L z%@5KcwAMT!okDBP7t%4b*1RE|Lu<_+(m}NLY4V7460J3#NJr6H^NMs9tu?<$htXQ| zjC2~UHQz|b(OUD4bRMlW|40YYTJw-}BCRzaNk`IJ^OAHXtu;SMhf=+HN;;M5%~y8W zwYJ{8C7nz4<}c}BsyB~GCsV!oOgftC&1=%xRBwKh4yStaoOC+XoA0FKsouONolo`V zKk0y~HxEiDRK597I-=^$i_#fYZ+?^xse1FIbV}8mFQsFu-n=QDQ}yOg>7c4Nk4h(1 zz4=r+s_M<F(pj~}{3;z*Ys|CKX|=|DD;-yB%)8QgwZ{A_9awA3!_tYh#(XRtS!>M8 z(wVi!{45<>Ys}NqskO#@Egf5H%-hnrwZ{A{9b9Y7<I>5s#(XXvU2Dwi(%H4f{4O0{ zYs~Y~>9xjuFCAZN%=^;$wZ{A}9bjwB1Jen%#(XdxVQb6_(-~H0ewYriI`hPIiq)Af zUY)+I&b%?5V|C__=^(2!k4z_7o%v)s%IeH3(^*z$ewhxlI`hnQn$?+arsJ&6yfd9= zb>^SxK&vwkO($BN`Di-Q>dZ^inO0|hnhv!(^VD>z)tRrRW3A4-HJxj9=CA2st22*H zCtIEQY&zQN%xlxxw%YtQ9d4`5bJOXz+I%-1Z>!CFZ%C|KZT_1MxYg#t>4aNtKAeuY z)#k<Nj9YDfoDR9w=E>=lTW!9aj=9z5&FP$5ZT_4Ny4B{<>7-k2KAn!b)#ladtXpk< zoesOz=Gp1ATW!9bj=R<7-RZnrZT>xJ?A5Ey!_$ek+I&16d8^IK)0wx*{5&0ctIX5W zskh2}Jso?i%-hqsx61rI9ek_I<I~Bv%6vW@eXGpt)7iJm{5~CitIYG$>9@*!KOKLo z%=^>%x61rK9e}Ik0q6u=B_BXX;3|0mIs;e956~gFN}hmD!Bz4FbPTSNH=uKHmHYu6 zgsbEc=p<YvpFl_9DtQGu3s=f7&|$bzo`FuomGTXA9Iljip!0C0`~w|`E9D{RL|iE! zK}X_Bc?mibSISS&p}10>f=<Pi@)dL}u9UZ+b8)5o1s#kl<uT}FTq&PHN8?I)4LTcF z%5Tu&xKf^jPREt<9dtadl=q<Xai#nR9gr*KLFj~BDIY>d<VtxFIwM!gkI*5xLY{<9 z$rbV?bWE<0H=%QKh5QK}lq=*>=%idBpF&6F3V9VeD_6*`&|$eko`p`!74j`~T&|FJ zq4RQu{0kkJE97D5#9SdCLr3Ncc^Nu0SIE!Mp}9hyhEB~D@-=j9u8_B(b906K4IP{- z<Z<ZaTp^!BN9PK89XdN#$nVhMxk8?2*szn!<$LJ(TrTfJ=jU?yA38vn%LCB~x?Dbp zj?m@uLUe{Mmmi`-bh$hcoubR-i|80#E^qYnlmA&Re?$lAa(N^=Nteqf(NVfwUWv}q z<?>5(m@b!R+TCyHa``4YPM6C&(RsRD{)rCM<?>K;qAr(@q9b*=ycC_O%jKu&P+cxh zMW^a=`6@bAm&;qxxw=gLiVoIg@>q1TE|brC_QjRU<hAH*T_(RphwCzVE;?P8$#>E5 zx=h}S&evt~Uv$7OlLw;{cA0z_9kI*g#psM(CO<}p>@s;WI%Sv1m(el1Ox}#n*=6!) zbkHu7N28N=nS2@@waZS2SEI9bnfw|Zw#($%=(JrX-$uvnGI=*TZ<oox(Sf^69*$1j zTKPCSa%<(~=*+E^pQA&!R-TSd-CFrNI(BR2?daUCmA|8dw^kmHPTpGiJUV)7<@M<7 zt(D)S!?#wRk51oO`93;+Yvuju{H>M$qXW2B9*|DpTKPaaf@|dk=?t!wAEZOLR-Q2T zmH1luLOO<P<qhc^u9ZKegSb{6kxt@T`9wO3YvmQ`EM6+VNQd!Kc}6;om&!NNalBOC zk<R0#@{i@&^Onj((uurOK9Y{)rSg(=CNGtrq(gbBJSCmVOXVx+SY9e`N$2uX`Aa&O zm&#+($-GoPlaA)4@|tuuFO}b<!+EJZC!Nkq<vZzkUMlZN=krqePdcEN%7fAgy;MGQ z!`LQE<wfa?UMfFIhxAf;QaYuV%9qkHy+q!W&gmucr@zHEEs;m1lX{7KDjn5J<W=dc zULwCrhxHP9RywVh$hXpQy+q!X&g&)euXJE9k%y%ddx?B39ob9dW$DabB0o!q_7Zto zI<=R`*V3`QMBbLp?IrTJbZ{?`$EA~diF_^{-Am+kr!R<GBEL(A_Y!$tI=z?3_tNpb zMBbOq?<MlTbbv3B2c{FeMn0I1@EUnxI>T$^hv^Wnkte28yhgs5j`13KV>-uc<d5kf zuaQTlle|VgnU3-rd1X4wYvh;dFt3qkrqjGezL}2m8hK|r&uiqL=|HcMho%#~Mn0O3 z^cs0-I@4?9r|D3yk*B6py+*#8j`bROYdY6!u7$s*gS|!`n@;u``D{AcYvi@*Y_E~u zro+8Po|{hh#q!;Byf2pbrt^KV{5Ku&i{-)TgkLNlPDlJ=d2u@97t4>+A-`CjoKE@0 z^5t~QFP1l_bAGY>IUV$i<<aS+Uo4+aNBv@Xbvo-8%dgX6zgV7~PW#33?R4BPmUpM~ zezE-fmsQ;s%fnx?pzUJ$_}gnz7t6~ZUVi^#`S}Oe-mzGozGT~ti{<M}4qmzVPu~8t zix<n^AO6c(i{<g}XxgwyKL4t?1B>MK|7gE`k^KH$L)I;l=kGal`6BuLxF;7ZlJ~E9 zyL6HKf7~BGFVY9lYwcHy^aK3u)Q=YF3#hp1%|-eHZfpO_B7Fj_COx}IzrYR8KCwvO zzz^R)ut@*FRU2~_=_5$GWZEMA1Xl;+7U?Vaaq7@T`U{%A-gl8cgU*Y)EYfcfe@>f4 z`VKZkQx@qz=%3Skkv@c{K5Mo}Kf>cXn=I0o(DAM-7wJ!^oOsb9eF|58c;+Jg3b*e* zu~6SaL)?Ld`WIf#-@Z^EL&NXu7V2kccHxSJ`Wmhov~Z#RhMKp_7V2~8f9RKm`W;^H z@XbPf4`06g@k0F%&+L9{p+1O&_OC6}5ApWw=N9UVs6X<Lh592l^nG}tK8Y_r&tIrt zqT8i27V4Y$VrIrd{SzCPj##LVVqNOMh59Kzn$u&UzKXWzcUY*u;@zz1LVXs?*Tyf@ zZ?U|`Jqz_+y#3293-w>LZhqZDeHb6TdD%k!7@My?f1$pNJ<puBP=7}GxyKgh)0pwd z-Ua$KcAT<xfxeA|uzrF5jb4ql3-obBAFN)WpQGW7(gpfDp8EUG3-ovFz2xf!`aEuX z<)a1qJ?_2jtp%|Elg|I*)dl)LerWaF0(~ICqJJ#V5Ax!ehZpDznR_IEf&P$^r)MnC zC-T~D6Bp<g>HO=+1^Py+(g!WjKXTjAo(uGm^na=20{tXIlUpv(SCYCaae@Aly${^G zK%YtM_S+ZeH`!2q!vcLLH|1ZkK>tbdZ5J)jhjM4lnG5uz9C)~)T3^bG@dvB*r~Gy6 zj%s}>Q)X|d*01tLzg5-xRzA6?rdt2Xf6C`o>th-A=pWU8`dQljP_3_}=*%ywVgECh zmA+rC&*d*q&Z*Y#a%=CGtM$EHdCjxc`d_xJf1+9+%!{8qSgjxCmZH3BeKG&)npv$s zX7%+M)%s-4**l_IzszMN1FQAToP4!swf>oktd7<CXmWbCtkzF+<~>Q(`f6sKe_yr! zn&R!ZSL?GmQq`ndzs-f8Us<j1=FFEauGW9EHaxo;_CMpl8IAMx<Fx8`c)q@z($>4@ z>(6O^|K|Cy{}~V5yk@?BoiDGbov&}F>ip{Y`gi7?RytoF&!@+Ko)7y!A^*_V^Y!)I zwg2P!`g?xg`}TZ&KCSl6p0D5ModYk-*Y|Va$kX%n|J>R5=zM)Z9nTKu>j&z7NzQzI zL6PgH&DS4v#a-j)>l0d*GJL*%p+~w7n6Gc>>JdHW>mPbKtHXSKL}xw~%-2tp{&wPg zeMPVScJF-sMdfw3&(~+PuA#|%{YG1ExN5$>qvfssGGG7Ex8u&45Bop<k*7|XuOBJ$ z^^q!lNgL|-ROwI3yKrljKBb1#wN?6+rcGX6rEh7$?1feOmu_5KUZsy|#JRs$>1TST z)%R8Unm#S~yh?x5uiw01rO)a2gLA6%JAE1dN|nB+mva7HrT=Nl5C5pr2i4-#N2*}| z$M5P`SfwxO<!5JA>5odTn_Q(&YTjLAtMp6tEErm)Z>plQUzPr;#2dR;>7)8jR{JXb zRJ$vqRr;z@ZcD7vUsdqny;b_GKCQdGO25^r$c<I{u1?Ors!IRW6{lTNr4Q@Y@#j|Q z$GU6&sa5*2?npdVsXy!bIr}R0X`OfR_DcO)yNlLW>f5T^wX#zG)|`<wmHN0cmsVEl z=St~TT&b_?MDb6R`n$eu`E{i}uc<$MT&dsdhO~Do^?g<R_}@zXU(<t^D)oU~I`^4M z{a~MTexg!eSf|AgR_YI{8=7CKPi*?O8I}6Q8gnOA!v2r_$GKxF^^aZt>X1r(WUt)U zuTnqRjiucx^_Bf^c>7BIWw#!SR_Zf*>xINhh>x+i#NSt`@9cj|npNsQyD7J6r9QOR zue+vFKU$MNF0Is;Hha?fmHN|exZ;dTeQN*x{lq-|YE3f_&eON{R?}Vc^sn7jvuU0_ zwl5xEGfzKTBv>|2Ut7iD1@rW`4gS1*o<6r-Q-7bQ-|heI`C*>Ew;OkTF;D;7FQ0xm zPaoXqtheUrhdV!Q_B?%Y-<|Q|JlOv+ldAtYPoLb)uRcBx_J7RUi4V@xH}_U*{yhD2 z(=VDiPaj>Y^^@l5r@Q+5G4u4*9eH->JpFZRru3hu&#tO-k9qp-=HAh99_;^^KhA44 zPyb!T&g6Oe@K!9ke;(}rm;>M4HBVpO75}?sp8mWxPhUSzpI%<U74u;KM}I%@;(7Y^ z&Kz>iJlOxy={-)Jr;qQ)w#O>;^EFG`U!kw>_4pkX`ulEfzOh1|-xq(YtI+S)<8QSU z`u>jGyP!h<-^X#~75V@(lYg(!57?^J4;A_Xn{@fILVw@|13s$ICwSJ_w=47uUYzyc z3VnmOKlW0E{=x3A{HsDA;Uk~@y+S`>Mft-O`U-E{P*|bA@X=GUEA$zjxM5m_e#3`@ z2^IPduN^hALjU31M+aBvLyUdYr$Rqsm!(}R^d;VLYWoWPiC6zEsL-c){m`Te{fhTL z-Mm8IV)}1)R_I@R>Cnv;`WQFgbA5$=#xCQpsL<E=$DE5R^f&gXKc_;U<L)M>Rp@to zYvl2AeUH=MI#90vvFG-k<@zAo$89dx5811zzFc4A%<|>su>YezxN>p1KFMR_E6epu zj`+T~T;JsCi+(BBKRILUx8?dMZ}|DMa{ZL+ulk@|U*#`ZZ<gz?{Ice?a($M+$G=#v z-*W3q&y?%CyyN5(<@zrl8vAg$KFovj3(NImKAe(Wt}pY>cc+!>&)jikM!7!C@+U@> z>(~6PA-!DRX3flg<@z^I-_gBXA7{659n1A|ez~qyxxUWCk*VeSJD09)QLfMP*)e}B z*Y7!L>+R+GK1WS&TCV>y`{*_0`as`(<nnU;pocHHs9ay@xHrx&*B`p?{!`2KiOw!L zR;FKc!jJ=H`bK*n*jc83H2vw#W%@`TxpQrqe$uM46=nKL6EbSb^q2m6{`@k1rjtG` zEz@tBFyOZ`eWy2{@<W;a)B8X8vP>W9sDU4s=|}zY?03ubr8fKSjWYeIzf69m4EBFS z?oH2^=~wN$;^{Jdt9_q)yiEUUPS1zR^s)YQQDGVE|L|tjS!McKzxmg+GX1R+`)8EF z{ts_`{pd3NuF*|H%JjXC_^f}K{?~V6J<IgLUf#WPnSR)}o3t&{7dw1^q)dNov^=p) zpKO~qnwRO9onCNPnZDUS2HaYve>RfPq)Z>}nk%j@(@*=}(M!wp)xJ`9L7D#AuZqtq z(`S45<5SA?+m3qqSgF3-LysLO)qnd%&aP5@xG!gHDb<hr{?K)$`f}IzSyie(w?o&Z zrTTQ2wqH=HU-zGFDoXY3&S*WiRR8XSZGJA*$NPQzZ%g&_-q`i?QhmLj_4%+=fA7?x zZ<p%x9gy*Qsea$dIWL#$`~CQ_=SubeUjOpbrTT!s`}pxv{lE_uKUAtOIIpg-RDbYm zN3%=y3GcZgvsAxuX2PUWeZw~n99yb?_+-KGQhmhd%^6gxpSXQRpHh9rpB?B{s=v5v z(~hP3jIZd~x>UdMB@d>R>N`&RGNDxe@rzsUE7ga5bJM#@^&{60zO__e^86Q?l<H63 zS#x!%KIQ0NFE7=v{Of>=O7$&gy?Rcm{^cR-Pb<~OoOO3YiGJqaat@d1Yi?P*w?u#Q zzRP!%=yR?ex2Z(G^PcbPOY}WQE?HTk|M};PB_;ZxXUwfG(GNYOX+?>?===xfmgtYJ zTKjW}KIy*gzAMo$edz~Zl<1p2<Fb!S^iSVY^lphh>VIsVQv&-x<i>%omFTP9UiM;% z{^}j6&z9)3zU8wgOY~bm*X*$peb*^-9xQ?VA9B?Vg(dp1|MqHjiGJ*Y>oQC9WuN-r zNhSKTf4+HKi9YSG-XBq-UwcDbdWpX6uD|pv(Z9X3YtIsW+@-5Jm+0qSIkjDhzV16t zZ&{+h`?LR~l)Q(}d*=P|CHlRmRoz>n@B8hEcb4e?zUsVNOZ0)y{h&z+?0@=)J+3Ly z7hZkv@)G^wf17=Ai9Yf2j^~x=7k~ZW872D0zj(8;SpWFx{f`yvBmd{Q2aENS5Bz0! zvA*(QIopc$m%q_`W3fK-n|7@!)^EP{!xhE)&R0)aQmp^{s^$xd^`Sp=sG?XudWWBj zi}j^P9{;sifBKBRKNRaz-*nU0#roC%v;Wg#ed|w_d{C@^{pVNSE{6RdeBaDBiuJRv z@A_)7zV;=}UM$w%e$Ls?7Q_AzF5LWNv3~dF6^|9`d%x|Ihl=&T4}GDiSRee-Ft=Dg z{4<kg7VC?Da?sRb{qYr@GK%%dcTO8qtY7}Z=EIBi&0pGVaIyaR!A<)W!~PH2cwNt8 z{q$d6)1_EneaSWLi}lxEdwr{7efD2AO)J)K|F33=#rp2QXnudO{`;#U_Y}ka5Bj5X zvts@DnBa@`<!_pNL$Ut+;SXM2tWW>?mo6{Xuix~ui;MN`PpUkxSpWX<t!EbN<6m&@ zsm1#FcieSiuD<^6JrB>--+wrJ-&}qEoBz9Wu73X;=53j)@Bh^!>*wnKAJuHlT>Ak2 zpSAOjYa;po|LkshBM?eL4J8nI?-nd5Hbli<5ET^*D4=3L+u7^c%c*C*vwP}!*4ZTV z-VsoWG(}KAM6ms4Fx<!ceE#_U^P7jSyr;Z(c6N4lli<GdjGa=Z!F&Mqo+1tA1<Xv! z)nI-=@Yf6t<_X04r)W^@|D?v{Dh=ihT)g*KgZTs3KHk@09>JGBcQlw!aAeaB4dxZB z%KSrvV*e*zG`gt4JOk6Hvl<lpKk@mUlN!uBXkZ=HVE#d$slRA2525_l9u4LrXm~p` zn3vEMwMB#Z2`kk<X)sS=u=N@Z<||CuvO<G-3y)sKX)u2wIxI$mc?`4vj@Dp4!|ygx z8q8}5JTOy(`3*91ng;V61}99^V7|kn#<7|n-a|yF2J;^dln&Ei9z@%?U=8L&{F)b} z!MuoLWBO<?Kcc$8SA%&HD<*krFkfO?rHcmhCic#E&|v<A_`O1dc@$L}EH#)<@lDrE zgLxH;&r3C!U*YJlufaSE-&`FH=35+$=4&wTB8bV>VE#p)bEF3IFt!c)s>XZ_oBA#_ z=4FU?cBoP8|AbJFRyF2nRFuC_W4^}2t&M8T+j#9=tH%6|MfH_x%;V^HtXz%x9J4}8 z)DIA^qm)^o#{7;mPqWpS=kZ{5x*GF6Yy*<jnD<fFO{+2g<HO^}YRm(fvgvO%=7Z>t zxT{97|Km*zZmBUpB(eIc8uLVyH!rI(U&Lv{c{S#ZYz{x8#{3aihm&f|BXK2<sxhBr zW5q9O%qwyDd#@VvOYDyBRAZh=;>xXR%r`NgzDbRFC$d57)tG;>z;U%2^H6jQSEy0! ze>jhdS7Tnv)V3Hk=BE&~3)GmW@}*?18uL}cvS+DL?0@*j<QZzrU+JW$s8Q^H_^787 z)R@oG^<=CX^IAT`P&MYaOh_88#yl5x<`6aJyNHVhsxj|nNmV~J=D*mr1gJ3&#`mj_ z8uMX(6?>{NFJ^|qRgGf*$1UsQsKz{*y76{u%$K>o)LM;sGdX)L)R;dr?52qt#r}^o zEih7JK269+i5l~2vMt1F%&)mNOrXX*o4REjHRju_IL}aH-p%YHpvL^0-#K4Yn1?gG zUzZB=aRx8%P+?xqv74<b%+DFt+^oVpopDaDRhX}He{sDE^L7$%)u>SH|JZ|_FI1Sv z!wV``VLs3E9VIHv>*19as4&0hpk1yC^L$pW%v52%&%>-#73Td+wn<W<*#EI(*U~D? z1Ny!6u?q8nrujTjVP4Rp!}nAu_J3^Y$J;8*6Z&oX4Hf1KrD?9HFmGs-%Vibj54jva zufjZ{#hkM$%qQZlJf*_CBIcW8D$FmM9(h=Wc}Dur4yZ8S$bQ^j73LkC%-N~J{G*uA zZ7R$|I-Rpwh51M><2I-;FUj=TS{3FeEr?vD!aOCzH|WNL_)1nQ<5ifqw1=}qh51X9 zjxSPS9@8e5Xcgu&iISpJnAh}i`YaXZHx25Vp~5_;mcvt3nD5l(Gf9PcPf^dpRha+e zzHW>P^PpxZLRFX#)to(Cg?UjGtA?sDKg!8|unNWghrM_fq{4iu)?Iy7m^T$QFhGT3 z|HA^ieN>o76@SN5g<}81giGC2m{-Mhb5>z~)x1`F73Ntf@7k&`-)h8aYZc~Q<qndo zF#qbl$V`QKSX6~fh51;wt{AE?FH5ynqQd+vpRr;U=4lD-g(}R~3L$wa%-gDe&Qf9i zR^vlTg?U_|CxHs{xs29-p)s#3cuqHs`CY{$I%&-F%JhCuquBq^c2=!4=6%(PTWHMx z;*w1?=7H_+XrM74Y+X|=jd@|YFRN+H58L|U1&w)P=gP}z%okIXm(nQqf3)Cv5smp{ zldAJ+%p>D9=Fpf=X4RTWV_w;r&uKK~mu=>y(3oeIZLFa&-)x;TO=I5K!GTX`%s<ne z@{q<nw2t`uH0GoA-*=bBytH@MZ_}8c#?HM#quBq@pWCm}n6I`-_6Lo5Ykv>8L}UJ1 z%%bx&=CSQKc9zC`HeuSYH0HH+b)TRyzip)JF&f4GhqC4#rZL~m{`4<2=Dpo1+ec&m z+a>898uQ?&$vbJxhf6-OjmEsV_Npy3=ErSQZlY1_|EMi-Khc;k_vXo38uR8J3Rlx8 z_J7p-8HqIJ(H*|MjK+Mrvz&Mu^Xd#Dm(ZAB*Zy!Zjd^wfvV}C}+chQ5r!nu2Ssq1W z{@srLvuVu3OT0Lf#(cayof$Od<sDo-mB#!$`qd;F^YkW7nLuN{-ju9yH0JFk4;({d z{@$qvp)}_4ReFu2QSAT7owv|~|A^Oj&TS}-`F)DpgK5n3llTmzQSAT7MUVQ^nD^%v z+?U4uznQrKH0A+*n(jwqJ|NlRO=Di*vY$L@%nuxA;7((n;Hj%FH0BGA8{$M`-r({Y zdm8fx85`_q%p?3}sh}~RaBh+njd_Ls3*|KC7p|0;)0k&y`^1DsvHv6bFO<=kcbIH! zL}UKp-<kR}<{`>9=+T&uSnn&QF)vZxDx@(#G4(2+#yrL63%E3j{T~tG$fhxGadZoV z#{9*rf08ujF|Jq*Xv}B48T=JuUgJc)&k*w)7gl#c%yVqH-3c+@vGV5*h<T6wrnf`P zf8_hLLd=64s{0mVK4fdl8;E(4+?>}C^CS1&YJ@2EfB4Ub>miE$AKtXK7GmDylX*1| z^CyY$Du{WMw+Fs}m`|DSSphMxa+X~g#Qe%gvr>p+|A*%o6hq9nye2M$n0MJN%!inN zc~6)NF%R>lPBz4R%=P-25c4v3n509@&txf5A?9hexg|r)*BsSf12Jz?7N&xjzd3$3 zgedlZ7_ssR#C%S({f{8#b^dYX0mS^yOR4uE=6M=4-h-I$+0MNSG4FGr%byVQKgsd8 zAm)L3uDby-AGGlIYY_87>r1Xc%nx0_`2%8}=#0S25c5SfOD;kb`#<c&<?|5pM_<<b z1~HHHl*L(y`J|~cPD9KqjXHA*qS*hC_}UW?^Gw?vjzi2ht&KklG4C|w>0yXs|3l1# zhal#m&YAuT#C%k<oBJV({SOIY?t_@0S~qPE#5~oGd%Ga!t1g%9gqXLwAZ|Ov{MFoo ztq}8AfA{?vVm|BpQ=1{?wcciJf++Ssq-N;`h<UDCD%V5IcRewB9mKp>Yt<Tv`LE`_ zt0CsW?z+AbVm@q)G7(~4tm^!7i21SGt(QT}lU1LOhnO$BR2c^`Z}!0TB@pvxZGB@Q z=Fz&S7DLRZy*zpm#Jt)gl?x!|*LE$9hL~rY&6*EU?Eg^duX7>h-4^;rK@|Hxlvy|% zVjk`vakC)i<EkW)5c6_F|B8Sp_J2s|^cfKIbk)r15c74f+?)zAZ<jq|3dH=~QsHEX zdAw$DBE)>&;)Dqh^Li<#@euQSFVu~LnCJWO>{y6m|Az$690M`$H`p=^V*c;_+EBDV z{~>4p7zHsO_-ouqh<U*|eMdmd4>sfvhnOdvR~7;>UzoTy6k^`+#SKFsiv16UlY$}U z5s&s53^AX0oM;e4vH!uDZw5fjFMf~}1ToLp>{fq>`Nkg(_k);sJa~Oyi229t1$`jq zA@`pc2r(bIc}M`nykxPzKg9gx%g%lf^OWz|_@balkKh1vABcI&p2pq~^Ot{<dO^%% z{#oV;F`v20)B|E(^Gz#vi22PO4sH<hoNsx%LKOQyxMrXW#JuP2W1S(2{U3aBjuS+& z|AYNkIzr5c9=Oi|VqP@;yFJAG=zB>@h<VbMwRRBmrAek8#JuUrN?VBe({`Z>h<Vft zmfJwgr?xq34Kc4eJlhJQ*#E(wzF0!cvzEG9Ld>_mGDi+E@A~cu3yAsG-bLmR^ROLt z%^~Jv9~*53F)w?~K2wPK*~JAW5c9Mz$xI;TYqvxiL(JR0dQ}E7f4jO%3Nep+*Dxu> zeD3Qfj3DN94}E6{F~57_P(z4$-p|h%Kot8wsO+;o#Jum&srnG}zx^LcAm)Leu#iB^ z2T$0d2T|<*Ky|Y&#Qg9blXM~GiKirqA?Ay(@fJhO8^3%>2V(yCa8n(KdE|3`5kbr+ z?+}O}=9PchCWM$@zJ@J?m}efhO#o5s|G+A~0Ak*`W<MWd{<++k4>1qD{X7q1K6+md z9>l!#Z!{NTe!5>c7h;}zV<QJ*zPip&9Ef@A*JT`t`RkgyY>0X6W5d}H^VuhKups8O z7aV0l%x_Qb$AT#Ke}H=f6QbDv0s04+5cA$+`ZFQszxRL3fS3or?JNUgK77ao2JGR* z3mFje<6ToIh<Wl8Hc=4s<=+pWAm+{UC<<c!{E=i5Vjlg;T_nVOdf9jqVqU#aPD0GD zPi!V2=GjksOhC-Hzqglwn0LQ@4gpc@e^5an0a5IK&_M$NqS*hS>{b9#?0?X@TmVt* zf6%2{0HWCcprMBVM6v%t5vu`2vHw9WvjIf0|3Mw00HWCcpoBgEqS*hS1x^5>*#DqX za{y87f6!wIfGGAq$e0fxiv16I%K#9?{s;9T031mHK;j<&01RRR0RS-vW$&ZRe<zY) zQOK7Xh`dRMK-5eUoN8=HW<IK;DsY2Ocux)67WF`_#x<ko;u;3C1^d+Dny|#48n!<2 zAvw%lxQ@eiM25j&M#m%|Z?+MxWUx13LvYUZxG-+v4H%46yg7%_i7J@{HHK(IWfqM} zfb{`+tY@JdSjXCfUe~fFqxv;0Uo`U7tRU373b38<VN52TpmugSdZFeMQ<32?zV^Hh zL$6#h)ydwD+<E@!h3aDCO41teM5sHeo9)(9BzePe@sqYl@s8u-XEqOQLs~O<50Mx3 zg)QzWa(Lr#@vF8g0q-p?e$y63fUSdv1vrMtn`=s~;NU6XEx|pm<Sg#lAK>Ahsa4t{ zgIAA>t2y6C!{Hg?9@l7#T;2d&T&r~!@H}yG9q0St#JtbAxL#Y-=e@&S{nWEl(prip z10Oy60(RQKIfU{Yh8Y?QpE!;3#8Pq3tfN-24Zom7G^1w0I6%aS?NLsSs)W%fL&S^m zk@Ul5d^ALY7$40sT*l)kmi3e$pt1;0C$YSzOzsCdHn_M_jL$DVPvU{-#SzU?2CCO3 z#u4ti#29ip%7#(x#2BDAOWUq(8~fi5K!VTkSG2YM36%L}0Ss)BB?jZEXCeV$h>yZ3 z8`n<a*nY46Nn0%?E~7?@&FMLILWU1Zh8KnmpMahhw3#lU5J~uy$V4=rrAv$@#ArE^ zHh|CXhbqzLhJX?U@B{h7Bxt>B>p5um41&)`^-KcQvy{lmRESQ1)|-jFIXb8poZ(7- z@Z|}=cZgj4c<2PV5d8%`dtllLhDme@JtBy8WS{_dD_{bOgZD8^LZVR#Q4tSCTNM3J zL>=jP{i{{HeItR6&0T^!(%rF<03>h!K;);_+W>%sAcpm{>sx|h5?3Yw2HH;0uu0@= zXp8F6{Ql52s+InG$bAH8GMPP&Xj;+a^=NI6#fyyuYsI*}H{r{j0AbkRW&+?_&_4;U zI|7WsW<XCi44WZH41gBRX`GwU(=Y~`$ewH%Hgl1_`{3O7uE(I<KM9Y50AsPit=M<$ z>3>>LMjwd)<FM&<822v+FVsQ;x6|1A^*E2m;~A^1#&*K@>fRw>Z&cHhOwlWz9K7q^ zg!l7f`msEO!2YjLGf8|B2?2o;Dj*RqF0SEmkumXeW8)SNSr#)pAv!iD9?TAywj?fg zUR>m2`P}G5QSowRqMwibw0SYh<O#8{i{j;xaf{_qi)Tg6nG-c<nwN{O+{4Y?W2x7) zS<x}mP?w9MXSvRsJ=+zzImg7tL^(%A&Wd(LelDJ_sQI6N|89?tnZ0P)oG9>5^Du1% zKz<Q%bLS>RM8`xYL@f1mcbSbxAD=J>y=%D4$CH6w7Dpz`N2Q+Ynb|Wz)CV~sr}%Pe zmE79h)*2gIYN?AC%8EBCtdujTsV<bjh2pwU6XcYW+@0djrDnKL^Ia&J+=_~Fp-hpP z<w9A=(S<`01ICVu7&Ra~Bw}Q6=ul*W$BrF67R-uH7#p=bDlR^1$fC%3V?kV0<f1{b zQF8~3@pK1E!dFDknX@Qrz*tlZ5>Np*jU5#`CwgvlRNOx`|5TvE|KC%Br}BTM;GfZq z8#X04V$`s4XlR2%ew?lNgvglLQFz9{l7T&=43C_(C<@G76dRfF&o~k7%^e`0hy<`K zCO&#zOw=6t?D>&#+5;OBIXfXX?w<o0*mEH0Y>bSG8Mx8|EQ*bpC;w+V{5BpxKQ=Dm z-&Nn;!9ODo4;~jDF=W87k>ke(gQ210<%8rs%cEx@xqG<z%9U|Zi=raqqwM8V(N?a# ztIgRf!q<5gTC%g}%j2S!N5`WDD|h$z@^tf_{^OB<UngKr>@s}3g94@v8Z~a%xbLqK z<DwFlEz#Bt9v2odGJ4juak0zdW=BmMI%p7xMx*_HCYKCC+5e1sz}TVVM+Jw5YtMVH z-+yMU=jan66PCq)pO*ifn`Oww4^8yH*UW!*5jr4rSm+QiE@IG-A>rWrwDn9l+RoDh zZ5SWDDk@>+lBgecfcM(F%s|hH!>eUB+BhOEa!z!j*FV?IfA%wO{GdU><Hl*v7489# z%-sjCf!<y9j2<`jWVBQH<NEmD-TbiNa3d<>x%lU_j~f;`bo|Hx=>CT0IY6$&XFj~= zj)HDLa^xlt*4|a*VR5muqvGRZ<KpfAe=Lmw0qBkwiSI*z!0+13L1>LeB|4At0xThW z6qn?&^cebt0bxW*2^nEbm{6vKIcY`Mpc@T(W5U0e^$orEtq}=?K#Iz}3EyZ3h{T&2 zTd1GOJ;>Q5e&ynX$XUoH#C^B(dvj=q3BYAeWI`lxnH3)oT+kYK!Idumb9tk-*zb<m zXHL|tW%JNkoEwWa@5x8bnuV7C_a462EQ+1|V=)q4BlDuP`}pqhzcq`ZW6=Hfdn+0w zaG4#ucySau<1Xl2xI`u-#6{0qmhkUh=AyTSsNSRhzpV*!L9+r_T37s*_hb70Mh1W- zs>FUhcW-3>+Yh(lWQW8-`oH|}N&fHs{`jWY`_}1%{J2QH>9ZaI<fwqpG4{d2`wKzB z{q>Ib5z2qB>B;=_RviAXS^yrQt-^W-`$Jn8%Kx}uQwah5Q0I8RY^2`(&P4g%V@Fva zU=Semhl<{8+<*B^VG_WsxABLz7?l5Uzu^W12ts~%zvwgBKl|PA!!{@jR<OR$3(n)z z+x1$M|FOS==z9$QWQ6;}*G=zn97OrvZBP~*LH%LB-qhQ+4^{lw-}xW<Lm#96>F*-S z_ilsxxP<x}{zFA?_BYh_V}Ey0fB1aj@!|dVj_)?g<89oKaB5`}VAy}1H{AXh=|{f+ zJ^_~E4YUcjVI?D>&6jAa!4>2e*jqpr``}cD)SJiUM&yUrWN*Ub!>JhQ$K!bP!@L-v zw%+5=Mfo3w4fF*BXh3znsdr!4_O4~zCeS6oK2#|~c_Sp;AD$n)&ma3ciLQ^CsIE8l z_SakPwLjJ~K<l^OdTgnG9WgHdI1*g`agVsn0QeaHlNdec@27t%dS~f-1%>W5`27AS zu>igg^`xKhe6xTO7f>Dxmw!xD&lUKe8tPv&kH>?rl>a2EXQlsG#w+zdiTc+{$J^`u zv;Mw&{rl*s|2kR<pf689Bno}Q@J3}k$J>zf(EJSjp&g&M|NSt8J~Z1T0Gm1geD3S{ zeA@eAwdX_N|Go|V?_0d~lNJ7A#Y2;fzdT|46S5?_ur_Ngo>3Bglg0M{QV)G~)LML` zxQy2si3X~`7B%8EkL%sF7Wa?K!CE_9YbR>$G_8%+T6|x_{;Rciqt<TM+9O)~tJYr7 z+N)aor`F=XN8o-^wKiL83$+$sueiNRYwNZ4jn=knEne{0PmWF!wys*+PiseM?KG`j zsI{xKc8AvDKfB=VuWRjNt<BWha;<%>wOv}vL6;QX&RlEVwYD#|O!S#WYlE>x%XO00 zPRAB)H(y&`tSu*M%WJjeZQAl~ZTY0uUeeZI#TM-+Q)|Cz+xh6y#_PlYTeKf5ZP{5{ zM$^`_9Ybq-)(`3juW8($Tx)H#)=_I+wYF#7Aisgy@-VF(rM39|8TT_uYiDWgT&=}_ zqr&Y=wKh>}*J$m}TDwDQ@!zws|0%6KueF!8wr4$|_9xnMlGdhaZMoJ~YAwE3<Nlho zwoPk4Xzf?6CGmMieq5~;YHiPThbFCezL0y5U+;YO_M?yM(F?kCd)i$+y?y0BBzI3A z4_|LDcQ+ro+^whn`>VT~n~x8w^Yrs^^XjRe$y*;ha>)0;Dd4@~`;rprKk4(Xo;=g5 z$0&g@dWTtZmodaV<j`$ZdnijDS$3Oo)O3VZ+}#eaeskkYFgtQ)oHAGE87jxhbJ1&r zEcct@Y-HTuoFRm@`;c#)U%$=2z2xyv&h2?Yin^I+Bhih-;ax6a#Tg<Q@}G9X`sGZZ zsA*uxtB`%sAV$7GQPu#S_6#Kmy#@i;-XFjQq%x#zBpT^1(q*I*NPCesA}#Mvz-XlD zNMT5Wk$jOHkj(p&P#1}b^syfa-yl`?qhLN#GSc5j*ZMKw8Ki?qTai}vW5O7uNTl)o zSTF>s50Yy?Hnc`EMB?`2z%P9{@LgXntVJq8%IM33Pmpf+<--d|NBaukE~NE+g)kmz zZeJ0cf;6hH4h%x_>MMqJNG5%Cp$LiWs|P#!NZ>1^iaz=<7fId60N(3k2>(Dj)yD|# z?<0kqkrMmJ;KDw}Fal|89}^hd#}xYaF@sJ>@;>HJ(#Ha_`^aH;pd7XYTEgl;D_9h0 z4O0Vc;3K3PfeQFrpe;NcXa~0kD&g8dd$=Uf0nQ0@gcFfQ1UkWffzHq)&;=?2U7;+{ z4GIF?Aqez<?E#*!KEMl>1$e`(03S#P_`*8@e(-XDKRgi-0QUw2!i@oa;PQaJFgl<= zoE{Ja!vY4t!2tuIZ@?hv7%&)`2LwaCfT55X5CXgWhru`g!(o;G2w31h5~lcv!Uz7N z;dTEoc-DUmJmfzPZu1X^Yy8K<SpNxdw*MqJ!GAIw?mq?g^`D0Rk8L`%@t*;u{^-$m z|48`FZx(Fxn*;0pqTn;Xc`(awK7@YJ@Q&X?c*$=OJmH7XzkacBqu)}v%r6c``z63> ze#>B(-wHU$FA@6st%44ItD(8yTBz%{4l@0If?d8F;2Ymfu*!D}Eb#pqruc4y4}7=7 z>%KeTS>N68knbM2&37MM<9h(c`u+lE`yPT5eUHH5zDHp{-{a8T_as#K{tBhOr=h_2 zEd1tk4z~MTfDJwuVVTcmnC<fiq<yZ!yFS<9WuF`Hq|Yt5&*x9L$>%Oy;qw<<;PW?} z;qwrV@p%k`eV#yn9|)a%R8a1tffAo&$o5Hv-QMZ2#XA$$cxS^R?_8MXoev**7s8v~ z#qhj$DLmp`26uW_z;)g)V4Qaqoa<c!CwtezQQi$O$om!a@@|4k?`CM?{TAwYw?fLh z9e(io0AG83gwMUYVV>7#nB?^p-uD9ZRWFJ@<He+Z@nX|ky}0yhF999nC8B3}iRtlP z5_*`I0o~Wjh<5Xm(KcSDwA9O-=6lKMZ=Tk4yQhM#_f*nlo(^=jrxQ(ky3%(&-Ra*w zz37vkKJ-3MKYFugAict~FTKz+h>q|aNRRalriXYAr2{;N)6SkFX-m)1w1MXsn&TNx zfA*L_zw?+(*LqB)OFU-K86J`JQ;*s7ZI8M1MUVOPagT-c9*-D$gU1qjnMXVw?XjGm z=8;H;d90=fd#t1VJbt1bJvPx69zW9(kL@(uV<+A1zK3pc-%r=LAEb-i57TMx$LPoI zC+S=6r|I+VztKnC&(piyFVR1_|3N3XU!&)_-=wFy|4EN_zef*pzfb$PKcpSppU~#+ zG_B{Zp_%R}beCH?-Rzb{SG(oXMQ#Ojs#`Jr$n6<@)2)I&?^a14b*rIwy4BI^-5Tk5 zw<da?TMIqat(6XSdruE^>!f|$x@iYD^emYhq0)0>sF-eSRhKJI)$A%%RlAB+MXnN6 znyZoOk*l%lrmLCiysKPw)YV$G%hgWxldFR&!PQwc&(%#e)zwQi+SOMz$TdLa>)KD{ z=sG}U;W}6)aUH5+xsFhEyM(G*T*jzsT*j-4T_&m0U8bp?xJ0OKyUbEubeXF<hMw!& z?Xp<4!DWeRnM=GX+GT}my2~on7?*XbV3!Rlf0r#PXP0d%OP5_L1DCxjuFEf~&(4Qc z@0^dTYMoE1N}bQDGMz7|pz~$b9p|g6%g#4cC!POP?Q{N1wb}WBD$)6gYLPRon(3UR z3U^LZg*a!b`Z(vR+?)$lHqNCgsdKqX;9RK!&M#H(o$6JM=sC;^rxsPNQ=3ZT)S<fX z)TO%W^hI^XiBKPOVyd?}anx&^1nMPDV)Yy+ef1<Ksd}W7sXE9>uJ&@WRx6$C)TU03 zYO#~6n(5@J?sD{1H#-KZs~!8RiyQ~3(;SDYA3Kgv-*OzSzTh}ceavy9dbi_L^#;cX z^)koV>IIJT)H56xs>eFUs)snns{<TYs9n%Av{sJm)rO9n)I7(n>TeFa)a?%Y)C~>? z)#VQ8xm@&&uG-;@`Y(qI>Z=aFtIs%GQy+A=rQYUnSG~sJfqIF<6Lpk>N<G;jSv|@j zT|K}dNA2xUptg4?QJXtdsP!DG)GUWub+`R1b&Gwo`lWrVy2Sp2I>WwO{nY-e`i?!N zxopqYoV4d__SuUyo9*>AEA3^P#r9^JNP8>IczavTaC-+$KYLe=hrOr9*4|HJV&6xj zV?RJc*#~PnmBTe}l%bj`<v2~Da-t?pIZg9e8L7FYjM7|CF3=oT#%T5^<24(ViJBG4 zHJXLW4Vnn$7EQQvhbBb1SJOxNi^g4fRHIOy(#Vu&H6rCj4XM1M`CxZb(`0u?Q)&0N zroirrCe==*d1RNOxn-BBxnP&4Ic8U^*<)9x*=Sd(Sz%YJS!nl46Jgh)3Abz0gxGaz z`r3WgxZ4p)3OiPku^m52WG7Cd><p4RZH<%O*qSF**;*$R+A5RMY@L%H+j=D3w)IWA zXxk_0gzbQ&y|zP=HrtL!O0*42T5LN$DbjXI(gfRxq~W%6lKR_5Cwbb&Bq?p<lT2+B zlXPv@B{6L`C3P#dCABDaC%sf0NGeerNy=29SO&$}q`QhsNxv(uCjF|om2^OHFKMgd zVbU4}owP)eoD`+VNSdt3O$t>MB@I-RC;2L>lAIKENpi*OBm>2}B(9<(>8nk5Qo9XE zZm?k{SJ?29b8W=QNj3(_4{VH+uiMCz&)F!FkJ>mS@3L`A-eBXMyxb-*d4Ww(a)eEA za=6Wi<Pe*%<i0i&l09suCfnLXCY#vIO%~fMN@mzBP42Q@k=$avCb`CXV{(c0*5pj< z-O04|f#kc^N0a}sK9zjh`dsos>&wa8tgk1pwZ4-aXZ?5bJnN^)Q>~Md!>rSjgROIu z1FVaZU98KKt*xt*rPlSyLhColgmqi;2dmEHCaW*WRaR6=krg*3-Aa`5#7aNqPb=e; z%U1G~Q&x(U16GbHTdmwvR$KX|EV1gF5@j_oWr|fu%4n<5l)+ZvDgIVdQk<<KQmm|^ zQjDw?rU<N-rVv&uQa)I&O=+^+lu~86J*CKUZ%Vr5p_C_<$5Z~aJd<+S@?y#<%d05| zEN`c5wY;CQ#_~zZQcF$BT+8&7sg}7ZVV1=y!Il*%0hTo>u9l4{HkK_ZGRuw>k>#fp z%92R^C}*cO%Y~^ma!G25T$Y+Cw@9Vsiqv~@$J8rwkJK}AztltWeyKa;gHzYbho>gU z!&0N=6H{l%r>BO?XQvL6N2m6aFG=;3FHcpXpV%~$Z%oybZ%<{*_ojZfIF#CIaU!+B z;<wZai_58b7S~ggE$*g1w0M|$(}GUDV3C@7!Xi6$pG9Hn7K`%KRTkB$u@;S~Q5G$! zQ!L)6j<)!eI@p3p^S9unxmt+QY%C1YWELiAA`8nj%0ijeW$u#JV(y*x(mXJ&)O=uC zws}aJ+I)1{ee>~Y*UYD-oim@EcGNsNZMXT7v`yyA(-O_srY$z#ls3zJN7^Lw{b{4j zkE9JW|256e{Ct|T`IR&)^V?}s^ZRK+^QUQ~d2-rEv&^(+vx2l5v$C{Ov#PW#vxYRa zSxee|vyQZDW}ni|nUU#7&A91%%*5%N%nZ{L&CJqc%xuzUn>nUWHuFdiHS<d!Y}P;B z-)u;_i`l4j8?*3qnc38I9ka-EhS~h|ZqwNGx2DU}>rB_Cmzi!(&o$kdo@9C;{h{fx z^qZ!q(=VD{Og~|IEq%Y~o%EkgAEvJ{Ri($7rlrp_%}t+fT9Q82^hJ7zX<d3>(>Lj! zrtRtWrrqi0rbLFmDJO$#s*~}}#4w}7#607*i6W!Q#3`f5#4{tqBp`z}8IW<$BqZai z$>@yVOeSO;F`1FE+axMulgXltM3eZ87?V{Qb4)g5Og7n`G1_EbMzG0|j6joLGu%ur zWZ0Tq%P=*$lc8(!FoSKP%J^cOp3!ccm(gfkn(@N8Dx=W2AtT+mCF7}aN5);_FBw;i z8JTB|`I$$IC7HX7jWahITV^I2+h@iYyJgNX_RE}N+&^=)@sP}5<Iv1N<MEm9#?v$H zjOS#U886I~7{_OFj8|oTm2J#?FWa8^TDCv4N_I4}Sav2eQ+6p+CA*P%Uv@9^y6j2j zd0A5CaamU8URh!07Fk8+YT3)orLv~Xd9wD*>9X$3aWXP%n2ej%U#6SoEt6$A%H&y= zGG&&L%q>eO^Ub1U{j<8HL$cmVL$m6o6S69#)3frWQCX?d#aU0J%d+lB*JS-6-JEqs zx-09j^p~t%(i2%5rRTB|rB||IrMI)9qz|&DN>y27r0H2hrTJNXrO&cFrPWyu(pOn> zX=|3Dv@1&>C9+8=C;Ow3IQy-UG`r47o?ULF%+51%%T6`&%YI@Mlzqo&X!ajQqqEN# zP0T)G6p_8#XkPXvquA_KMk}(H7_HBqYqT|cn$h0uaYje7hZ&vD?r(G{+sEiewv*9c z*;YnRvt>pp**Zo!*-WF7?9Yak*=>dm*^P#8vnvfdvx^MBWoH<&b5w@noco5-oEwJn zoC}7^oD+s_IR^~=a<&-;<*YXh$ysJNCTEf1<eXWCkvWqMqjN?ZF3kxxT$$6yaAS^# z;f@@8!vi@MhR1UZ4bSBW46o!+hJWUC89dB+XQ0WcH^|I+Zcvz0Xi$-pZcvv)8#L$q zWzdmx-Qa7^c>`AN2?J5?0RzL_Z3Y&(>kaI3ml?R_E;8`Toox`5JK11ZZkWNC+@S`O zbNd?1%Jni>kn3O&pKEEbI#+72DOY5$E0<|-F!!_msoZw`3%RfKujf|j-^(r0f0~=6 zpOUN5&&_?PUz&Sczbf~#{;S;6`fa&~^}BO->r;7~^!a(K^!4+W>YL`x*H`34=sV|) z*Z0XAsoyVekp9rT0R6B$cl}9uO8v+@bN%Q%1O2!>f&S_|N`F&cw`5mdtK?8#qvTXx zrQ~8>k>o~RrsS_YwFKrpkfi0^lH}!GmXzh4mb}b6ENRNyEqR}}S@I=swS<))ClTdG zON{boO62(yCHDED68HRINkD!d$)J2s$;f;M$@qLr$@F}wWNyBWBsQNVNzDJEw;}(% z-j4hxy#x6*dMEOq>7CEd)4P_Rs&_B{sovB4dwQw)*Yxu8&+9$QKcQEXe?YG(e}~@t z{0(|v@)Pw~1+jWM1#|VJ1=IB`3&!g?6pYmKEEuHMryxKtxWHX6w7_0(Vu4(5W`U7j zbb&}Ou7IVty5NiM=7RUSy9=6h4;Q@DJzY?yd$}M__f|og?t=oTt1kFUH?!b|Zc)KS z-O7Sfx{U>gbXyB{>2?=v(xnPl>k10vbPWolb<GPSb(MvablnTXbOQ>9>JBRGr#rIH zM|XUovu;G8LU(?lsqWH3ece@seBDijl<w}rZt>y5Hu34gSK`ZsRpQ%)rQ(N$xnfOW zia4wAsko%@p17*;y7*P$1#x@fDe>pRgJNdUF0rU+lUQ1`T5MSqFLo$eAoePnCGJ}^ zSv<68j5w@ln0Rtgka%{HpLkJ`n|N7~op^nbg?L+$q4+?NNPMD*B|cyDRp)w9ht6L` z%{p{ZolbgDg-&5nq0aN7Or827jn2EGhdNzFf9g=hS9Ao$=X4B<kLy?zAJDNc-l5}B zyiuo5@k*WG;-xyHi=%ZW7f0&MDxR#fsCbOdvf>b(^~FIt+lu{k4ivlToG4c6Tqu_7 z+$fgn+%FdEsEXM-8O7g3Ma7+>%Hp@8#^MH1TXCi6b8(4?S&}2tDM=B@N}h_WO8yc# zmD~{dlw1<^FF7q5R&qo%u4J!hTFF+?+>-U8B_%6Ft4d-;n@Z-1c9%qmj+9IkohcbD z`lDp1=uSzH=t+s6D7C~*lvkn@m6ymxbtO{K+Y+(pV+lt@mJ%XCX_wHjv{fiCeI;}# ztrmKgJ`?sW%@+<WO&5+SRSBn-J`hHg-WJA|UJ)jio)c~?Jt5px`it;z=`P`!(#=Bj zqvgUorOSj*N*4=LOQVGOrPGBKr4xkprJ=&Nr9*^WrTvA}Ghd<bnVZn)nNn!^OfGbM zCKGx;(-roA#uW~GMheG0>lRFZ)-IU$tVw`=e^0RbS%qNBvqHh%XIX;d&yob^pFI&= ze|ArB|Je<J>e(eh=Cd<`;%7$%RnPVdUO(F|c>ipp;Onzh0!~@HKvK3)U{*FqU{^L> z;9fRf5Lgx}2re5U7+uz1Fr~~-FsIB-5L0F^NG!7yY%DVt>?)H8j+F5QXUiCZD`j8! zcgs5XPs>{P>17T4!m=v<i?ULFV_6=*y)2#orA)<Vmp|m|mfzu<mS5xBmS5nzmH)~Q zEI+~zF5kx=UA~<^xqJhEPWdW+OnE#%v3wDKQ+X7Bcliwdk@5-r-^xexua<}K@0AbW z)8zsDjB-zYQMnVps$9W;U2e|rC^zJPE7#$3D>!`p3W9H5(ap23Xy<uWyy5k&sN;oH zyx@(kDB(@7$mPweNaMv-sCa8C9`b&!xWn6DagBGP;v(;2#cAHnietQo6$f}p6+3yk z6`Ofw6>E8Q70Y>VD`I)w74vzF=aD>}=TmvI=ixk?=c9No&xi2*pZDhte(uK$eeS`V z{M?Z@`?(D-=D8U!@wp*y({nLz&vP#C=yQ^H?)fL~wdWn&`_Egr>gNsItmjqS(&uH| zn&$=F=I5E*kI$32<cp_V;fwoR>5JQ3s~1<e&M(e${a*aa9r)r1chrmh+(|EXaA&>P z#9jPiEjRJSa_*)VOSrpVM01b6n9V)+VjB0_i}Bq1FGh3KFG9H4F9vc;Uj%YrzVPO@ zyl~-my-;$gN=vS&(u6CkG~n7)iny+o9Ik&Q!5v)ri8H$LJ!eW~3n!|wkrP{4%~@4h z&e>8~$k|t!%{ft-%DGTUb8c2X<UB+~$K=ZEoV?1*oQlfdI1QC2IIWe3IG-!`a@bYd zIeJwaIc8OBILfN!9M7sHoPJf&oMBb7IpI~)IWwvza-yrkI0;q5IqRzib9Pkq;~c8; z<D9AT;9RM4<lL)LaOf%v4*H0~DXEfhYN~{s<|;PlV->-nsz0$s)g5eEbqm|3x{>W# zUBeEju3!gO7qi2vbJ<g?)7f*YHSDF;PuOd!@3Xg7-)0}EzREsTeUW{+`V9Ne>f`Ju z)rZ*W)qB}R)!W%s)tlH&)oa-ws#maynx$+(%|f<OO%&UzCW7r;GllJ66V4u76UrW4 z6T+TSGmsrs)0e%Z#+SXi#+|*j#*uxXM!`N+BWGW(F=qc+qtAX)BVwo5aM?vQ6uYYC z3#+N7ll7sdjYYhC!xFx%XGvdHv#ej1vs_*lu>xM^vVvcxqu-`ZVoiPdlr`_=->kTo zcUWs*UT1B4d6{+a<vG^rm#0{NygbUf`|<#bez}{K`Eo0(<mE<I&C4~cmX|A7T`!li z7`2O7;@Y_^liEm@ZS7Q+N9_bw-`X%%NbLw#cr7A5)&{W_)CRH;k%zUR){V8R)`4}j zR>3+~D`#D=HD*1iHDD#xidng}JXS?5lhsfQSnajl%&)Z_Om5vfCPITV<#lyTr@AVp zZ(TWaU|kV2v@Vx9xh{hlRhP_MQb#k_)IDTwt-HrOP<M;@Yu#1m?{$}$ck9kFVckh) zX5A5HN!<bF%evjnmbz`s?z&A(X8k&*Zha!tv_77xtdC)O)<-k@*Uw=Nua96(sGq`& ztRK%@Tpz|vtRKPLTt9@lw|)TgM13FT#d=@n?RpR9<9cUiTD_84SZ~d&syAo8sW)bJ z)*CRX1~F6Az-Jmau$YPlg6ZDynbEhQlM&L;#t3g{VMH{%Vk~T^WvpnZWNd6GW9(@t zW*lqCV_ay+WZY^<VLWP3F;W|zFbW#}W>hxZVKg<|WPE72!XO(jGDMAM8M4Mx3`OHn zhI`{Lj6RKf86k~37~zdu7!i#d7z-QMFjh1sGB!5GGxjvbFpf7aU|eX7V%%zsWIS%1 z#z<?N$S7<a$Ea!yWxQz|&gg6mW>BvNFmzt^VVJz~W7xj(WO%%CW%PUHz!?6@mNEX7 z6(jPM8DsG)8Dr%uea7ZjV#dB#e8$OFY{unR6ywgTuhi35-BiY_4^+vkR_f)eW~$}Y zE2{fdEya3WMd`h+pv+&FQ1-72DDT%f)PUFN)Tr0V)a2JHD(dwUYRT&d)SB0Ksco-s zQ3qdNqt3kkox1w^0`=GHGnD%ENh;^{QN)`#NHx6PN43A+MSXj{mEtvRq70kXQ&vr@ zDVL@dR6tWaHKZw)8r!sxn$a|mTF^9`TGkXnZD^WG?QWV#9cvm#U1%Cj-D(;^J#HFG zr8NzrikkXU)lGp^bCWOC)#OPr-?&oZH;$C)8#_vg$dq1h<W$fbQ)=WJDK+VhJ~ii! zm|F5iK&^Shp|-tYQU~7<)R{M5$g6L<$op?PNX?rzGWSgjS@GsI+4!cOeE;Sp37RWO zL324NZ7wBknhQy{=3KH*b0!(moJNK>Cy_IoX>w8X6Ed;+0lB&PFLGb=pXABr8|3BY ztK^;L%Oq^RKxQ_dB}<!6k+sdo$#>0%$<NKdkldENq(RFr(z0b6>D;n~^l#Zf2Dhvu z$F!^>r?;#iqg&$1Wi3m{4K0hw-7V4Nv6i{y#g<v*?Uo4gNy{`cy=4+v+%lf5X&FPd zw1kq~Eh9+Q+o7c7?O@X4?Eup8Z9mfYZ2&pwtuHzHtrt1<ts6P-tuvYM)`9%#tsS}R ztu=Y{t(-jn){MOQ)|h<s)`(1dD<O;CiplD?LbCZSkL-HOCYkRTq~1G%G=KMnaCp~E z_`K^R2EKbwguZJfroL+-=Dlko;@>qA>)+K8JKxn1N8eQv=igNjH{U%Y9=$6j&<|!3 zMd-iPs^4W1&F|8Qu6HQ}vsFXrwbF!n>l4DE^&#QYdY>57dXE_0`X@29^(HZ|^%{}T z`Umk->m_1W>v`g6>u<#Q*3-nT)|15J)?-9k>tUj(^&nBxx}Rui-9vP@?j+c4+X+eA z&xA$WCc?4pC&I679Wl6VH4)a9NK9*6PDHoG6U*9`5F6TJh&^o!iQ{eaiHmJ>iQ8?n ziKlImL`K^TqNHsqQQJ0|c-J<8_}mswaN5TZ2JNE>%l46kbNg^2pnWJYq&=7z+dhzp zXb&P5w)Z7gv<DKK+x>`r?LNfGb}!=hc6Z`#yDLGrI}zFK4n$eIlBjQ25bf>O#J6@i z!GCW~NZ*?hHt%JG+j}FT?|TDc*n0^v{=Jx(^<G58ycZCw-*btr@7csJ@0rAz_Y`sU zJs|GC{|b`ce+GH)yTSAKAHnPQAHawA?SSfN1v(vXfk{U*P<Au{uZ~wBsG|Xl>Zk>i zJ8Hn(jw%q>@dB*tr~o@V%D|D1QgFVb7~JeA1dlrML0U&HDC)=tH659tr6V15cccRL zhh!l6paJp^D&X`10{;(BK=6mhV9bYyV8(~P!GaHeffXO_f=wU(1p7YR0w+J*0GB^p z2X{YQ1@wnMK=y~rpzOm%(D2~`X#a2yeEV<~2s%##Y3Hv%(RmWMcOD1*I*)?kokzgL z&O=~!=Pw|(^8i@WxesjX+yf4E?gnQ&cY^Dk+rfj*Z6KxdXHd|&1yprz0&hAufUeG; z0Q2KIp!;zxF#ot3IDA|Md_N|FK_6Fuu#d~Yw2uiO`ePhe_HilL_%RkB#veHGaS^!m zaUr<#F&e;+^Fh|fdEnW{C{X`#4ru#03w-++3HV(zfwXG|u<4o(+`FcMzFkwm@UF>V zLf0fPyK4f7?HUi(bcKU$UE{!^t})<jR~We7H5xqV3I!=$BSAse2vF5E9K7io2D-XJ z0JD22(CZEc=G}vVWA`B7+dU8r?j8Wbx`V*<?*1UUyDwPY-3M&!4g`C<1Hg%He{i|m z58UbY1$4I$$m;e6W!+w&zS|SDcY6Tv$sGtjxdGWHSD^Uh0z5uBgMOc!z=%(dU?S3- zPYz(oCws8=lM-zIWCsp^vIW0=Qh*zuY``O=)KAu+=#v$w{$vSSKFL8h68p0SkbE`= z^3P_#3CaJnDG2^-0>*we1`$XLKg&SkXDQf>wEwdaIQ7{O{DE}uvjI?l)(7aTKdAUD z0k4ocKI;MUi!Kl$nS2ogJ0!0!Iv@yX)E5z$f;9Jw5X2*`{~`dpkdA)gg9}Kvzwp2l zq>L|IP=Zv8^zI7>d_m%VWdlPbYb4jNEYJrj1Zn(NCWu6eL0a{d0k$F?L^^|X?JEWT zMoLD?N2>fvf;UJXk(lUF5?v&7^gONuk}uL=q%frENYO~k(c?E8k@g~;K)Q@{7l}s7 zMk+&UKx#+A2|cLP^F)#@vgk~K{zxN`@KYjDNcd@xb?BLf9Y{xz&LQ1I!cTXmAr+%1 z*lLj8B7H*Q5G2qiC}4@?f)s!>lwg2yNHdWZA+02s;Af-*NT&%FxPo*aNkgzf9@2B9 z*8~T2B2gq4h>=W@>`_FGH_||o4?>Zqk^=O!jsPT}$E`LX?M9FD97noH>VQ9yAbQLy z6X_Xx%&HEl4Lx@Am6QNJB>_g1KCnS@qYOY_6rwa7X#!;gW>ZoSi?oK4fo+sAID~YT zG6B~qQ}B>711U&_lsTxPEI>0Q2VF=kh9%HrSOE)$HE=``PJT#(84AS6wgod7c3=TR z305%d!6t?S*vD`LCy{<<IDxwiXP{!ZfNX{<C}+5V28KI$&p>B^>4`#>ynr#&8`v^^ zfG5)z^k@2kkxYLui5UQ*n1Ns^vkzFu><e}<`-3CQAaIU30Ni8_1do`5KpJx}C}IYK z8s<>Y!VCeQn8N^@H5}-(MgTc$ByeVh0)N(MFoYEb#<IqM2-Y~TkQELRS>wTG)&#Jh zH3^(zO$L9krht2_X+X`I4suvCKm}_iXk<l#4%RF{u;%~~I|>-H=K(wReBjBB20`qF zU?h7Hn9Pm=QS4X{$6gB7vE#r_b^<uUUIxyySAd)BMDUos3Z$`DgJSktP{Upa-m-rJ zpV%7!o3jb%bG86E=V#!|*#`VM+rbddPB50U8$@vSfQ6iWAdzzbZ07s|_Hzz_Q=B8< zcg|68k8>QTI4404=T}h9ISm>)XTf_8TB+O%K*+raWZcWZmiq_r;9dp&x!1u6?hP=B zdkf6r{t1?F?}D}5zrc3x-{3I!A^45^7~J4K0S~zlq;OTBkgEYz++@(qO$A-tbim?e z0zF<fFz4k0M_xYg<rRX#ykZc>D+SYdWgwbY0haMzfQ`H=u!mOzj`M24C0+ygllKZh zUK7aVHG@(V30cQ$1+Bbx@P+pQ@c18rA-@|~^FISu{#Ovl2Sf;;BEtDhVkVzWEar2G zm3#rQg)brw@WsThd<k)dZ$SLTHzL%08Ij93B`WylL?d5LbnvYSLZBdo0wrNAa3E|2 zPK1ZR6@|#T6C(s(#6*D)F-PD>ED;0}YXp6X?SdfUkYFHjRuD{F7YrpH2!<2Mf{{dl zU^G!F7(+A(!ikT92?RqpnGg%75~ji#guO75@D|P{1_<X8p~CsZ6yZW*t}up(6D}dv z3*(8M!sWyfVIpx}xSF^rTt_?-{zRk-HxWg`pNVSWcA{ChljsueAy}gQgr4XiVJ<pM zIEs!DzM_-FAkk@JwCFctn&><+Uv!B`5TVagqHDx1(M{r*=uhH;=pJ!Pbf0)EdPt;; zo)E<%ny3+Jh!#-_3h_!O*g9E+L?@T9&?z7sb&3f;oo6W8v4RNGsU)W9)DZJ^>WF1J zjl>3>CStcv3vo=RmAIhup17^kNj%Z%Cen4j5XCwKS);=sTXfjuCmkNi77Iy<SWH@o zC8Q&Y?erBJlY_-(WSCe^P7_;`^Tl>#f*5^16+4r=#BSs<u@`wk>`UGf2au1&{m3-& z0J2Ctn5-5LC7Z<~$Zl~c$<iG|>gkRr&2=Y{4!YAwAKeIYpzbU(RCg{pMK_w9r@NSp z*Ih!c*NrE4>aHM<=&mBq>8>Mh=x!h%>TV%ZbhnWOy1U3q-MwU!?k{Ag?qQOlcbpXK zogz*3&XP*K3#6CcWim+bDmhZ`202OZPjZglU*r<K2jm*PC*(FgnmnkNM4r)0Bd_XZ zlK1s;NsV41nX6YyR_K+Jje3>jdp-0$LQ+o(B(F)Sq=mGRw2^L-4ziD=iwu!`A;TpE zHB-W*7D+f%qC`M#mWZi+5`F5VL`q$jm{NBnatcbUsZ5C-RVs0$Y9+4JJBcUtN#aYf z^#du1et*hBe-P!UKa}#-A3+V$A5D$cA4g5qpGeKqpGw8+M^NkaXHz@%=TV3C7gE3J z$5Pkz<EaPwE2w1s)l|Ozdg_J#ChE2RR_cTPE{ZVNM+prMQZj?1l)~U7<!*3>>T7U; z8fNf26>e~inrU#0T4ZpSN;G&tZ8ms9?K4nOCk&FQO9tuGp9VS9Q-cC3!=QvJF{q$w z463LWgIcQF;1$I(Y^L-KTPZWc50t%OH|1^kl^S43F-97)8Iuh8j5&s4#u7t)#u`Hz zW2>PV;}=6K#%V)a#uY;c#yvw<hRV>Bk!|S5C^PKCs52bEXf+IGd@&r(;2MQ8^o_<b z<VF)2PDaxhzDALZK}J!G(MAgxQ;lL6bB*E|aYl)Zbw+C#+l@9b4jFA>oHg3PxN5YQ zao^|{hQ{b9Bgg0zqul5$qrvDRqs{0F<Ezn422Xm2VIcjRVJUsWaF(hVe$o`iU}+{} zv^0+~Ra(rLCoN;dNh=xaq_vFg(pQW_(iX;9X&d9Jw3BgP`kA4Y63iSai&-w^GwY>d zW}DQ2`9*5X<jTyM`Z8;#T&83?$()(KG7sh;nJ+U`)`vMoHh>u=8^T;F8^K&73uA7R zjc5KMo5DOTi(vjCo5Q>(i)PZY7-p6%o>?kOWY)^oG2hBIF+a(+F<HjDnR><tm}bUD znD)jenO??cnL);vm?Mm@GAA0}V$L$Y$BZ$4$XsbmGdCM2Gxr&1Fi#lgGA|hyF>f1} zGoKh&G1H9en1#l#nN`N`m`%nV%ueHOCTW5~oJ^Q3nF)_&V<Kj`nHaDFO^jJXOysPw zCJNSc69?9O6E{|Zi8pJ#Ng!*7Nf7I>NigfI$q3d}lQ7nOlL;)f$y8RhNhGVxWG<`D zWD)C~$x_y5lNBts=^B=v=|+~h=~kA#>28*n=>b-M)1#~rrl(jFOwX|*O)s++nO<il zn%-e;GX0yi$Mh-dm}wI0f@wPIrfDwgp=l8-*|eOMZ(7ZIZd%W3G=0NrH*I5mGwo#Y z%)YP;%qX_pjLUX36R~~F^w|T=jM<~i<m^di3O4#*VRnp}JA0*>FMEqwU-mw;f$S4z zA?%B0q3l~`;p|6dQ`jkH5$po9DE14ph3r>mOWE(uR<OUBt!4AfH?a-Ox3lHud)bcW zhuA*m$JqnT&#*_CUt~`*zsjC%ew!U*exJS4{0V!rxrV*hJe_^qJePgJyqJB{yn_AE zyoQ}@-pI}~Z(&!Mcd#4GKe5})3C<UDHiu&&<VY+e9CHg9$KJw%<7J`X^tW*247c#$ zjJNRP%(UpoS!gksv)p1hXM;r;XP3o9&Jm00oZl>FbFNxMbN;ee!ckc)=VV!|;gniz z<h-;%I9Q9loGyz)9ESV^M@Rk}M<&0_v5{ZrxXSNx0^|=l!E%}tCQs!|m1lFJ<b|9i z@^a2<c{S%}c_U}PyoGZ@{+@GD{)ux-PH-Q|IouSvh?^%j;8w^@xD9ekZkt@m{UUea zvMs&2dX|A)Gs}TorDX`$!*VpYujP1dh~+fyILq1G>6X#l`Ibw#@s`WEYc1Duw^?rD z{$ja<`>W-C?q$m(+&?XU<vy`I&rP+w!Y#18&3$2cpWA5pl-q8Z%>8Pa$>mrTa3xk{ zTyv``uF|T3>uJ@(?Q7M+4YB&f9cM-Irdx4&^R2|ZI4eWmS}QZ&HY*z*ddh=$%F2Ux z$;yv++p0hBk<}1hiq$AyzEwD{!fGn7!77s1YBitt*(#RDvR=;9wO-3JvEIzHwcg2d zvp&EJv_8fQwm!`Zv%biiVttJlWqpSiYyFV7%38(SY@Np2W1Y)8W?jNNXZ?bA-MWr< z-}((tZQahxvhL=USQGpjYYzX7wGO}2+K^A!nDhBI3cjI@6JKuQ$#=8~;CtH);P<x) z;SaYN%@4Pkz@K3=gCA`Z#gDgH#9wO@&);UVihsan1OKGWcK#)sef(QCNB9qIe&r|G zT;S*0T;rG7+~L>SJmkN%QSrNM()pAkk1te|@}-I@zLlbZ@1$tq`zSj20~BBQBNPn5 zcm-b&p^ylo6~=-Dg{5Gf!d|dV;U+ks@DrR=^cP%I3=!N?gbE%i#tV`Z(*-$-If7@3 zg@TugctNvbmEfadqky#CE)dx67Z}<e707MR2pnuL3A}7?2>RLH6NK145sbA>5=^tr z63n$N6fCu^5UjR+DcEA$B-m@)E;we}EjVXO3a{C6g@4)V3Ta!JFvC_ZEV5M!D{b9` zuWWsV?Y8}eUu=g6*><5qUAqZFW4q}>8@ni>i``<OpWQOyK)W@<k#?Jf6YO>gXWIQD zTwr%Xm|%BKxX$j1aGTw2;eNXZ!V`8X;RU;N;SIZd;oo-8glfBLVV2!1VX<ASu*$AW z_}Y#Ty|?3tzS@aJ9HmsGtCWjOluD68=_Ybj`icCML85`mp`ww>(V_{;iK3ax2vM|h zo+w@!D_W~uA^KUlUbIiSRdigrS9D%^M08DgTJ)Fll89E`5Tz^s5)~?+ie4yFL=DOu zQLC~<^hsGMV%Rr`MD}k*Qu|JkrTsUNqdi;4+g_~G&t9q%VlUSjW3SYiYVW2KW&i&u zx(}}?uD5~Xi3q6lrT5;p_r7x#MFEkHQU#>9g=Kr2`?Cf$*rLH2W9%hSj2e51CD;pH zK#B-R6DiU}-+9lT&!4bo?wonP_u*QI0~cDT0z)j;21Z+K4NSDy9hhP9TVTG$$v}xk zRiN79M&LS&2Z38GUIgy4Xb9YI(GhsmqA&2Q#aQ6q7PEmjER69FEG+TQES&JQ7T)+4 z3nKo51sgwT0r2A%q4;kWF?b`(6uh}*Hr~!sjCZ$G;r%Vw;;EKf@m$N@_=T2-@S&C` z@zItS@QIe!@M|pZ;|naG;iZ=Kc&%j{e!XQc{%6Y({BFw`{2|M^gyWWGgug8930EvV z2zM+43IAC#2(K)KghtC?Lc3)I;iF{&VZ<_nFl|{tFtCymOsw<-8>>wO7pv_AU#q<Y zlGPCc+v+R<vART9W_61YW%Y=VVD*xaVbw^;x9TL6S@jdNR%3+qR<nekt&E6!tSpF! ztQ?3ZtUQV5tq8<_teC{RRwCkKtEI%(R*}TFRtd!SRvE;8s{-Pfm6SMZr6U^QHWJNo z+lcnKN}>nucVZy!G?9k8NaW*g5EtVf5W{iLiSf94Vk)kUn1kyjmf$`URk&&5TATrC z3(l0Z3uj9@fO8`q!}*i`#8F6Ba9q+I+#*snE{yaV7fWiwrI0#t*`$7)m^6k{kY;g^ zWMo}VvasGsa<JY{^0Yom!dssuF|03<gx0r6!PbvR5!NqBtE?MH>DKL}eCv;-GV2kN z)_R(>!P<aaVQotO)!LSP*xHqR%G!@yWlbSpx8{)VTQ4L(vkoQKSx1xGtdq#S)|uqb z)`jG0YZ=+VMo%`i*+{mv*+zD=sU!#3946CjPLcUG7s!iku93rS{w2rTJRzsq)R6OR zn#mHIF0#gEfV|#joLpfuOa9fyh;rD*oN~&>o^sK~gL1<rfbzhGN_lR>qcqqoqO{wD zQ9jzlP)2Q%DPL_eDTcO16mwe{#lcoj@wDAY!P{0)n6|%CM7F<Cmf4=9MA@FFB-;K% z$+W#oDYC7m$ZcOypluVS+_r<V%eIelz;=Xk%yydcm+c(tKei^+d$!ipC$`SiT3a7# zt1Xe*ZOfz%+X|^uw!u^byA@PZyLhUdT`JYxE{7UuC#KTv6jY%dN)5LAi5h9QotkJ@ zNnK-im|A3ak}9`5Pla|@spWQesk`i|sR!&{QIFd-QqSA9Q?J_fQvbCZrarZsqSo2{ zNNcm3PwTa_qK()&(!Sbx(hThbX%_Z0nxj3B=4HQ#Mzjy5vF)R2z&?={YM()iwa=%e z*h^?R_G((G{aTvFelu;o{Z3ki{XSZy{Sn&l_NQrQ?5k*(?61*o+ux;C+gH<G+rOeU z+c(la*tgR@+4s^W?T2Y|942X|4&P~Z4#sp32Maph!Jf`=aHoqL{OQXa$n<CjHa*z^ zp=UcRrI$EF&{Yoc^mPuY^q(EF>AyM@(|>o6(a$*O=$9Ne&~H0zrB^%rLVx3MfZpP8 zl-})dhW^RnB7Mr?I^DqW9^K6GG2Pzr72VUZkxp=Ir?VV;=)mz4J=Af69_#pxp6Y1C z$aOShNE~e$T1QvLMn@mUc1I#(zaxWj%u&Gj%Q1*?)iI3muVXahnPVcO-Z7og?wH5u zb1Y$uIVu_798tzRr%eoNrwWFv(;kMu(?JH!=_o_sbe0k9bdeG1be)mpbdQncRLu}O zy<(`G8W`)G+894Obu%iR1{p`3#u;awzA`R588GiSnJ}L?;h42fj?8yX9?V{+0OqI@ zg*ofQW*R#qOe^PLri*hp)6Y4UNp((U3Y^z4gPrr4k<O*eL}w*4%Na7o&YPGj=L+U} z=iST-=L5`2=RcT#IG<sjbFN}ualXpD=X{6x)cFy!&iOgB-MN<8=iJO3ckX0<ckW}( zclpe;ahYVgxqN2^x)`w-E@mu|iw!Hp#hDf3;>AjJ31sEEP+4Uz9G1=nVQq2=X6<wd zXB}{fWu0(IVqI{_VBK)ZWj%BevtGH#S#Mo*tPd{hS)W|Cu%=yhvgW$(Wm&i$W;wbZ zXZg6EW076|X7OCFvzEBtV@0@Dvl3ljurgiiSYp=}mdf=#YrSh9Yn$sZYp?4B>!|BD z)}OA1?5nOO?E9`b_H$Q9cB88YyVKQ=J?KhePr5SMbKLlBGq*)-2e%Nmms<pz<QC87 zx}~s#+}5yHxaG4G+)CJM+!X9$H$7YBww}G-Z40}?Z3la=TP6Fb+adO!ZpYYH-OjS_ zyH&AYxLsv8y4_~KcYDYla(l|2a(lxzaBpOrySK3&-9NB>-22%S_YpSFeUiPz{X09- z-H?;yZpz7a$8k#B9XJ|ycg{w4U(OD9BIkfRopaos%emkVI5*sbIgi|zb6&g0a9Z3G zI6dyGIiKCLIbYoiImR9m4$ec#arHns0UjGT438}w#A631)T5FU=W&R$+T$oE-{Uk# z=5c`oJ+5%Jc--Xd@%WeXyGJ$Wtj7z^6^}a3J&$J2Gmj2VgGUdi(_@e`=rPKf^qArp zcz)-Ydm3^bJx#bio;WVW(}BzPbmInl`f#H>@!VujDmTZI&6RixxjN4v?oXZ}++Cg# z+(Vvm+*6)O+`m23xwk!YxKBI_xpkfrZo8+F+wZC8e(_w-{n2YP*VJn}*WPOn*URew zm*jPX%kw(HUE+0)8|ihCo8)zso9%U*Tk3V6tMz)!{mJVkcb8Wk_mEdJ_mo#V_iwLm z?rpCD?h~&OZk^Wzx7}-&+wWz-`{Fg9_oKHt&&=C~=iu$c^Y(V<k-dF+d~X6T*qh3W z@@Dgry#>5n??t>a?`1r_cQ~)yJBGL0JArrDJC%3FJCk?WJCAqITg-drE#)<Mt9hN? zC~wGn18>TEGjFcXcAllrZl1Hxex9GtVIJM*I8Wqrh8N;<ffwg<nV05sgIC~lm#6S~ z$Xo04l=rjGE8bq8dfri=X5L>u?Y!$g-MoiB{k&H`!@L%sFT5U~86Gw_&j03P#GmhL z!ngIc<a_wq@rl09e2%XNKgidYAK^>jC;C$P*}g1(sV|?e^9B5$e1rMBe8c#^`9|_j z`^NDv`zG@5`lj)p`DXDOeDnG5eZ~ACUnzguSH(B<)AOzT*705Z%J~6)6?}%@E<W(9 z<cIkk<gfHQ!q4zK!7uhZ%UAhb;BWA|%-`;JoqxdZ4*!JT1O7$7$NXD<FZhrBYWTH& zjr?}MR{nrrCx61Pn{VLX&o}oU<~#Y1^L_oN`85CUe3AcLL5Tl+L7cz2Ak7~qDD<}% zDE(ao>-;?h+x-0m`~2~O<Ng#ul|NH()1N1(_D2LY{y~B^|7C)H|8T(<|0uznfOvs< zK$5^QAWh&KkSU-A<OzfU#e$Fki6AaOAxI0*3JL?DKpC(>ur8onuq~iMus>jz;CR5V zf~tT6f?ENH1&;%c32FmQ3)%zz6buAh6iftM5f}vC5Lg7>5jY1v5cmaF3+RE*1xVm) zL0DkDAU^P|AS3Xdpg8coKpog4*bq1%*bz7^I2brCI2AZ0xD+@mxDz->_%zT+*bult z_&(4=I233toDQ@X8sS}pI6PMA;eCXG_&^~GPZBP|(}XMVY+)jvFU-ax!cu&YP={YC zEXOYw?#4$7f5*oP&*4`I|G_5<@8i>jFY#HzW_+Ho2VW!{#g_`d<7L7H1hvqPpci@( z)(XjljY2+Qvv4V)LKs8XDO^q1BP<~76UqsPglh>$gcXG2!hM9(!sCQLg;j(q;Vr^t z;bX!zVIAR?u!C?<I7oOXoFY6H8WNuiEs3v%F2p)v0I^BPB(@3{65EC0#4ce1u~(Qy z91xZghlM)gn6R8UDcnt*5&lm6E<8sx5M3o2iyjb7M6ZbEq81`f)JwDzjS-zhKagBS zrX)|11Ibt9LkbX4Nkoy5L=}aQn4&lmN0d$yh>A!+q#^~0HjtKzc923v2T3bLr%2JF zOQbl_T~dPR87W!RNJ<lRkupWYq#V&#Qh{hbxmaXNmWVvbG7*Wa67k4dQ7{>bqRHz- zspL(feDY?IoLnJVOWq->ApauTN3Il|AnzAlB>yJ5O+F%eLOv#{C!Z2^lFy2U$md1V z<clIB%4Lx?<(kNya#KX0+!1jo|B4n<9*H6;k44FpXQEunE0K&+Bl;huUi34iNwk;J zDmq4K7hRyd7u}?Eiyl)xis~qXq7KTiXpk~0nxcFW8B(W2IO<oC8}+*gPc=Z;R3jva zIv<Iknj*<m3nZ6{L!?w&1X3N4tyE`ZFVzh>M)gE4P<@b_RDYzJibv|GB&35%MFy!1 zWQxj03~4+BM-w7$G=Sh~K?s``j4Y;wAd$2%B$>7X$)!ahGFmM1KiW#<XIdh%mzIJY zqpe1&Xc@>YS{Cw{mW$NU3Xo1(5i&$8L8fVCh%sG`Sksk=J6(ei=z0X}<d7xwbx0I_ zBa%WdNAl@g5IMa9Sxeu6Y@_c&_S5$uC+K^Ti}d}-9r_{UDg7|gK>q{jq8~?w=_iq| z^fSmj#yP~6aUStxR3RkBC4|qof-GfRLt+>=kTk|^q>ynJQ8Ml$8yF9f9gJ$^Ama&g zn(+*|%y^01W4uOQFlv#vjC!P-(TI#Pnvw5}R>XwajyNzo5g%q3LS^<KBIZXVl-ZBO zGY641%wa^#96_|qG2|!a1o8`W3i+KmgPdc|B3Q==9x~^E*UY(~m1zX}nDf9F<^o{A zG6NPY3*gMM0s$;*z+~Big)Dopg5?MjSuP-l<p#=F9sp%|fvqebP|5NG$5;X20t*jr zvWVa@iwx>mRM5$ygCQ0ZOtaX)n9T*&Y(DT{3jvXh03LfG2xbR?X!c^TnjH)Z*vo*D z9SYX7mxCSb72qH{5}aa3gUjp~aE~1aUa(h!x9kMa!%hOD>}2qroeE4jX~2P#0em@Y z0F9Fc5KayV<K%%=oP3bUDFh{)VxZ%QK{=-s?BSGwBOEFCiz5fuIZE&!M+Is)8qm(s zf&q>mOmQIg5$}J%in|WDan}PpcO&3%H-W|6auCJc3{tpTK|c3qAm?ra>$uy&HttSv zfV&Hv<n9KSxO>1|ZY6lm-3ywy`#?AM02tvO1mC&80TbR~;K2JG`0)M!G~Q7l;vEBF zyc1w0?<C0NodPAiGeE~X3(9%tz#iV8;0W(L_=|S|T<2AR|9BTc4et_Y=UoN^yenXe z_YW}SUj;b+HQ>g-0SNq?fWyB9mhf+bDE=Lg%D)Tp`S*Z=e;=&lKLFeL55WQcBXElU zAGpM?2KV@n!E^o-@Rt7+bn~BqQT}uAgWv@)6}$wFf>*#-@EXtsZvgg$0m}uo*!9PC zAPZ9}s0VsM1K2ER1iuQJz#oFQ;Jlz2+!VBcYD}G=6?6#RfgwQ~m=?4HV_`e67Ipv+ zVJ9F8-vb^dSl9((gdaefup1O&RKgyxLD&m+Vh#yEf-}NCa0PQ;*biO_2S5wvqi_(6 zW9Ep4fVt=saK`wHh5-|^Q1ltBz$A%AKn_MK8U+yZvuF(L#T*xngNvBkqA%bnra?3T zx-i3-S<xhzk4yqPWD0m=C>S9!4MH&Sm<(hFh%p+>PsmrW8}mEnPs}xB7Cged!L(rp zkZ)iTV+g(hD~uZk555BqW(g(=lZwg5C@@&BzYy#87h?VXLagIoi1qvnv95n1*7q;O zI{$@O@4pc1{uloL&kW;;@x#zD0J9vEfXT*4Feqlr!tbCGa};v{a})CzQ;+Ff_zir* z%wXm%`UY$;o{MGy8N<gc!^AH73eqt}7&T_oq8YFYa~N}W(KNV<d4PGnXbQAq`Y;oV zCV@fFB(Myc04|t73@hjh2*N}Jje}%NUeFkjVb%tXf(p$3pb>Ba^LNl^a2N9|Xc#nM zJ_LONBbaYNL%?M5Ah5^yEFJ(<jA(H`2wU6-R$?+2e*`6qdw~v9zPJbMS=<edVE$VC z0o+*J1^!$79@Jvm7k7fe#T{U3aXT<t(hhJ-+JO6#cYv^@6>yidfF+pdCCy;<lDD8> zNfS^mX$0$+G=LpT>cPP!b>KAS@{(Hc?~)quV#yoOyyP|LS@H^uEqMuk2z~*~f}aDY z;Ag-u_$gonKLH^4F$fQ?1_{Ccf$ZQ%Koa~ApurEomf-uKGWZ@i8hjUA2)+Yu2Hysc zgKvSl;G3W`_y!mXz6NH3uL9$x{{Wk%SAfUT%Yd}>65uVp2$n9b0x?T3fV8FOLE+Lr zfokbFuwm(0uyg4daA@f%aAxUAaAoNUaDVAB@N(%<(6aOo(7W_^FuwFK_;J~9z<k+3 z;I!-j@L#qMFqZ8F3zt=b@MU{I;<DW!d)Y2fwrnRrmu&}Imu&-;%YFvOmTd(WmTd;N zmX(9Y%Qk`fWg9`~vi0E8vUOl)+5doX2n04Edf*YF1*8xS;DxBb(hwzx36X=e5Gg1O zDFdpIQm`RJ40eVTgF_*O;B-hnxDt{F{td|iFGI3GbI2Od8<GLWLejvGp{c+uG#NOC zCIP?D1V9g62|#EZSRNV!5<;UvR%j$B#WoA*Lzjcip`qZ{&}HC{&|q*rbTPOQ8U+3e zT?lGJ5zrng1cRY`Fcr!LMqzA#3u6MeFghTFQ2{5643>luK~xwXq=W^4{4hTt5Ay-* z!o0w?Fb}Xl%nh6ja{+&cIfA=k_TX8VEocn01|Pz#z~?XvFdJqD7A#)??3T|1-ph>u zW%*nnSUv}YEdK$-EuTfwm(L)@%cl_a@(E<)@-bxB@)6{><-^F?<%7sS%lnc0%ReHo zmiHhn%e#=?<(<g*@^<9M@K(euycux{Z$$jU>k)c*Eds({Bg?~IBCEomAz9&1kkasK zL>K-L*&Kc!*%N*jITC&w`78Veay|SS@+kZYQWJg&X$!AH`oqs7li}x(xhu{fmMcyo zE-Q{Bfh+z%SSt=Ai&h*$B3A52l2+_Ra#rj?%2w<`;EEl{))f^<<%%uHu@&XWg%ul- zn=95K)hqso)UD7X9V;}*;0h%&wL*><MU)}9h!Vstq6i^G6d;_4Tx4-X77`hefuuyN zM)D$35LrYb^1le|JQWd(?2Cv(jz_FOsv^RWTM;41lZarXJ|YO|i~z_`gb<mD;33A5 zY{WW}fw)If5ke#h;YQ+-C6WF}RHP4*66uNLMY<vKNM~eiqythBX^ZTO#39EcEs%?m zrpWEc`N)$<Bcwjk0O^eUE*gsbDw>I$5*bH*5m`r#irk}yMTDq95hv=SXh~GJC@Si` zC?%?0lo!=1l0`L%{uhOvPoru?`=VZnjz>KcRYg4(-NF*$$5H=^>Z0z5I-+ih2BWTt zrlT&4jG`}!aM9;QZqa8&_~=t2cJwh(Q1lT|MD%Z>r0D&k+~`V?H2N12jNT#I8eJi( zjNU9d8ofz$K6;(#Ml=-t7p)c5M5{z?(K1ngv_v!!T`Za#Qy{X8$q~84WQqb}(nQRd zWYNNy1W|ZQoG2kCT9g&DLR1<PD$>O)6_v*XiFU^T(eE(=(YY9o=xPj8^dN>RdKE(y zwZsI7dSiS=V=<nhA7Zihh*&3)L#&<1Cl)87#+r+Su_mIBSYuIKtbr&!_Peksc1Ea* zofK|}9TV<|9Tpyp9T1+1?G;{%?GoONZ5KX^Z51}gHVM08>x9FxuZ1(Q&xOWukA>E8 z4~6b=_k@JFTS89UHR0m8%fiUGDq(WmpTgX@(?V(7aUqO5BHS8xNcd~qKH(p6dxU?* z?G#>*s}Mel+bnz&w^8^mZmqB{PA~itrxyMgFB6)@mkJ%@i-f-Mc|uxzmQWO*E)0!N z7RJS|5~jz;3X9?+g{t`F!VU3Dg*)Pdga_gg;mLTu@b7rG@J>8U_%xm*Y={pOcE<Y% zhvGei)A24sqm}kT+)8Vq>q-k@;K~I;)=DGcqLp)m;VWka2`i@rSu4i{r7MR8x|IWh zpH}t=ep&fmaCqfA!P%8>1y@$q3;tdCTJU1!bHUq{)q)Qz9|%6Lyd#)hc|$ON)fIuw zs*3`TReuVIt4<3ztBwg4uR1J<Ty;Q@yy{m$&Z=F4vQ-rVbXB=v^QsMkJ*%ML$SSSi z+$x3O>MDug!Kz}x%T;-T=2e-3o>ggrkyS~8Z>!=33lgFPwh7?^&xB<HQbLe`n}7(G zB=7{02~0t90!5IUfESb{_zBPiPr>E{7r~wcd%=+eoZwu7x!`KTe8K&Mxq_Do-}%i6 z)BNs)asEicFn>0opFcmbn{SiY$@fTX<r5Pd`JBWW{^G<J{D{QI{G`MO{OrU#d`aSU zzAo`HzdZ2*|ChwG{KJVS_-7K2@UJ8u<ljrI<Udc`#cxck;CCgK^FJl7<Ig1O`9?`9 zJ}ybhcTE!W1C#Rk%%m*-!lX3*@}xxms-!snnxsg+I4O*;P73C4NCNyFNqqi+Bo_Z< z5|w{3iNL>|<ja4Y<iW2^a^|-u+41|6Ecp{jCj2?cMtrm6ANY>RGd!Q<FFb1UFi()& z&s&<@&5KEH=cOh$^YW7Gd9vhJJed5Hw<Y-@@7LtJyd%jsc;}KY^R6ae;N4F?!+Vi@ zocA{QFz-Y1e%^5MZr<1A?L6a@%{*Mn2A*3A$_q?U^Oz}89!L@MmZ#+LR;Fa~GE!1` zMJWk9RZ0wReM&fQTgo!tzLZ70<0%5(g%mdLMhcbpD22d#lj6&3O>yV-ra19NQ*3zO zQ_Oh_Qs?t*Qw?|?sk2-{>I9daI>HS~9pJ7=?dB$=wsSL6o4MlDI<7kPC3j=$WA2XB z``iPmx49=$uX3wWFLH0Dp5y+PdV*V%dW8Ef^#J!{>K^V`>UQq;)Xm%ltJiaFSL?YR ztCd{BY6+LUx{w>RI)}Srbvid;brLsobsSf`I)bZS9m3tPI*7Y{wUE1iHJf{UHI;i| zHJ*E8wGa2vYB%od)ehX2)i`d?Y7_3rYD4bq>hGL+X;U2Qv{8;*+8`$|t%t)*>)?R2 zW=>dI9Vb5R1t&eNnp2qeFGrDfle0GM3g_pv3!KWd)0{ujj&jbW9pe0xR>}D{Z3pLh z+7?b@+6K=1G?X)xrsPbeNjP)U3pp0)*&N68)f}Jn1P&!VhQm)^&IwKr=0v3fPI5Yz zlbufIl%^9o+H_yergV4C&U6RPfpi?_M7k-bD&3HCGyOaJzw}A=>+}(JOL{-MC;bC^ zB)yG2o8HJa&UnMdWjtlOW;|pEWZY)cGp@2l8CC3%jI-?6jAQK7j6>|aj7qjNV+UKG zv4vfpv7Y@)hMxUfhJt-6qlEo;Mn3y?#u|2YMhd$oBcA;(BZA$V5yBqLSj7I8!Dr7~ z!(>~pA+g=o_^|`lc(5639ND5ZICjVy6L#zxLw4$#Z>+pE6Rff|!z}%pK34gf_pDuO zT3CnH)Ui&kdBM84rkZtg%{|tCYp%22tofVOvgRDCXU%ce=QW2}U)Stq8D;KdS!Hfv zIcKhC`DN;`&D3(1AXCf=&dg;+WoEFFGLu-@nX#;r%y5<_Gnlm@6Jc%3<goT-Qdvhc z16hA%da<r%I<xL)+OVEynz0%(jaZ$T-<boMlgx?C&&(gQ`j{qJolLu|W~N70Et8P- zoXN_1!~|J)m|<C0nQ>WF%+*<EnE6?MFr`@snEI^U%<`-X=B}(w%!65wc`{4Mtja27 z-pI;lKFnIfe3g~Ve47=^?8*vfe##1FPGuoXgKRd_ESth~$PQq7WqUA**^W$hHjcR{ z+l0A1+khFL{gsiHJ<iC_9%RU}yBTP98>2kCfw3$572{xbHRD9~Jw{dbb;gbCi;Rca zXBjWEk20FF4>I0o?_msPS1=~CH!<eqpbXO-CBrVKgyE5s$H3>LGnhGv3?wI-5t0+e zh{*|Jq~r(~IXMi5B!|e*=J+r+=D0Gp=h!m#<(M&!<`^;l%=t$DCuf3wFXt2eX-*Hl zE~lN|meWZ8nDdH0n)8@Gn{$tDoO_*am3xuylzWEmlY5j-&OJcq=Kewt%H2v2&)q;@ znX99x=gQ~>xy5u@ZZ;jwO{JIT#?yD^M$ixBE~OvOMd;^q+4O6<WcvMFfBN%WcY1xU zJ-t2Gg5H;FOdrerPWzTSNt>59OtZ@Cr8(!d(|q$9X_UNIG;UrsEhz6UEj;fUZDn2+ zEj{lvEkExFO`5llrqA0++myGNwj*yXZGWDcb}Uaq`!g?}_D^00?Ot9Y?MYrVtu`-| z_AU=w9h1kSea@rNX7U1QbMrlE=J}2^hkPp<HV8u_<o`%x<xf$O{9$THelImTznz+# z-$>2Qe?=ANS5sB_cd2XhuTr<>pQrB5KS}*9|1kArekHXke>?R?{!i2g`6%^8zJl72 zFQ#_n=TQ6dQ>kP5@zmM;6;$JbV5((-km^*xq<R++sl);wD!ag$3JR>Lp#>(?n1VUf zl!9qWcEJcmT+l~R6?9P67Bo?|7QCYDE~us)D!5BIS?~|#Lcw{;wStqB`vt#Io)!E` zsV}IYv=wZm^cLtTBLy<bOhFN4Zeb?HtT35kUl>F2C=8<n7A~SN3V9S^A(awb7(j_A z^q?dZ+EX$L%_#+iMign`ELmSTPTo{FK;B;1Mc!N3Og>UrLq1#hgnX&+U-GTOYvf0T z7sxLQPmvo750g6!E6M$Z+sI>u8_BbUda_ZGjBHU<NOma7BzqPmkqJf7WM)w)SyZ%; zytIfzjx3^(6N>!E8AYz-f+Ab8w8)gKD>5K&ESe^5D;gnH7JVfBUer!HUDQCjSoD%~ zqv#RoLD4PJ^P)?n`l7R>wxXk?-lF}a&qX^)(?#VZgJMWBEmn|hi^U|j;%t(CaSDl2 z982OBhmjT)FCv8%b4jtq6jDmDKPkJ|jU+C%B`JzcNwC;}R9-wy+*$mYxWBlUc(k~U zc(%Bnc&Yd~@mBEz;-lgl#Fxbvi4Dc4iS5O|6F(ML5=V-+5vPkc5)H)IO;BPf(N<hQ zbQNb1{lp1Gia3(U5icb!6pM(VVkR+KOduwSJ&BoO2V$Yvf+!Ok5%uC(!bb5JVVk(0 zP$}*t92PecPKjR<E{Goyu8D6E{uN&$JQ1HI)QFD|n#FqwUE=M80r4inxL8k^6-x<5 zB?ScYk_>`<Ndm#6B!Uo75=@|$2noCr24PW2AR(;8gAh|<Pe?8?BV?A$B@~s+;AJHv zczsDPeq%`+zM`Za|7*!J{BI@q@h3~J;m?;`!2eTn5`VYk5Wc!(H~v-0R(w;*T6{-| z3g1^E#*dU_<EKkf@N-II@Fu09c<WMtcP?e)eM*UVVyQQtS?YurmRjP2OO5d>N@oM( zOUD9JOZx(IN;?9@r450K(ieef>4U(ZN^b;iFRco!EIk=`xb(Nclcl=@&zEiuyjr>z zyD(4{SY0X(d{vqq*jSnz*j^eP*jpMBI9!SZPL;9(f0Pgc=Sw^Ttt1YCjuP`gPs!ZC zK*>x1P4YQ_C+P`TBzYGQDya>KmOKeal-vu*ko*&nFZnY-A~_bImh2B$E7=jSS+Xf$ zr$isHPa+LCBFPUpElCfklB^84CJ7I?D+vmymT&`JNhkr065oJ!iE}`&#42D|GB03K z^3DIdWX#{Vtk2(~tli(ftlr<f?76>x*?oU<*)@N5*?E7Y?708ZvIG7RWjp=j%gX&z z%TWL9GMRsIS%JT-EZtvMw$gt?S-Ah!vLOFo%DDaq%E<ml%Y6LLlsWldEVJ^zUS{ln zuWZ)uaoMQftFn)Njb&|q?PYa-J!Mb*K9$|`n<)Fo?_1fQen!%xerD2rezwx>ey-Au zem+vIA5kjtV@PxS1kx10AZd(Wm^8#MT8j83N|}D?QoLWD)WfetYUihvn);#AIewd@ zlfD(wLEk;n_r3?EO}<B^FMZESANpRD-tfIHt@6DmJ>gp|J?Q&Ny34mgTJGB>MSZ)a zGT%XIzVEm+&G)M`-q%33+}A|5$QLJL`#Q>qz8*3!-vF6|FGXhN%a$4VBC;u;VA&_1 za9Nj6tn95%vh0=58regieAx}3QdyOcQg+e@$`1N$lI`-Tkd^!Fmg#*C$fQ1h$nt&8 z$X5GQ$>Myj%EEl^$bioy8O!InjNnr%^YCew+4*$JOnmxeKl*%@O?Xer2E4z^I=zkL z4c=z*=iWB*``*s-tKMGnzq|wGN4=@?ecl}THg80}!8=&4@eY@lc*n}Ky_4ig-Wl>J z?_7DXw^%OlmdmN$I=P?sdbzXr7P*!8PPviyUinwA!}8Bw$K^d<=j1J3f6L!^U6=od zEx^3#RV}~h^+JBqt4@B%t3|%c>%F|(t52@?8kU!NO~~`SzR6R)3>C3nCW;U*oI>Q~ zs9<<`C<46v6mDK5g|!z`G0%&y_~yAtG3ptj==F?Hyz`7#)Oe;Ssy){zZhPh{{`M?U zobpsCe)H5Te(_wdDEHi=(0lGsNIfeRd7g(9sh-Cav7ToYA)ZwVk>^zf-Sf60!1JNP z)$^$W=lMoq?AfT8^=MO!czjUwc=Rh;JVq3+Jth_Zd3;yg^e|Lbd6+6sc;J)=JRFoe zJ=~R>JbaZ}52CWvgRac>;3|_nfHKM>SQ+fGT*>!{QBph-ls?#T+R-ChY2i_*oa-S` zPPr?UL++^Zz551bqx%-+bN3y}f88sU|F|Dgo^wB{{KNgUveNy6@@Mxe%C+t{l?wNN zm4)ur%5?V^%6RuWWte-j61aCLneIKxK=(nVoBOEJ+I>nn&;7e{*3D2g;%1`ial@%v z+#FP|-P}}<+<a6w-0-RkZdBEAH@0fOn^3jgEl9P&Ekvbui%^N(;#8S#NvZ_5bkz#C z99588p^EJ$Q4!pfDi1fk%GPbYYJuBk)pytJsxj9+s*kP*RPS7msA^nKsQz<3r@HBS zQB~!7RdvGkw(5ZEebo-v$EuC4FI8&SI+fV9S(WM9u1aw2R;_RyPzAY;sMxL(DuU~* z%EQ$_ZR<K;y};F6{oTbzJ?i45?saijx4QVM-?$Lek6ftg8!l}11s8$(xXU8-K9^<c zZ7$*J^)4}Ll}m!U$R$;s;gYFd>5`{j?jlwL7pa=*qE-jGplUal4QiarX0@@)cJ){1 z-RfcI{pt_Sht+SLkE>repHbg;zM#J9d|7?Y`G)$4^Ii3?&JWdFoS&+p^DDK?xn7;; z+^kM@Zdb=RcdM5=_p1fY!)mJY7qyS`jM~xp2aTDtk!FswiDtsdQq%8br)hU`*3>$A zXdXNHYHm9bG#8zynv+f}%>gIAW``5dY;X$JsGY(zVy8&W8mBnTDyKxva;G%SLZ>VZ z(<xsQ=p@#-I!QG+CzZy?Nw1l4T&MZuSgz@Etk5(%?$SJWtkm3dJgB+ictmr?@r35E z<5|rwju$lLj+Zq$$Lku2;~h=5;{#2S<6}*P;|tAV#~KaCu~9>GY}I%;c4}-KyEXG2 z`!%x;!<rF?aZR_ww5HkNyXK|CT<v{_`P!=v=Gt=(IPDP!d+i<v7wu*TPc7=;r!8~9 zYjYha+GGc&HqwEoUE+Xfxeh^ElEX5sr$e~b)*(u}z#(4y%|1yxVxOk%vCq^t+vjOt z+81jd*h{om?G@T{_FC-`d#K%Gzd^g%zFdpiS7^)ZcWHC%f7K@2AJ9hHAJ#6mKc?l_ zpVkuX|I~WeU)0*zU(wFDzoDJAyQBSV_dxr>u3G!n?z#4b-D~Z?cJ<mTc5k(3?cQk* z+r8KRV%MYn$!<Wavm4fy+Kp?o?54B{cC*@WyE(c=c1Aju-2z>porTWT&RU1Fv)38f zx#*^CJ#<61KDtiZKwX0^N%z#2rn_Uy*8OeE*PXOQbO&sMbUSR9>NeOe*QsnHbw#$Z zx^&xBx;WcpU8rrkPGp;<quJ)^d~J(#j<%&bGh3PNM_aY-i;Z5_XR}uK&Ss<Tjm>7= zBby4{b(@{KzijsC{;=7n`_<-<ZmZ1^9ke;FliHlt<=XtIOSY-fMcQ1}VN;Acj?FC{ z!RDUM-R7ar+UBv&*yg!z#`?8x*t$;FW!<D}v~JZsvu@YjweHegvhLNLvL4VKv>w*& zupZNGu%6VZtY>sZ*57sM)&}}GYh!(gwTWJ6ZLX(U<Mck(c6tYEC%vh)tNsUTPyHCq zSKo^Z(6``-`d2ur{sE4uzl!7N&*236-*G^{8yBQ6$1T<CaH0BA+zNdbE?S>}i_?eW z67&mk$$BO(O&@^E)Vttv^p>~+{ajqJe!@zk@3)fa+pJXj8Y`{-KP#xeVYN<w-fENn zsMThDrB#J~tJMxYwE9IawW`$TTJ6^-S^cJuusWg-vO1<`Tb<J5t<LJ*tj_CkRu}a~ zR+shDme=%ymN)esmUr}ZmjCJ>TRzg?vV5$svV5jLZuv^T&$34Uvt_;hf0j*pnPsa! z&$3;gV)<SlW!bG?V);?eu^iMBEQj^(mZN%W%P)Fk%PIYg#aI21#dm$Dg#lV`VT3-h zn2+AJFhws~SfIx(aOge@TeQN$0bOh1jLI$C&^!xIG{wRPjk54ZmssFYt_2AtSWr=S z3kGU!!A6ZOc<79|5FIiHXs3A)T5leVJ~0nLZ<&XoRpu+u<K|K5KJ!@gXY-Zl|I8Cn znRyDDXTBOuG0#9F&9l(O=D8@xyZ|Mb7ol$EB`D6k3^g*Bqf=%|bkIzLc9`kWI<x<w z)n@C^n`Rr)3ufi$F|#dbrC9~K)ocd}&32(>W_!>av%P4N*?u&_>=3%h>@dnQ`vVO$ zJC3@TokT6o&Y*M6&Y_d0=h1%CDzwe?5?W(=1$|_C4ZUu91O3bNHhRSLF1p9`KDycT zA*wU2MoUefpjoER&{d`{(dDMEQD9n&(oO48Khs9k$+Q_YGi^nGG;K%6O*+wDlP<Ky zqz8Rv@)5mn(vSXQGKiiv8Ag9I89{fMjG-G%CQ!A>6k2RDgQlCzqH!iazz~x;P+&3_ zQcR4Xx5+$cXR-h;FfoI(3oPL01y=CG0&Cc~z!pARU=QyuaD;y^aDgWmxWWAkJm9tk zUU1z4A1Gho2lE#Mz?21e7`1>1mn<Md&H^eVETBWT1x$!rz=lQ(xNvGd9}dnJ!uI(H ztew9Q{x?4e-k84_o}V8K|CqlF{yIMtZl1p!>gTV3rSl_U*8FIgFh2$^pC1Ro{FRVC zKLPs9PlAr~lcCxCRQTikG&nXd1NP2a1Doe%!I$%L;J@?o;FWp#@btVwcxYZR+&NDS zH_R)As(EFwaGn&V&6C5Jc}lo+o(l5jX&`By7JAInLz{UJ8qfP5oH1SpKN+uwoyHqs zz40da*ti_tGTsa?7;lBgjDLoe#@pal<LwYN-U-W$cfoAq-7wL34-7Z1gbR)LLWc1^ z=x=-gIvF2?=ElFlAB_*gaiia1uhAc{#poz}X><(UH#z~Y7@dS?j7~x9_8hp==q%h| zbPlSF{)B}_=V6-B1sG#g1(zCKggm25*r@kq=wWmP+8F%<jg79t8N+LE$nXa2G`tDx z3~#~5hPUBO!#nVT;azyt@E)u*ybre+K7gp<LntwP1hWnQg9(P!Fx>DlHsbIEG7O(W zKf`Cx$?!QeGkgJmFnkHe=DvbGb6>;exo_Z$xi#?LxwY`}+&Xv~b8v1w+%dNSuAkco zm2;b5!Q8iS_1tC{J+}oe!EoocLc-j4(0y(j#LaDoMwlss4mfDg3EK_c!&=OL23_!m z!3X%4K{q^t*<;WH%ME&=4pU<A5oQ|n!IhXWgMKJ77=ToakHH|c$Cwxl!EbXu!4b@d zIm56C^K8y%co*~coDp~evwzMgtiY_DGX`atygB1A851$*3k<@r=1jmqj0?tc&LlLz zO#C<n`!KDT*FR3f2bimvvp>$j!<b!|O+S8xYD^I(9TWTGEL?`+W5^iKAHP8x%skA@ z58vP?Oy>{ZVLj$C<|gI><|w8Tvju}<B$#YW0%kb|VCWb>j3dSjgYEW$qu;;79?V<J z3(P&tWy~qe0nB#HI*bC7k4eQuVU}Px82tBd&<$gSF~m%M`vwQT&B8X!8_XljwQpbH zpP1h<yD>j~n}HgP7?bgB8pdNnFv4$Bkb?2X*nOLX^D(ot6L1*Qg=v`m0-s`T&yK^3 znB%i!a4+WP*-;2F(%BK1gGrkG3|C+l&JIH+#((w`bjFy^4#7FIgK+%oApH1s0JdOW zeeH+$zxKf^m@{8L!b4ws;ZDqkuRT!pwHp><(!PFxF<-l2@YnZ{harCLgdSfzp!L^w zXpEVjX@f&E?_kGFE3BJofz_CsGtKb)%v<=!OcVTdrV(zQX@L5fdRRJB2eUA%W@_Q` znHq@9yn*zY*U)$76?B|=2~B6P|I5sCI6D0dc27TrZ>OKY=hKhjz3FOrY5G5SYWfj8 zF#Qm2pMC(>P2Y!#>3cAL`YueJz5}DCZ^I?iw;*TwCL~PXfNs;*Aa439G@SkiPEB2b z15=k_`_v^^Gj$REH&q3%PhEh2O`V5Frv8L`rq03gsk2Zwbq1D9or0NDC*jJe6EJM* z7!*w%g|w+ZpwHCr&|&H@G@1GhexE!DM<x%z?#X?yX>u=oK3NIxPVRx1CU?V=le^%7 z$(?Z9<aW4javPLS{tWXcx5AXk%`j@R94?;R1UZu%A%1c_bemiUttS5m4JRR-n$W|6 z2`y}&(7>7r75r~P39nDc;a?L{cx0js?wKfs<r8A4n<$1Q6NNByA|I}r$b(@MIS`r1 zg0zV>(03vOI!vTN(}`60{Yx?&{gMQGz9hi6Usl2wU*h1sFEQ})muPtEOC&t_Wd+>v zWjS2`B@`;ZEQ1AKg5l~fi(&MaAQ=2*A>@5QAn}V3dVJwS>n~hr{Dlpt$C+?woDMt3 zsjzOG3?GjZ;mvV8yf7XBkB$4m%5fjKb=(W0;~ubV+zsZ8yTHV8N4R3#9xfcWh0Jkl z7%*-HoyRSp#kd(X7+(OtjLn06V@9xbY%Y8~HU~Z&`vG1Zn?=u!&7i-JO`*SxO`t!G zjiK7H5mY=jjIJ3QMB~T$(a^DvsA#MQrH*x>K4YDz!&p0NGS-TIA8kfQM;p=Z(R%dl zXf66;^fh{K^d)+E^ci}3^a*-!v>M$p`ViePdLLDe-bD*XZ=-3WH_({TYv|I^D=2^T z5=t7aLOn;%qqd{x(0QY0(61vW(czKfXxGReXv4^1^y$bU^v=kB^y0`~^u)*>bpOaM zblb=dbnQq5Dj(T`=8u%4DI*)vsF8K(l9B(R+z~xW7}22aBTCeIM2;Gdl%dm~OVFXu zMQG>e0<`XPF8cU$7JBP*23qxbHG1rG3cB}mBKq@Z>^${37L|RDLUTW_K$Aa*p^=|M z(8ZsFQTFE`6#p5ZZl8rH?lTWH{LDtDh8gJKFcs|>CZTo1c(i)hAH6y3gI*Z+M2`)- zp?im&(XGP{C>*v$rNcNhch~|=9yUcIhUcR}!$v53*Z{>3f7iPWf7RoLr}T!yU-VO- zM)iZAhV>nv2K9BHKI$KT>ek=<^j?4AQ@j4yr&j&mPfhxtKVj$7Pc?ekr&s#iPtWwp zpC0QYKRwbf{`9Y&^XZPB@ad-B{nItQ^{2~vqfZz0(?jR=LqlivokOSe^+U(>Plk@@ zZx8*Zzc{pCe`2Uozi;RleZ|lY{o0`ly?ki1K7VMFK6PlFK6(i1gNL+w-jGU98j|Tf zha`I2p<?~~p#uHa!5sbYV5YunFiqb$n5=&`n4rHq7^lB97_C1wxI%w$FjT)|aH)R7 zV31xl2=qmR0)6@*M;|xH)Q1dG^}<1-o;nzy_ZjrnI}Cd2O$V{}h(Ra)*npkBcL1kv z88Fws8Zgm67%<jf9Wc<J8~CpKePBkndtg#mJ}{=!4Gil_2L^Om1HHP0fi7M6K)Y_? zK&y^9(4-3(sMEO&yw+I`Jl7cxJl0M1KhzEO-_v#U-_q6fU(-GAzpT5}U!|++|5JCo z|Fmvj|8ZSK{}J8V{zE!>|2|zo{~q1y{++s*{tDgF{>?gm|3)3Tf341|U$3+4SL+t^ z%XHuSN_C@sMY^88JY92NmhNR=y6%2ovhJV0Rl0M1vAW;;B6Yj_mg~y<mg;nULAugD zM3>!%eX#Ch>sIv9bc^~(I#yqxF0ju>=ho+;!}Vd2cb~m(`lGdO=%a=1{l^8mhL1+N zryu9&?tGlpUivttJ@s*1d+_71cE`s7?S_v%TJ^{G+TxGzv>6}YYU4lFYr{Ui)*>ID zYv~`WwSFHTXq`Xa(OP`Gp*8q;MLW@ZQ9IE4r?$QKw6?bQnD%k+VePHn1KO(IU$w`3 zcWL+cR%o~NmTTAbZqO=wp|-GBt4-@wXybY%+K}F2t*|#wOY6<l`u3)29eb0s=DqRS zIlWQZFFoPf{+?ypww@quO%I~2?%`=~_As?oJrwQn9=vv6kDqp1kEeEBkBe5>W3Mgj z!D-Wa%(Zbn^R*#8bG4$L?;2Xqw8pn*T;tR;tTFHD*BJD4YbLroH3QwPn)dESO>K9L z=5hB6&8_aonv2~JG$*?6XbyB=*KF^;tl7|gL8IzEt10e2p~>hzqFLE}P!rZ&sR7-) zG>q;FO+a_K#-)3m#;RMdG3-`prawqELm$MN_aE{#4Ii>J&pxDS?tVzrT>cQJIsGA0 z^V^3o&8`o@nx8%ZjqU?qQ~H6W$^JmqBz_=hB0l(Pf<Aa?I3Juf#1D2Fj}Mj_+Yct1 z`5%ll-@1O#jCRead%C`;Te^nTue$ox54*b6*Sp%)e|0shk9O6o_jbKf|J?Ody|(M2 zTG4e^UC?zyoz`_(9oKb19ny71E$TY1rgt4y`*rPCJ9q6?TXt<%&+Xc*o_fDQJ@g(` zcfMDv8{SLR&)$pG_ul8JFTc-JpLw6EKKwpGz59KPy8L~(TK|5Ty6pWTbuJb%B)?~? zqux{1!S4xbED2GQ-@B{5-#e)t-rK0n-kYm`d_Q0PrPDy&-#M#l@0?K8b&jZ>bPlL) zcXq4(?rc|`>TFgW>a0`k>U^pCsq?W)+j(DA+Id@*-Fa1&)Ok@A*?CU2r1OM|*Lg%m z>O7$G>fEEU@7%63?cA*Tv2(rZONU<7-=S2scSuxq9fhhV9XYDo9qFpSJCanVI^tA^ zIwDlNIzm)Gbp)w&9YU3)gRRQxpsJEP@T#Z|A60ONn~LAzprUl(R6ZRhD#s2(m3hZ^ zr9sD(a<Y9?IoLj^>}>B*HnevrpS3qD@3q${ue85Vo^7vI{@(tta!>nB<(Bp<O4xou zDQiEi%x^!cT-|<18P{H^3~k?`MB29~8SNXC0qv;LwOy&iwM&%7?S;y(ZQ07tZL6_s zD-)D0Z86H%ZOfI9+Jcog+JN#x8&`R}jjlY<MpW)-^Hpwab60BG9F!$(IAwO5sWPd} zP#M|wU9qHXQo(B*QBd0Y6+Uer6pn3e3iGx`g+bdJ#nii}ilKK874P5ORy4l5s(A6P zN^$?)S;f_N#}t3QJES=Ju2Ql0-3~>?yDf_K@760+@AQh|cM8RtcO{C1clnAH@75@S z-lZtG@8T7tcM%G&cOeRgcZ(Ee@A!&2@0g0oR+3_{)lbpc>Y-?CbyPfW#VPK$nkcTe z8Y=#5{U$%!Iw9ZNIxOGT+9zM%`d+STZIO#x>*SfOFXV}>)$)ked-5f%*X6v{zvblC zb8?^7<8sH=!*Yw(z4E!OJLS_YTjZZw*2_P%=;Uu(<nmW7V)?_CT=|Wb4EcqYB>9P! zSowjLaQV)bVELvNM6PS$$je%&^4yj{d1{N7Jf_829@1hX7qytl87)Tgz?Sbax0Xqn zP0MH5{FXl1_vTL7cyqI?ueny%-uzrv*ZfHKwE2$gUh`GimF6nhx#lynKbrrLRW=`x zRW$FGt#7W7shT&*#LZBa)vS~yHkZmGoAYJC&1+<W=42VQIacP^94>Qd4whLpBQoP= zwrut-MK=02K-T-#L-y{iqpbEVPWI%jiR{i>1KH)bU!`Z?j!Tcc9h6qS?Uw%hwoSV3 zZG%+x_LWrpwpyC?_MSB9?R9D7+l$iRw`Zk-w@0P4w+E&EZ}&)D-&RPi-)@r5dy7iH zH7TWIO(oL4raWnTQ@XUiDN*{YDO&n(Q<(H>Q;_tpCV})=6GOVci74IP<RjhK<SNxR z*-9l%X42dyBWY^Wx3bu#iL%h9Pi3H~r;OFqUPfqYEc0x7Rc7DxxXi5SUYSAD^|GnP zi)Ei0&y;mH9xZEWJW%$g@t3md#;s+y8#k0)YSfjTX_S@y-dJ4rYh!lV&yA^N>l)+B zRE-g3C5=nVvKx`I<VJQ`bR)TJS)+fMsL{QQ(P&?WZ?q`$Xf!UfYy2)TZJd<MX&jbJ zHuOq9HMC1UG&D+@8(v9XH&jci8}3SOH(ZlkYN(Q&X*ezUz2S(YvSFX3qG6|GeZyvn zreUq5v_UP&X^==#8uBHv4H=TqhC~Tyh?cM$LM6n8MG~(Dp2V?%Ccy%IiD84M<ZHd7 zWTf6o(px`Y(pLYYq^^Fd^lANY>A&^8rC00QOV8IgmL9KvReGSlx^!3l-O}><tEI61 ze5t(tWNA_T;nFqrm8FUG+e;(se<}^GM@xnEic)&LxHPanr_{YZwbZUYzSOjSMX5o3 zaOqT?uynYNS=wDkEN!jxDXpz@E`3sGU3#z1r1YP<Ii-KqO_v<28!0(Z*H^NuuA`*9 zuBimpy(*E{RhJai-7U$i`==za?tDp9-N}+=b-$H}>V7R@)>V|?>o%5n*6B+e>SQJ6 zbwwqHb(tk!Ym-YxYhy}2)`pd|*Dfk)sO6PBucek?D|t$8)OwU$thFyWRcl^yxYnrT z*V<WeMeVqFeeHl)Q`;q$)HaLrYHP%4wNJ$Hwf~C4Yp;nH*Ip3wYfp)3wTHz4wUuJG z+HGRn+Kpn<TD{nyRwkaVDHMOM$rSh2B#GN<qQ!MJq2gyX3&r<qIO6Ly6meCJpZHXb ztN3t@t@zg(Q*lL&fp|mBbg{N(q`0i+V{v{>dvSVAL-DGbm&Fk^kBWn9ZWRk_E)_Fs z&KBcqjuv~?>@Rkx*;#B+Q(kOT1B++hD2m74h>QE*WEXe7Nhxl66I=ZHO;~aDn?=QU z-f)YryrC5T`NqHa*c-Rv18;1LcfBz!-txwvc<r0%BGsGEMI~=~i*nwy75yJ;Ul~_L z*Ts7X0kIIUu&^7jbC%L6-Cc(k6tKHn!R`hF6dM&?%n8n+OB6&z1(6bvZqD6<hv)Tq z-w*eGxcldt|6Z}zUVHB~aRz6`CHG^HO>RbzNiIJ~J2xR{S?<%Ixw-d)X5`)oQq4Ud zG$Qvz(BRztK{C19g1U3Uf|_yyf~s>of=YAjg9>xZf^u^7f>Ls*Ao7W`p!l3wL2)?~ zf}(Rq23^e=5_C34Cg@mBci`TfrogQ^)q$ZoC4v4q1%VzpS%LOBf<W_}cY*pj&jTSR zCU8;C&A>T17Xqi`oD3Y3b0APTXM5m)oUlNtoPfZNY>&W(Z2Q2<Y}3GR*}8!R*((CG zv*!m2vu6Y*W~&Ci%pMW=D0@&~RJL^B)$GoIGuaIR$FeH|_GW(#*qZ$@AS^pQARwC; z;F<k8z#;o_fJOG*0K@F70jsjl1T4uu8laKAJ78M&rhxI;!2!dw*#U#IodaaDEdzS8 z3<H|8RtD5$Eea^hnjKJ-H7Ou3OC=yZOCf-tB^!{C)$RW*tI0nmtIGd&)_4C)S^55_ zvNHXTWbys?WF`1-&U)g%F6*wpf7UgBkE}EP4p~S2EwXm|8)R+rUzHW?za)$8uaV{C zKRwIBUp33Xe`FT;D`YM7m(7~xFUp+Y-<mnnzb<pIe|e^~|JTe;zx>R4zpTu1KVfFE zUt(sSUwme&UtA`~?`~$i-}TIge&;jq_?^tW<aaPL!f$8h0l$rz+x<c^!~A?R{r%iB z-Tmw`ZT-wLP5ksTwft6PF7aEEsqUwdImK^!=4e0F%%OfGGv)jgGJAaGGMjuQGOK*s zGrsxOXMFUn%*gQlp273|l=0d(HzUqBH6zNGn{nCqO-7{e(~LvDF&R62Z)b%2Ud{;c zJ)Pn1do07&cVC8y@3ssr-}M<weAi^C`LZ)6`?_SP_}XR+^)=0q_0`Mh_F0+H=(9MZ z(nllXtIza|4?e0HX+EPeI6gx&;(hvOJoJ&wxb4%Ce$l5f{iIKI`hK6%^sPQc>Fa#* z(tUg~(w%*h(k*>3-N5H%I{3t;FYvjWKGWw$x~k8G^x-~{=>vTZr%U?mNpE9sNv~mt zrI)e;(?7Aj)3ewv=}Byx^aQqP`V+QZdNg}w`W5z)^iyn&^h4|!={wjH(!<#*>Hch` zbT{^ZbQ`vGx-q*uO_SZ6wuoJuHk)0ZHj(`;Z6v!OZ4f&rO^ThG*6z(stMg7s`{DgO zt<d{nTDEsoT9Ws*v;^<-X-~W(((Za6PP^j0JMEPBmb63OVQJgFgVMsh*=hdXu4%5` zwrSShW@$#=25Bq3HPaS)FH4){JwHv=dv@Az?<r{myvL<Ucn?o&^%|1)%S$e;#7iPA z->V}v!>cis=k+V~l~-BnBd_Ar+g|yp7rnAmPk5!I?(^cNZt+S;4e@%O%JzDg>gW}n zYVLJCRoClc>T<7BsTy8KQ>S|EOC9aCJ$0zphEy4^kkl?uztnn9kJNHcr_>@(>(pG& z)u}>Hz0|j!D^s6(E=j%XIWP69=d9FIo|97#d5%rp?m0X))KekV&$EB3i>G9&m1k#) zfoF3H<yo6D-?Kbry63l)ah`=KN}lgi<UG?;dOQRvjUI_96&|lrianmDy!VJnN%pvt zf*#jWo_U;4x#tm?a?RsN%4v_iDTh3^r)>AwkP_yxHpS1wKgGqvE5*vgImN)kCWZ1a zOPS|kkTTsvD`l+5@)Sjng(<QgYAN0B(^DGUC#00Sk4`CaAC{8iJ~&0_E|>DwT_WX) zduMXAdsFgd_uAx0_lo2L?%$KQx_?ey>z<d)cF#<9a!*b+cjqMQx+f$rbAOSn?*1rw zvirT{QSP^rhqzx(mUcgz-0pTVxz_D)a;e*%<N~*C$r)}NlDTecljGg|lVjbyl5e@W zB%gP)OFrgip1j-5D0zdMPI90dB)hvUNw#sDmu%!VD|w~cl;j0&<CAB&jY=Nprj)GY zHYi!nO*XmPRU)~;wNqH`+AJ(`trh0DRtN>ICBg*PBH?4#kHRR|Y~dx>RN+ZizHpx_ z3OBpH5(c|I6?(bG3hiB^g{xg}2(?@<2^YDZ70z-!DO7bmEF9*#S19kgT_|$dC~R_B zC#-Y{6c)R%h3{S5ghCfb;aeAL;S(2AVYG{Z@Un}RFv5ir?sr)%-0U(}80<1j=;bm+ zXz!vbT<xMF)N)Z4E^-+xoaI7ZwB;ft9Olw3kauYnh@2Y)jn2OW70y2eU!1=Sa-Ba5 z1kN7>3C`Jq$IhvODCZ=>Mdw7p3Fp^>z0S`Co17mBf}HOOJe+R}Y@M$PjGZqCRyv;& zEO0(9nBja-FwS|8K+$=dK-PJqpv!5U;I~tdpv=ifQ0U|#$aHcN@SJP~ubj*Uu};Q< zn@+ldb55%SN1T=mb~-H-gga>n{G4VAT%4u|ES*#ZdQK{W<xax{8cqs=$xiZuQBM5? z<o}HeB%L~vS{<8{svUnPeRHfz%5yABN^$&_gpQw+o;rR=y6c#oblEX2DZ){Zw9k=~ zwAnEsDcJF4lBeU7Bs<60BxA?BNvj-hCM|Hhk~G8de9~CQQ%Q=B$CG3n4<&Uv>`kh3 z*pc+ZVN+6pLs(LVLvRwu!9VGxgLl#c2e+i_4vtBu9c+>gIhZGHb1+U?=b)FwcF;_6 zbXbvO>aaLT+hJbPVuv|NvmK@<sX9zbQg#@Z)ZalRsoQ>7QiHuh(og#VNuTXylCtb2 zl6dxA{8#p^{8;-2{!RN@{#pA<{$cwc{2lgR`JwiOd|&&Id?))HzL|YGU&mg^Ut-VY z&#_1T1pC+gVfN4Y^7fDUJ$5nt2D@l}x!q0vXS=KXEV~PQzTIj5E4!2YSi2+qn|Ay8 zXYF?L58G|y@37m%548*9``88Zo$LbmW_E18ww(umv7Ix2ww)bc)y|5qY-h^vZ)e2s zw$<g=+iLR5Y*+9LZI|#fZRhj3w(9(swln!Lwp01nZ71?i+m7WQv>nCYW~<C!YpcNb zwjIE?x0U6a*h=v=ZF_hNZ98}~Y+HC^ZR>f8wlzE%+e%)C%@1C!%{N|&O%X5OCZCsP z^PZPzlf`>xlghhmBj8=O;qoGEkhjm~4R4dp3to`T6P~-xL!PzGeV(CB6pymG!JBJy zg*V0K0&kSf8Qvh92%dz^F<y)HL0*;hUS6^FPF}9{R-V9mBkzrM81IpF2=A74An%;D zFYl<e7jLJv8!yb-iRWu=$8)l_;+a{S@wBatd5f*}d9$pwdE>2D@|3KX^JJ|T^E$2O z^L|^Y^L|*(;uTm;<E2|o;?Y*ByysS9c=xPE@~&7Z^CGMic>Amd@-|t?@q(=S@!YK> zc-B_kTm!3i?h31Bu7*_ucd}J2ccfJncc9fzF3XC1x6|?~x5Dx>x5%=9n{AoL<y&TR zUs<MeV=Ys-H!PF5XDm6~Lzc+hX8DG@)-s;!ZTXCAZyComv5e)evb@J#U>U`oZh4bC z#_}px!SWJU+VUK?)#5a_+TtYltHm+ydy7L{p~Ze~g2irboW&0AZHq13^A;PqN6C+= zofd1kVHRt+z83ymM++aWsf8z3%fgMj$ikUB)54xR&ccSPXko#Xu`uO!m>Y9z%=Nk7 z&2_jR%r&_w=D>YtzKr|Cd@(o5d;#~Oxd!)``5f*pbMk#v^J!c^^GRH1b5*Xn`B<)w zxe9l&`3UYTb0zM0a|N!F`5>;WxjeViOqN?~Ce1A|li+?d>*l1Ib#TzEmGjiBi4$d3 z&$(z;%Q<dV&Dm{M!C7xs#_=;N;W(Rp<(QiladgZ+ah8~U<jgXA&lzu)%~3MT;K-V# zaym_goLW;pr^J-Q`DluqRMP~`JJVO3C#EksQKrv07fl~?j+s8>>@p?at2MpL@iV={ zaW=ihF*Ci+(KfxpS!{ZdGt2ZGXPoI7j-qKKN5=F7r+xKNPR;7WobRg-a6YWw%OTIn zao(=p!FjxTE9cJYO`P+qH*k)w4&&@xy_OTYI+)|LI*{YI+K*$p+J~dL+KaPrwFhU$ zYFEyf)lM9R)eao#)wY~A6KhVji3R7Yi5cg;i3vwwV#Il4qR)9`qRY8yqQyCDvWj!q zgyL*7S<YE&vV`MpvWR16vVdc3GMBT`M4dCwWHx82$xM!l$#l*jlPMetlS!OrV^vOt z@i<PA@fc3F@hA?@cmyZjco-+fSc!AZSb=lOcra(b@j%XI<NlmA#<CoD<9-}#V<`@K zj*+w6xQA9Z?xH6dchJL)+h}>?7P{N0k*+tYr^}4$=mMh}I^C$6rj07;XGZ1pU85iL zC8HAhgwZ#8k5Mtb-l&N7H!7r^jS6UUqmQ(XQ69b6D3_jPlueH_%A^&I(rFo^RJz?T znXWMu(BBOCbe<uX78=rYf+5mzhVSTGh6(gJ!`Jj-!+3hT;R|}L;WOIX@Cj{i7)Ki$ zKB89|#?tc)AJ9_`@6n?Sqv=70QM82NZMw<eCS750o&Icajm|Q-LURo+(Ju`y(Dx0_ z(^n17(vb$I>3s&L=uHL@bfCcr+RflNZE0|n)-yOvFEuzs&oMYas~YU5l@0dNvIe_p z@|-JOr@w<P(ceyg)Za>{=x?Fl>2IPR>u;p*=&z^G>xa`v^+V|$`s?UW{Scb1A51&w z2hk?_f%GbUe|o;YA3aUqmsZhd(}VTBX$gH#x>?VIuF!L*i}YOSEIk*Rr{_$+)N`aC z=sD0=_3Y_LJzIL8o(;W8&zcU@v!dPfENDwTb6QW&j9#i|O3%?Vp;h&aX=OblT2{}H z?$kA)YjySM5?x*TgRTypqN`26)zzXO>uS=sbyv~nbyw0ybSZj=?h1OH?sA%~yNtHi zT}qqiE}>WIE~e+}E~2OEE~Hg-7tn)r=hH0Rd32M`T)JFGgZ`|ePG{<<(OjK5^b4KY z^nIOK^c6x8Iy33LIy2~vI@9R@ooTeI&Q#h$X9}&OGnrmOXqL_-dc4j=T2W^LEu*7K zw`;4?HQM9pZ`$MNJngZxkWhm582XX+X!@qM3VoK)A?;E0Htmsg2q7=+5wxxLaN39v zr9F(+&{n1=6B?ndL=Pa;qoqhUXbq*y2z}C0pwkJ_T0`h(grc<u)0YSx*BV6cCKRqU zkoF_wq&0vxC8VV#PcI}iL#sbMhL8dwDJ?m=Ra1_x(v+pY5XvFM*OZ}O5sD#nU9%s3 ziqHW<n>D5BAVThhtO)6AO3}**sS%n$NLf>omLt@;N|LT4R6^+EDhWD;&|5-}3Ed`i zp3o6O<avFXJhxAi=l5yy96wE-=cmbY{WN*LpC-@w)8u)7nmqSUn@F)(lH~3FES9u{ zt^|uE@riI}N#(zbq=bwVv6Y%j^pZ1|6Sbs-Ts8qI14goNH6xMicaJbqPZ`M~w_b_t zFyc&7x*wlWBrpo|O^iZXR*F%`^$TQVa<VD}q^0}$2ZuAdL5xsZ){_AVxjnrm(kFU7 zNxx#6%SmStVLu5e3yB88%bX`1OXe4`*eN4Jsyk#3lI?bxgG9bfW-*C;tBg9)ZehvJ zW`^M)fkZD$h$ZDEp+!JWx~F$*O}70Nq>^M06Lb0LWFsY%WrUKGSn|@uom8@{MlUNV zzm#E9#H^J3W`<3bl_zZ^CrQg+BUVyrvI@PdoV)|Wri)z-lrLu33^6;HB|C%(D^qqj zvF<-c%1LenaVBracyy6l)7u|QzMW~~DrTkSc}#F_a(|+clb^w8-NkHwd3}cU5E~Da zU&^qaa({wTATOaOte2QomjB7P^6u?aa?(gL8O-R(oBN5BEyv`8ob*@{%Yfc#lL(XR zopqvR9bz`5NYoJZKr5C+xZDg<QzfE7=A<IAzSk)*JTn@J4ZRUvWOyciiH*Jd8^RA} zrc+|mpNJz_Ljs6QVw)VZzMlyxXpzk<GD~U1Y^a2l#N4407LprC)m*Ak!h)sfDb|a1 zmj7+QQesw^d_seze3?{4<5|PT5R}koroJB`7Hc>&iV-o4)KPlsAMq-&c%(!IiB~FH zws-6jqnHI6#U#ckW&wIPq}fo3DT9>;NDUr6*hXfkgr$T6$wtY^tN{an2ubtdEUCfE z1}q<7s6_HzESDqQOG^wGK;-=-h+JkmF&Z<7EP&X$A7d>ygm_^p{gwW*l^^uSAu(AZ zPZmgxyyU;Phknxr8Y&HyP?T6Db6#s8<91*_mXsXRhoO=riE0pGnNY;+;J;YnXvl(p zX%!CdksxE6tHd}OdSs6TOHw{ki`XglDOfBe2?@hqz48Q>p^|z(7HgQ;2??8gv4b^y zDv^`<{mV6xj{JAXD+ZFu?AL2Zrj<-yFKzEdCfOue+Z7mjUt#uk^7Ru27_|h8S(1MW zlXns<3kEcMtL6;o5t3$+q`A)2TzeH340!Zb%^C0^^v8y&{c&wUYX1}_3JI1a1B{lj zwY>h1mQ<7pNwBOK=rgeTm%##}kz{FHXK+ccu?-WCrC7|M?H_Sp2#ht6^cE&}naN?g z?kh|`f0w^`JqgCXlBgxgM-m3HBuF6)Aqh1#b?Z=%;4q(%&^3DNgT2E2LxRItUdx=< zhKBftdaP0P@eg8$sZQUtWYG*~-{AGC;UOVGVX7XXYgE~5JlWpfY;WfUYKv9pY0RCs zZh^C>f3P!g8RYM&?(5~HPR!;6hXk|dusuBe)rp<je08G!=ik5e{=r^B>%G~mf7FIz z0gKqVh5Gn}yZHzEhr6wtyHL%Gi9Rgco18V&{FupLOxAdW`w^~pf9}^iK~ilB5~@-I zRi(D5PMSM)5(87E)~PKZRfRoMCQf&ia#E8Ts3z54P0ChPYPRZJsR2Gxu4+<#YEq+A zCrYu^q{a~NRFfL7N_HFx3DUJ&I7`!VwcBb<YXdhET~mDmx|Wt^maI^=N04?1+eg!4 z?n0KQf4C)k13NT~trz6sYsp$`z0u#>JBY1mNvv4mgkelASBH4}`}ng%|B?J72n+WJ zUmy1O$cZG_i_MJwKLJ=7I_kQuHnbwqXdAHBYW4PN?co{3{wEwQCLEuj5RdS`o&A|c z*81Quf8SuXx2l()N2oYxJrA$&kkEf3*6NLzOuh*_SZnh<R!~T=uj)VTn6q}6Ur1>9 zzeRt{{}ZRRu9dZ$o~EIRjioM2-_%A`TeUYEdh=%PJdMSw(?i)oY>zPZ3{@vGz3P3* zHD`g_;yIoqL%sY|L)jbr!$^Lr&Rx1-zQ#fqmUqZ{W~AE7oV8b58Cw0>x>>Qq*RK^z zbgazXO#D5atwPp^da<4LwY6FPB(^_m!laBBlU1P}-u{~w{F7X)wc14bpWrnu^=($` znp%t3xzE9WCXbnf-f8*YxmiziOGwK6J0Dm?I(HEfTe)fL=~=V>Ojqwjhxu<|hi_iX z{>vfbzAt}Ry$khE0-9=?8k*|;CsZpNZEamEEAf&r4VeIXyJ9Ny=aD{pgJe{_74aOB zeeQpc1I+&WKl|O^3G=@*_*arLN^utd6R(w_slJVgCON*5rC6pqok<1j-XjV*0I3o) zRbBB>Mb$hs#ETsk77`jZ<NrslXf7j1JP+nL#FEe;)qdI}Y1y0RtX@Dq5+S>~zofj3 zqO`KaFo_XTBPB*js7Q>K8Y3}Ia-zg!a-bn6CYFd4a_-wUc;Fz`NW%9O=0K}CSYe;^ zeyIbJ%!R62*yc6i9-ailL;s*(UyXDa&QkOC2=`#Ad4`3t)JW2+F+#QfnQ5X6`D4h~ zc(Xm%`;r9p2_emU>mHt-WPkYM;g2LJ#OrU?gX}cEY;hldEdEy#<R46qw|}%GNS2yc z$eJ~5k{fDdEz~^1!$bW&*N6Yxiw`+1FrNnde=MVk9hnuDjM$zz<^5g$oXA+L2}H=) z^&Y(m{<j^YW6Cr_a)kbGcFZFGcfWt16#Gt{vx!}QLVcy{6pN)w7-o$b8-|$v3<xp) z`r>^{>a1PN=1=MKXHD3?SQ*XRT`ZP)U$B4a%t`(4e#e|9mjc8&(=YSuq_1ChQvciE zz<n&1>0g4rYUqF2Iqqk%#`Y=y(gl<H-~C#jWwBNfJEmW9P4-W}yZ>rKs;rF%SS(eh zA})Qdw-f$ve`n5-3w9!7{4x7Y-#E^YdS4q-Wt}Jf7`wjGr;8whzy00$%OAOn{>NW5 zsrR*EeB34ejQ$ezRc{g9-~OHxf6RI^@iG1P#rKrdnKl}Pm{Na`#WMV_bz}7J2>orh z?C77b<S}iS!sr+h5F*Xj66h^4#E$v#+*cSIrW6wDt22BBv14+wuQ2g3<s+fL$MN>B zc^O7@ed8EP>VJjJQa(n$_(x=YrLQjr`*NAl4UA;5B8YGlsgEGU_+#dW>GN-YSIGY1 zPGo(h&tD(khkwhZS@ohmIfGLF8ZpEF9SOt#-6O+GvzRgdr%3m%->!cIeY5mOAVrQg z%=-SP$gr5>P;Xhq%(o0{I>V4ULk$0Sk@|Cg;2)9Hzh<6^huKs9Q>1z`{coPh)c+Kz ze`Pw;Uh$v&`(yR*(MkQ+Xr)->$J1X$iu}S@NO)$B4-rx%^P~Tlo>{m5z1kxe&6C4f zlfD1BH0-@n?YnI4y-xh!)6oB(;>DMa%nvJhGRe%3CkA&Blq5UWJ~1*gDoK9HGRFW( zMe@^8jLb+Gp2;)vaYoh@28oi%c}6~0jEsMV*A}C>7;VJpDMo)WGRHN>eybSwi1Dx( z&x`Sz7^B1(E5>JHWbQ{Wev-wQDaJf8GW#o||0c$tV*Dk>Mlmu8&)BJwMPiV-2gM+W zakUto#TY2YEn++(#_M8yB*u4QOc&#4F;<DOU5s*)fBG9I#@^d^q<@Ox`;luFG3qi% z($!v!E({VoKQX^X%x@C&+r|7LF@IdlUlHS7u{@SR(oeb=MPmH`vTHMWGK@je&qOgl zN6eFH>utyE(M<c^{2|EXG{dWkak3a^iBVmQy?I0Iw8Xrj7<+G15;=2zX8hQT(Nl~* zV(h)+M)d2%{3bDO6XO9f9uXsRKa25qRg8DUcu$PI`9$<6=99#jBF4{R{3=G~Sk3sW z7Gr}LTg2ESMoDI!iCup&4iaPUen%#)Z@!3muU+4K_W4m}<YYs3-Cn)={KZRD|0;9m zFPgV_;expui&RxLdgXt%b2T&;Eh4hT#9%?M++BXBu8H2CdkRc%%yDTtq5qV&fxTS6 z1-+u_EERHwS^Gj-Z=Au|r`$$UnQ!iePo*!6F`nZ7qM5Zbde<b6TkF29o1Q)76X8Qt zv&q(NRJLe}hliZrT)oiON_wK!9+BVEweNP#Y0OzQCCZ)Au%^t_W6dNV?ViY*)Tfu2 zblaUZrKDV1^#;M>as^_`no?NKO6(0~SMPQu*(Sct5J9I%L|$<qf?os|_=_Nq;O|8u zctS8%S_JzE`sMb3DZwc>dSEcY?`wL1-y_1S%X?rgLC5|*phR$ZemBH)i}3xOZdgk2 zWN0^Fmk3Q(5uRZG!QJqnQ-p%gT`-j3-uqn;+#$kM8@qthF2Zh|E*L;CL9q*r+DKgA zI$>9<2p2sf@78V+Vbj)5$ZQtjQ^QX9*(AbsBRZj}QH1Jc9njVw!rG@D&{!|Rhr2qU z^tT9uOgkX8PK2|@bimzO5mr~W!<HHm#>BUS_Ae0z?r#UlYNEGlhgX$Eui6e?6=dAC zZP2e=glpcm!PPS2|419m`a$N!t_|W!NdHsXV8(Y5hBvmt#jhe<%xMKyu?Ra(w1RVy z2;VukLR_H;ch78vwgM6Aw6(zEd@_DP3j}=-VaDkeIR9RRr#xEVb&d$_)mtDZON3*) zo1r*Egr%v?P?9FX2N#;5D20sEry0_PB3!bd8J;GIkR{m+CwU@F&T0Y=jtC>JHo?q9 z5jq4mLFHQ!PFmUo*WQS*My3f2Ux_e2uMw(Vh;YxXM%eRAgsay!!jLB-90iSV`jH6B z1~kH;STe3p4Y2*b2zTCXfYNAUx4r>Z-Vxzw?FNXvDZ(m+1}MBH!q>(1F!G8B569NS z>WgIkx75R?b7US3>fz#PvW~;*;YoxDvr2yh9v9)wC%=JvM1<>i{)U8uA~al0-sifH zjCb^Jh}<p0hKf2^vxAK1WgV>AD#Gjg>OgLj2sc>PfiRrJp;`x9*NJdyZ7oa<7NIDi z7K8yJEI3>XZoVRXW>*WpyhM0nN-c!Ai!h+E1}dFJsK==R2M01ACu<<lMuZYBH89#z zgkNXYz#3B#Cbs>8mqw&N!7pgiBjY~v3ub7G$ZPF>f%QreYHR$0^~*`WJ=Jh*u?XeU ztKrgo5!PL-hO6o#%=4{=^Rq<wW??lPoJPheRSjz<k$K6f0>klS-L6-`n9(A1T2lo- zhKo>lc@^AN6ro1{DzG0c!ZG=kASF-oBB~PZ^b=uAXeF$WAnUQJ5>mQ)FmG@rtZwT; zeo+Mo8+-8Og9=z&*Ms*qRlwD%9=xnq0qtcyctW`XOuqNv-jZ@SThxP_AD2U3eh;qQ zQ4amz_n@yyIV{NRL3fpMuu17bhl-!DhTntMFMq<;#2z%;_Y-!$=|L0ApRoBw4;rfe z1pmi9Xi!@Q<}p2}|F#U~L=!wx2CSPzZ(jx}mwV87Y8f0lN7^_204lNvt++p+@+k2i z@dJ(?ApN=ifJwW1&~Nq+c(Rqm(@_cwH}v3^<WhLNwg>m0D}@PxJs9a-3WwM|cx_%O zl)3fbLsls)aqPjjnI*8tx(73^lmN%H2a5wrpw*xU>z9<kB<&vTCtCt~R1d0r_ztd% zdvNaU@33YriF@652%Xh~9xDkyh4eRw=*Rcq+0WlVZ&VLHz5fj+EA?R3#&6I%um@{( zzX4aK2L~&EgFUPsR4@4oOFFvI;_+7~YwX7LJHEo9nr^&k@)ahOcVnW;S9tQR8_O$- zVNqc>4v8;@r|-LQ>HcDvoY9SJt714N=*Gwi#Za9{?CZY3s@L6E`|b-IdD@NRj(vgj zm~J$8`~p%@-MDx97tpxYjjvjY!1{bQ*6@oUIHDV;oGyYLhr7|mqX_oz>BjTwMX+aU zH|BPe#|Oemzv-XBbxk*}zVsO=pKd(v_ZgJjx-nzXXDGJoMumQ#;f8rPTD>m>2SXD7 z%|ejX>c+1jg%G=}8>c}b=+E!Qbps2bU{*K2E&K%Tle<yw{wMe{rW<WHegcbO-590& z3EmFs#ulYdFh-^u4ND3jtfvdFJ}v;dr3)K&6o6D+7ul~1U`2Ts-W**3L0`MDvoaq} z<#(aot9*Er)rHRw<O412LM5AgNJ;F%uu1ul9N&c>>OaD}xGr2me}wyYiQf|+;mGwa zly><Dp69zTXx2xVd$J2Zwts-;16`<@`~e>C=)y<mK7hxDE*#7L0CK@yczpf`h-P=8 zNa6!5b0Pk-^MG&Ng%#KGK*yvD-PYtmf_4|?FVBPN%e&B0J`ax0>%z=}_fR&Y3r+66 zhXoV5Fm3&N*fNsvI`1KVNEc=)zK2SgF0}rh3yM9RSQwWJbDKNSb4M=d|LVkFCb?i$ z+KHP+=YmaPC-$q#fz>&kc;;0OEKlylDF<^vHL(+4+2laii%v9|oC6uLomkqC4QFq6 z;#N*JSY7JGVG-FN8`+6ZT(jZn!A>-tL*zR;v9>b{s=_<*WNH>{3hcyr7qg(tqZ9Le zvtWaLC+=931?8rlIIUk6nCf<7?)yxLSx)4)GNET~C(c=y2?o<U@yp6gI5e&kBL-&z zDtDsJmkg+u@5GLn3>YlYiBGp=!1UG*3^L4s#Wfu`ePjkur5*V5XF4qV)Pd12(qT?k z2m0+xhv7*bINK^6n%{I_!-RB5d)$F<ey73t=nmYMm<A44I?((?8YrIXz;P~Vz&Y4~ zwX@Q|XL|?I9jPE0)`4eIQsInW2L@b7g)y!jsO6gqm#sQ*%%W6KFzmo~=~UPT9hm<< z1-{Jhz*o0YV9|^YytFO__KhQtey>V_6r~RI8Il6h{X5XCI2ji8wBxde$>7@9j?=c0 zcYas2<8b3-i2Txyaw_E8AGz(=Ss{e0!gj2W7sA=M?O1t02z#HjW2uc0{G!|O>trEl zUv9_ZMgb^C5S}Z5qJ8c7JyHOdHn(HBy8ujs+p$(%0PUXb*w&K-m+ab6Dl>_^d%PWo zUQGgeRXa`$N&=mQ?YLlh5+u%OM??7}&=}W_?w|N@L9rdT+~Y%wOgo<4$Oog&HhiYX zhqHBUm^X|MA4}V?<p&Q27qsE%=R8=R-iBIxdEidBVUQ&cwmxse3ln&7>|PrReskgU z6=I*rg~*6DoN<y12lln0n=2RAZEC}FbGTp`)P^}-9GL0ehJ(^M&}P+!CYL$zR=*98 z2XJ8Ha-v_#ff?#;IHErXawfK+djSn0!`m?CE)CN1ZP>PfhLhc`XrM=fLVYV<8b-sR zAFWvTBN1BjTT$<MA~>hD;*GtD5Ra|cWtj;5pSGgY#6+-&YQ?wp2oV=rQI&&`bhH%@ zL?G1fAnn}{ltNq4Q5|6xyA_jr-oY~aR$P+#4pterV$9WdumW0f!kTxWHn$Zou6PF{ zCby!}z<1CxycHuqzlHSvt*8+57A|(R;OQ-I!KtnVM;g6_VI?hiebigv=e6L>$^-}$ zw&2TG2_XBX1@#Ukz@^w0EU-&}$=6$O&9nr#6WM~&t#4rTz81VDcmszvwBYh{Z{U|- z3w~z50X?S{+`jM)dEa{rPU!aridVK^O5ST2J+B4B?z{%`$t|cF{u;InZ^3Ns*KkFy z1@|buhG!kkxVZEcaDO#p-P2bfC~n3FdkCN1jJ}qy;2F0Wr%ikXSDrUxRed~cjc&%r zoOm$5(2ScR<6+E^X4LkGhvKcxICO42oL|$7C9HVRcWcI%*)O5Sycv((cnOEKn$c(N zOBlPL8MRiugy<>FI6>hhOdHXRQr}*{Rk>y?k9z?FI+`$R=L-m_Zo=1QFCe9;3Ga@7 z0V<hIc)spAcqTUCk;Lb4<8c%2I{6$v+-$<lZqI>rx(U~-KZl9?nlMcC43@1YeAY8C z^l8E^*PnrzT@&sOc?QM?O?YhOGk~Q{cyY)xm^QNsAAEfZvZI^uUEEXnGN1|H?|cdm zx*D;@>?s7-G-7|%r!c*^5vTut0-rJ)(U^V$yAvC6ZNw88|F{t^x<7&EHyV+z@dQ>z zHew^|32=5d;^dsiur#z09d15`d!CILvF<Spwra#Q&BqX^P5df82AtoBMkR3|JGl{0 zJdFc=<wpFlCk}Q<H{y7!IEZa(z@SNSko}_p-!(ph>bwS2;6H*cegpcRc?8lg8j$vW zM9$X@ICkM9Xgkw@+xtC&ANv~c`-g|*I<*1yqaVTz?*@Fb;UTQGCU*J{VX;mF9v|@# zn&vm4L`5vzo78|CU&Vr@QUlf>iiIZ023+G13&$Gjv1UdrsFc*>x{esQo>PylsWC8` zQ;)kZ$H0ll^*A^v23oJz<FyqrV0W?}=MIX2M?2~<`O5?7TvLypj~>8EmwIIFd;nWa z>hXrz1BhK-k2<OkAa7<p{`!3%8b{URInI5M>rdpT?t^mMZ*2Cw4<pKd<AeG4Vd%%- z=qY_4B$9sP*bn!h^4V`Jin<4aTfgzzhI?>1@;7?v-vggrzj5}+doVrZH?~#Wh3_uE zG5Pgfh&1_)myg_q#mj%=I;XpkH{&-N&%O)3BY)%c?r3O``HlTEqam!h4r{JOLv?8# z=7mIqV{RQLu8M|4x(*)=jfT;2b$Gob3WBfJ;n`<V@akwCp4b-!ZJX=xh)on|_z|2M z1+KPrc)axv?A58mGs$=0+PpfvcJU58R;|O>fIIMJa2?`uf?c)vejt%o)nfIR+i<O* z7G>jZ!yZ8`PTO@GT%OmWk;QFLzg3ItCf<g&leKuc@fO5yuf-JpEm#v+i=Ah0fr>*d zYWUs))T>3mCAYv~K`lo0zXjD3YO$>FCWH;C#p$s(p`oh=*KWTFepNM?V0sfi<kyh= zz6nc{YS5|v2AqCcgHO0O;K%hE9B}3a%sF0ze(W0%w50}97TtiGzBM>U?gnI9*WkHN z*P%hP1|?&z!$9>KT(|8yj2%;hW#pR_Q~KAS^Z4s9x#bt;|Gow)rN7XIdkti>e__Gt zYf$y}7rL^qLDKzSSh46DoICdm*UMc4*S)_`w(u&9Tl)*I#9W0u=U=#R`&HOw_zQDQ zufpU-zc5VoDkM()g(DiSfccPLn8>>VpE|2C@az?^uc*e+zE>bKuNt$KT!H1BYCI@^ z1#Ud7My;aD(0Q>MB_3S{lLOVr-+39%hF0S-i_7rAwHj?FU50_i)i|a35-eR@jctNU z;5v!;xo`<K52?oc0hi!dXB8e=aS6_rS7E?lg72%){M$u1mRN<$o?e8lF;zHY-$igg zUxlM>FT#qwRXB9|MHmuNg#$V+z$eEl?4N!CF6j~e+6AzjNAznifW$aruXO?L_9yL? zFMxJ)B`*JY9`e6cqQ$H8;F(^Dfk)26kN8SF?0g<vZdYQA+IdJnQHeRM^Duu)C3fbX zgGhEI&Wt(-Kg}!AdE+^lx1thH8J>giX_c5U`W!q`ti%DeXW?si1)9=lLAJ62&z?F9 zQ}ZhDtM^%0kywFhi_e1Lg9_Z;|16lCt-ylMXFz{f1ul4W29^d^;OSjwV3KVGwpyM6 zDa{IWopJ^WXH{To>uI<*yaJb{o`y9N6&QErG|c!_j?+R;!<Uccct`Uz9ORVaWMv|c zEyw#mPXXs#InH~13M_V)BYpG~6a<!|h3hG>u`S1PjZ=`isvLJqoq|QP%2D-WBwQR; zj@)~Z&?x$e{#zqKx9TS<n?}O%yr0NZjf8aki5nXuK<fTaR2M{m#_69}eIWvDw*SP~ zzzA69`xDnf1njf;iA#r)Z@Df1iLyUV!pSK=@zcwbaA?R+j5~Z1Hnx|Mc|HlQrDf=+ zeiD{vl%cWYNl=I{!+9T0K+%md9Cz;o+&EH(gSVal$M7<gGCctUT+6Ua^#nZEFT?i6 z<6u3v3_AtKp<1O3B`zI@&C+E!V9jypsri8_tB%9g{2!>MbR25wAE@{97&tumfu66A zLBi=DxcAsGP~QFn@3|cVw$BgDnRg6g&3>S$-!Z6O@&o66Itmje{y_iOqhLDV2j1Cv z6vCQH@rUJ6IPs+vXG}c`HwC2_+I|FL;z}_w{RqTfD8->SjzHAzQuGTu0%rqCk*9YA zwpx|qB$XrJxS|vf)*J@)DWzCPABL_$rRZ|zF!?=If?2+YVe8ita=+j(%t<amdhlV$ ze_VpAN)ExMizUc^aR?Oll%UDsLvSOY1Ph%H!Bndf4AM9Rcb1o+r1T+BnOuU`@(;p+ zfh9=A9E7T-?^v?qAn1Pijz=vI!iA*oxOD14`2O%aR<|F3iRZrKt&9WUwBtLv-aG(D ze7@uO^#{neXTIYPg9DJg=sP|da{$W5eaCIT_mkuJcQohkhnCuJIQ;_QKYl~$p#4yW z-|*+k{g4&?4bzqO!{Za*Fur^r9NzE^qZ9Ulqw6=kd~zR5(EEmGy!OGjIp6Tq;(c&# z7~u!(1D)=#c;)L}sQmF2?>*ZK2hzXd>w|k?)bp>H<+K-~E`P-;^}R4{?^o<Ey%%l< ze8o8hdqC0hE852Hfvrow;=Wyb;IryijJMtc^X0x`-Sj=MyRH~#b?=7ckHxqqXE*f2 zVtf|08<s^CW6$Q@;CrkXO-*;h(Xe8?J#jZgI~HSC%Px4WS&U9;yMQ;n81ecpNE%X% z)53QFwtT@e2D>2c%NLXxy9>_qzhGy{PS_Ch1sjj<gw?0MV9SD?FnaSBlq}u>-`&38 z$b&oJn%);&qOk+4=6peqPuoGH^aaoE*$%fmitzoc?Ev44aP)_5kRvR@pdH)5=}{3T zPum7x&J>|q&Q`G9T7=iOYz3i55ssL=71Rxi@N&i$IH6XAGd6C4pUOqZQQZOyIzOXV z>SoyT{WB_rZ-!UG&nOtX8EPJW#sk7lpmOFjTCCdyu;nvO9lZ%0-9KZ$q>T`)_Ze%0 zH^S!GpYi+1jj&bmGx`0q0oJz_VtLR8@GUOH_Td}AoL`8;IO}2VgF;*pxE}gP6k^b@ z_3&wZA>K<2hZ|0XSmPfKZkmNiDTl-GX@z(m!+<}q5Ig<CAfVwB1}KGrY{4fieHRK> z-hDz}zfhQQ`x7=PhQh-`pYZs*buc6N6KeRagDX~_@QdO)kX!l*&%9d;0pmWQt>0Qm zlKO;`l-9!V$^z_o7Xt2?1^C%71a3YnK%r6y6kaGm#9$b(qW}~AgJHf`0j4PjgOx!6 zeotHj0dopaGH?xSRxH3d!`G1e&H3oX34)zP`FJNN2-b7*v2H{Vctz)<E-w%aj^<-j za3D-wn~w@AfzWB4j|Y+hK)5s?W!442v2po$YD@qaNaf@7WPj)^|A@(9{%|+_BZiOn z2cst+ab}tyl%4&E)f@a^)0U6;a-ttdx_!jsnZB@3`y&Q#@r9mgAJK8DFOXLRphd0^ zeER(Xt#<gpvJW57ZKe;Le*FPAeq=-G)em@a4;yCh`G5j7HU#;6KvAJL+%fuqS_i!$ zPwfLn%=d;)#Sd8d)eBTw^U&m&7tH;fhY3r(U{zusE-do|{o8r?Cejmh59Xl}c*63) zJS?m7fSG1_c;vhX44I#Y%XK`UW_TX9{&ojm$9u%9?hsM@9wUt0!G`-DgInD|G5S5) z-f;ur;rD20;RfMr-lIW}D~z;wkCrj6@M6Jx^s#pZ!;$atKtEUb(3y)*pSpm<*IcY{ zbAb=sT%0+`1q`Eeal;#Dcz!q+Gku+5<eFTZH_REr%yaPu*9ipkb5Uij6AT@Zi`U0G zfptd?YNR>B$uBvWw#gB=bPk42b%dJRIXETH0Td4AV99O=m>H0RG3pLLt<J$+U+h6& zBM04%+Jli&4jL`B2c4E|)GW7y#h<cK`>Y*Ic$<yp+IApyJsbV&ZQ;xAY&>z@7UI0K z@!e`$*sPz8?VUETd}cPTd|(4D1G6#G-UjZ~WnrC+4Or%8q5TVMXnLN78D7?K{CpOg zDq6$%Em>GdTfu$jEL<021+!LWq4GE@h?<avymU(#A)STWw_3vPpP8sV(-M9pXX3C= z7637s*l^GSB93R`=S3D!7?O!uW#%x(lHeJ0uw6jp+U9V0cqTSBn8CBQ3><#T3_cWQ zpss}({C=B(yI5u*c|8M#ai%b6cLt7fGX({&4BR-_6y$X?@cX;f&^<K+?bfV@3b_pY zG-frVRi&d(x(P(5r=w`A32c6pj(296fNn%O+I%(!xzKb}JZcPlt91Ol%osK;Ovkt? zBbYQI9V0Fofv_zNw;LIOS792i?=Xb6gf!gzz!3IbO~WIOhR}a!8b-?-!hZKO%zQ(> z8mXCvQh^5GJ1GsdM;k!8bQ+#a(}$TqQ?X*JKI{~xqRDK1$hen^?~3$5=}0OrJ+229 zfvL!)^x&XLD%#cR!pk|S*m_MDiUz0R9W!0%tV=-`mM$pfq~N3{Ixz8R3O0G@z>L!= zn5#%IJOvYY+AzT;1)qd#!_Y-3_-u+cbPP{H?nf;sY)!_ZgIe&cAQ`2WYQg^3$+)OW z6HG59<A%$cps+O=IVPHr>XeM5dRD=<70I|gZWT-$n~b&Yt01*oh=Gc$fL$!aCf-VD zM<E_wzY_Lc7vijGD?x6T5DN={%!3fm90BN9B}Atc0KOB1s9sA!x`Ysi+@N4)i2yq- zDA>gnV3QODnYRSk{$d3T+bckMzZGEZCBW$_E8wWM0IkxOL&78h9@()RN~Hvtsj(cS zek9?z(q%A)pM+b^E`vFDlJJNAGFZGX2^~6?!cy-fEQ(zU^L3Ii&}}J9ot%U+ic4Xr zbP_(|FM;M#K6-6j0y#WBPM*00qHgoCp=dFL?&V{~$;GhPi;vH>7DKZZAMZ6Uf|!YX zynk;II7;&IrPCr{mGCfo@FKXu;bALhAuPGc!&&PWLh>#i22NiH7VbQJ^?3p0uH>QO z$pv6Co`;*X76A5e@mKSFm{H8dp!@UT*gGz^JI{xTD_lISFdtTI<Kj~OJUHyc#hQ)t zAafZPAI_Qw15~)U<?CF~YUiN!>AA4BfP>5R=fZ_}4yt!*K>RrlYQ$+k_Ih%2%1Z;j zTXWEUga%a2=ish1b@-*o!RI^Gp}d~Pmig*Xm`$T@g&HKq(RkyE8r(lYV}A=ZIIxDs z9sSh6*@Q-kH*;X-EE*$%=fLm&G|rhc2jVIcF+YDc_zDy8#Ie~hB03Q*HD?38FA*oT z%mPoZM3jh`1znnn_{(h;oK#K3AIh_U{22+WQf9*SFNj?`X2R&Vh+`Jagvd*XhLtlw zv<Y$FwHe@JhnQwH1CkaZs`j4&W0eti<8%mac!$5krbB%8J6tnkI<!A}hb_g^V8QWs zc>K&X@C|&2bB(6KX~TC|Dw+zfroF?PFQ&rBe#Aa-D*P&ai~19$LMP`f&dHwwQa9e> zgyRHvyv0e{Q=rS~EzWJ947E$&qG{Y@_%z}zZe){hOE)LrqtTP$!utel$e9Fdo+O~o zp-Hg(WCGrXNg%N%0Tr4j0>>x;kHk!b&C?T5(Q_iqkV(M1BPYW9(l=<BHG!Om-(dUx z37~NO4aTpS0C%>%!99(tFxT-7IzCW^_{DE<m4_-U9QFnmj8ui#hS#_-Ydnn2evLW@ z#>4)HuhD}V59LQ*<I$#Zpyl@(xiRD5tnO=+^BM==C%#5Um2ohQ^%@g$#sd4xE1Yq7 zEL?f>3Zqtyg|zdpP`zyoG=;xHLEIP^ZutrWeaC=?`YRkeehg?2e1*jyM}v7~JjR|F z4K{*!Jft@otZv8Sx*ioU+7*xfFIC9jCE_tSSOq36i^ttlRX}<~Jl-rG1z#IqV)nUF z@GSc!4m2ADJ0HG8TiH>dd*mfPN*oE&zAsUE(@667i<fvvV<ZHrzQq2OBVbtf3-WiJ z5%B8M3tZ$l0<2!X!0(D9p#0Peyqq>1)`z@6&waz8)A$80riR1T=`T>FWf(L{zrX=; z!+`z$IrjG(23hZ(<M0W?VE(1&IJZz4PHuRPj;EEO%<?%#nkd5p_2>AppE7J6@Em6( zD#6?GXLw|j614E1Vf$Pqm~rD7Zm3oSn{CffB1#dq*gwNdu8MGB!86nvsR(fj&#*OT zDA2#2V#3j(kdpiqkLeDD^gB;6NTdM5T~E>RwF10#eu}nX3h-dbQ}mdl0Fla1adY_) zSoix0-ncmgjMJas2d5!0_TCd5IeZ9I?tOy(*@NMs+Y?MVG8h7vKf%SigJI%`C-_V> z2;Mh5M(WKV*p~SiKZXy2@edy3cJ)D!u>Ud6s~QOA9*?p4&Oj($@fd|}1A#sAG2T@f z2tOO+@Z5(1;GPwSry>Tx`v-A&&3FK;JP?QRG6NvWBM!fE<Uxvx!(rRy!D(b1Ixdli zhmDW$VPk)2&3uGI;`+n#2aj-PK!4b@{}Fai=?{<GAK{Vja!|bd5l*=(2eKm`VV1od z%xHLs2bJYOC*vWSX3K)Zy@xpYm@N42eTcFKvJm3>5Idv@E`5ldi8A1?{1D~0$$(2; zEKXk{1BR)wXx-Eg)T3fC;&DG1vMUxp1@(h+$5@;@qaVCp7>gImq+!28EDpXU4Mx>5 zc*<28<ODG|WwbOT-iSd#z7(w88iO0oNWo~^7+h!}1*j2&l7pqdX+R7Xr%FOY*#k^D zC<%M$2guWrgaMZyV48#^oLK(=OHcyj%^#rLHVN1@^8v0{DgkxU4{&D-3+%q$$DC&@ zc>Vf5&RfTVVQ22+O*Izy2j9n)1tRK|!F_bF5>c#4_c1cFhtll6hvkMn)VBP4=$O<^ zJ$`Zzt5<bX#YgYqrMF#FKc9Q(v!sify6PU9KkuYgsoX<*jZVtC=`QYw?Vvm|?;>Y< z2jzF~E>4VUr~LNZ#hVk_DNpCSxbj*XWxMDuwyU&J+6s3u_k1fgvnm=>lv^ozel!+G zwos*4qfuc<3l+aH8a<9RQ~NBUF}r^=Wi%@qT@E%;^8KPwwqFy)FOI_OJ&n}HS5X+x zYNRHgio&-$8mP3uDE!)0PX+2m;e@UAl=S#0+|~Y@y3lq9dp7>2rsUqiOD%O&Y|I_B zUSCJe-hT&YH`P+nu6J-!SS_Wp<PI)rsG$xHy@P@4YN*<(+xYJHFUpL68|SS3Mcu!8 z8x!iPsqPK8(J!Q$GB&@B^XsapQ!{Skn2;*!z2t42TvtgAF1m$AA(fQ&i(7cIu7X;3 z;ubcBR8SXvZ(;cFaw=ifEgZJ4oXQ_{3-juKQokB*;*-#yRA<^vlE-C~bkt2u4lko* zcHBhCmLHUq-A#1d_=9R!zlqsxrBtQdP4wAPO67jPfht`k)XO(Fux5J+b^7!TEEavI z0)lQ}?e6c?a@`v^PWn3~Gwuck9r#A2wOq#nxo_0rtn27~^ed%#?>Y`1{FQ3lMdT61 z)OCmJNGlany7R81@Z1-wO#V97jQT?DD7}XBt`<>>Z?EAw)gtQpnQJ)e&Sz@snroOa z?K5>(_Zn`CDWoQjyN1r{h1B_$tLXIX6D5;%6*n*bM1|bFitz~rRL;(;sI;npQnSB` zC;9o*K8>q5(=ea<Aa@miWPGH?f4_q7EI(3Sudm>X{14Qv$SauP{DCU;zk*UFdDK9y zE9mH(M=epgf?2=bQ*I5H(L3}#wKMfHj%>@NF5bF~)!TEahg&XVu~aUVV0jsTAI_n8 zGcS|B$K+4~$;%jeE}P;OUc%Bb+0?72moV&h7Ip8)C7d`ji#qLj3F{wcQX7|D!mo=n zDI3L0Sc4gqTGd5V(aWG%+>7X&kxpe?yoh<$>C~BZ7ty^ajj}Pkhy%RSs38+BVt#ch z#c#WS+^|$CEc*gxbf!>a?_I#wy(tvE^8#uPOrc!tE?~@=WU5*10xlezOdXWDfW=Wl zO0oDn-c=J)7haslh<E`t^7whYxJp2s_CAlClq5=a#d(ysN}@I>oyX83K2=<G4m;R< zN|SpIZ`JXr>le>qz-AuRvi2NWO7SRtgLCM3oJ*Y^e-8JI;8LGj&SL6K4mB$CEY6$F zp{%3M;+vN=b!gjJ^wgxOc&oEGGd+>|HuEeh+9gubl4ntc+{&8p=?rSGL2BvaGkCP^ z9c6s*3^wh3N7=ic!R<rdQLYQm;MB`+DYt=Vux{#G%Bl1;7CcR$%-@{G5=fv{MV`ii zX>X{Rey7pa?hQ3$<!R)UzNTu2oko+juPNcLQ`p+|in_!*h1|ohD8Eam@X_#Bl*YPK z_~v#z)u?}p+%Ju%9*;YP3-Bf7+Z>6vj9*ft(j!sh(+eu;RwNetyr2R%N8-b#=hQ&+ zNW8M=IdykxB*rQ{r>O1-{BrFXmGM3T)#f~->>fnm^@OKX(XI$`{q>Y`vWvhU1y86C zb0YAS?-R;UIs#)`9#gLhPa?hlF*V`INo-MmOzk^(5>4*JQ6(-Xkvl(*TE5^UI`SS- zC*)6}qSYhn^S2XNUGk8c6n_HCLLXA><0p_M^^m&dbpn^4j-?8hp1|{yVyS@&Cs6r$ z47KFvaeS&1L%F>@j_W==pmv`+j^;iOsH=X*(W3P}^$d<<=%M>mlJaqUG3q{*U3Cmc z#@wUw>0@|x1;KO2P%HB;^*-nr%DLaAQnZfY@A_!!&B$Zewl|uJsy&JmheuOK`A0G6 zUKAC0=_nQ~i=y<_9z}M>9cql;QB-ojL;V_k6iXUzQ}OjjFn9lLYP;|V{vVdkIxfnr z4Z}8qEsBYXg)N8@Gw-<{Ov3K&+BJ9WPVCmTd+l0VSDp9R-JRH|C}N;A%=fO}{fEE# z0g^NG&N=6~@9TO~c#~3VXKRn$f2+hHv-R)tx7rgrTR*?EQFSRXTMzHEQMvw@rK==w zQ2$(>rL)f8pqi|orJY_IRP?Y}y6BPhYGU{--8Ou^%3ErdKKy*0TK#jTt~qp_Ds^?H zzVEV5ZQL+ZFJH4(6(2EEkM&)v7B`%!CtX;hGJDO`TRW~%gX3rD*srVA{i`!{+v%&7 z|Hc{mb+OfI@rW6E{{B_!L&F)mQ^+c1^O~U>My^!T<EQIRLszQnSEuU*t}9jUjnnm; z^($1v5z}=${}pOV!|D3VwdLw?sp)!q&*kd%&uMyU@-pRib()^IXql?AVVYiBVVMda zK21M6xm5KCpQcsYrD}M|Y5G*`Un=59gzi24FE#ISgsxoTFSTf0gmyo&L@gK^p*@={ zQL{oLblAJaYJz8kUOr*58u)#x&Q@r#YI||2UcPscQfsE_@X$rd^UtZe<g0}$vHn!; zF=nB<QFN-V?!Hj1|1w4Q+r2>bKQ~2RtiM3jSUE-4e=%SE7&t}08#Q0;uQNq&&p%)N zUTBJ*w|kx{9y3`lX)sS+IW<{3Ud~lRmrm9$W9O<u{U+<71?Q^6n5;AHo1>b#Pu2&+ z=cu>uC+X=?v(+%iBt3T0Y~@@yNiQxoTTSadNnblMOJ%c7(%vm*sVTW9=`EjUs<`tL zb^Td0)uTZZwM)5~>Oi51I_A_2HTBd49oK1w3jckAE|xT1x#XXq`!1cXP8=Vv@A*zw zy?c(=y>3iXS#plo9{s1O)rZFEk1o@ccjs~X)#eDbGwV2=TsuOQ{%5TAe=$`pZZlT@ zHEya(NFSpM6rHMCZX2VI9-X4LG##VIwVtA46GrP^ag$Zm4Wsp-MUz#J(9wEz^~q}f z*HQZ8^+{^qicz}bfJy37@F@MoZIXKUVWe)<a-w>+aHMuFoS+^Bj@0ot#;dC@M`*uX z<JG~LBlO{h<J1zL5qjM0vFf)+!}YAoV^pn4!}ZhbV^pH|a6Ke+wAz1jm~Jw2l<Gcm znEvC^Naa#;n0}ajq}qI8sE!C5p#ldD)gxvPS7!<i)&E=_rs|qQbmd&b)QMh0bZnEM zs$$L|I)330HUHqBy58MC)yEDNFty;H%E<Jm-q-FAHEqWq`sV7v>U^_5bj=roR7%2N z{k-%bRbl;LeWTAn)u_Q>on_Ym)%WutJ?Tq-HTthXx^~U}YO;Nh4jbK1O?o|0FVnxP z5wiyBN?CqaJ$(l1%;CSOkcR_w>4klj*MtFj#)Cd8uIvEq=h;V{xY}Qr?bTb28PZ>O z+SN<>6zQ*@eDA3uPxjO6Y(3TFKK=CeDLqv2T>W(7mG0`FgTLzq?%h>Dhu?MoPTkbe z4A$FQx~gj1e$$DuUDTS!zv=CPT~x*&ef7F2omJ;mef868oz(u|zPd}HPAcVHA6=nG zM`g_GqXYMJP{aND==q85)#k^&b>({P)zyi;b)JRoRCKxC+V-riO267m@2b#Nxee*1 zdkk-*au@EUyPs*Tvg@9DYo69Bxo1!9+qso`<J!~uyR=m2_V&=8NiEds);;w2kQS<6 zN_SmuS##yHvAfP6)l9`U=&nO*HdC8oy6Ll1o2sUZyXon7o2c&r-SmPoP1L-|uKMNB z#;WAhu6oqDMruceuDWf(Myk?{E_z&_hU)L3U3B!(aFxGE7ro9kT#eS9^~#Q6>QT?m z`stofRn4`t?wui2jr*sQ_HP-YPPgo&n`~{M(h@uBf0F7e-*p|eRq0io>vq(2H`Y^= zK6KEdf7Vs2=XKEWVRhA>8XffUb#>Iyhwb%+xZ3L2xc0h8SZ#H{tGzz7E?8~4&`vM= zA!<(lcKTGfsQ!7|=^7hhtt;E=PYFu7cWA3)nke-oy^XH9)le%pwbAF&?5b&K8@;Br zU8Tje){Z?kwRur%T_&4N)%I_#?{o=L51+KsmyZUjUK3mC?D+!K$1<(-{C)wd@1>Ty z^ErR@bU;fzw1mG3&DT=j9#u>2Iov{zx?5AZc4(pdRI91Fq&L@VX8Ea|e>c~~qH0)w z=jQrVa1B-IQ#1W_jj!smpqXxv<fCT$HPcb8ebhe>o9b%^s;etwo9dK2)z$NoP4$q0 z)zrtcO|<XTsw%c`6CG5ss`{9tiJmp1ih91cu`d0tvbxf;vCdS#vf7)_NLSumNzGZ^ zNUzOYNp+J(x=qiDs>+*&y8YP->f7{&dQ+JS>YqvtbwGr->UksFy8p|oyhFmZe}nSs zaKUiBX<Iqf@>rN|=UPrhcM8*O`j=HBGlc22*UPB1O`*DqPZ>2XBvfZvQd-4*4AEud zy;P6+A$neWFLlK?M2lHURlMIo+q_DtDWe+b3DZidJH;Akw~r-Mv6J=nizX#hrylin z+CfjXENgw;tB9w%w7s4#FtND$-msp|8C6Ub{8CppX;@78F0QK|?Juh8)vBv^7cQ!r zKdPfIPb{KZkFBGty)Ud<mZ+m6n-o@|r)%r`M+&KcUbVGH$wI1xOKrVjhKEYq5v+%P zEvW7{3f2qS7gSrmi2iw|fEv75^yVrBlus?uYnHjIPY<CZGq|gDW1#!?&#%Hgq3sXy zso0ZBx38T~&FP`^$=!LCS5~D57tX8pZZoW$VIJilZs=WKbE_jUb{)_;x2nFtu5(?< zr8fB5b(MfzD#tyWUb;D_8Z^SDL-OZTR|?y7-AOrAi4#G3W~`eU&^bsK>g=WtI0N<9 ztFG$X#z39l?y4%)3)B;KW>+2G1?ZYZv#W@i0orf2i`rHxK#xn#rp{jT*LnJ9vtDof z^{1y<)w{g@I)CG=D&{~fJw<0xF|BH8!zYW1im$1I|IVx)tf*<_ursUEwwhMnGn3l# z%ul-{XH-)r_~{x0Gpcr_{Pgyh8I<>#8oF<*4C+hI8v6A@r?D?<4Lxggy3v1|uRhl! z%_tG(tCuuNHLia2(Fy)3#-DS2^cSyWBX2byJvLX8vHfOsy*equu>DzGH+vUvoX=lf zue|%y2s>0wk2w9qxX`+q{;)UBFcPZjFYCS=yH`}zv*&*^3fijbV-vm_qn=jL69#`V z?v1OWA9RZ~Dwe3C&$ak$j67Lcht!WTPIjxTy99nRGG?l*-Ku;v?3*g-hNYs7!SyO> zuYw<pwNVxIT(|eex#`wzhIdAkcSY@$@YYDbR6#e4ePiVBS3$eIdu^1=Q9*Zk{>mt~ z+gpb|d})+x?5!`{dSR4|Ew7(kd2ZxiP+muzk2IXs%j>hJo*7ZM%IW2fr^fj|%jpcq zo*3)%m($L}kBuP*%j&-lJ~E_LS*`a!G_w9IqbKZpV4PoCM&I3g-<arMM(e%z48Mn^ zwd}iVyc}6tx88rpm{GX2&UEm$QQ?@Eu6OvBai+bOF8=RLqiu32J^REBBWhJCJ^$o& zW1zj1u5j*}5&N{HZhQHv(QjNy?RoQx@uGN1J^KD-qp4X!_kVWD__uQj{o~C=qgcA9 z&L4BZ7`4t*U;J_2xC!g+^m9h37sYj)%Q>Up#NyWZ{#j#h$>Msn=NTjRWHDW$;%URX zTQS|f)+wWXhGM!>os-7&4Mp|hrrOvOTvRXWY#KLS7SZJgIE?5?MRbd?Cyew`MRdVA z$BkU43+q9vj~O1_3+pbs|22waEUcr<qeh{Pg>>dyM~vLH3+bb;4;vX?dFagXhm4p> z9y-ePka4G!hwf71pmFe2K|R>-fHALILG2N?-{_H{pl;oDpW(ZqfUY!RuaP1J^zwQC z7$;x2>(yKK7~?0pYk$4ls8!Nk|MqZ~@m}ZGHNNdM{_2unFUhgfFw*nsx#f2lk!$l= zIhyUpXq8Xb?Xu0t8<|&U9J|%nI4-YlyJCw`rFdRl|Hx+Jz==Hi%DqiSjgEQr`?$Z2 zJ;}NC8u!1A(yMao4}Kes#X-6CwN@L9)JM5=$cXhu_fffYhZXCLQ-yNrY$w(lMUUpx zVb9kXgWBZOWiqWX%%3^*vhu49m!&!M#)hkmhBb5Ox__=TX5V$w6PB+q&JJ<Y&Gm94 zA)lK*5w*-Hzu#5sT+57R&0KYR|E0#TFWL3nu74Rz=4aRKW-T%HRL!nW99(RizUHEj zK3`<q>hGc(x-BxExVh+&{tJy4JF;n`=K|wJXg0la;e6xq`>c9_o@d;co>hB(o@<;e zmsQs-KG)cJE{o38XpXU<XBORI+-&2IOj&gJo>@ktjhXe`=QEAc!I|}syfcj-&ok+N z>dr7ujnAYrj+}1HES^cn?3`wVpU9~HcoAV_?~qZ?D-dCvO3a|^hEFwyEzh7APnu$s z_RpZl9Gz^e*x@vjV<#D}-ld!F-jj?{Wz)^MCnp$fx~G|?Ym7IhtV=aNZ5eB9ewt#w zD>}w-6izYS7mYHmwoW#OW*=$XTAXBNnLOOMb~DkulsweXITOv3!-p7K!xGF7-~KSB zO^-M04jycDIQ!GQ^Le0AKEqFQ@xTGb2jho1J+_~*ade!y`;Xs^=10DpS%363625;k z7mey;{8jy%*(|-6QDMMWv(B`h#)0i$%)YsL7=BT)=E-H<j9p%_X1G^ZqiC1Urt6;0 z#>7=IW^zy`<Jp5xX30w(jOy;6%u#LI8>5?kG_!nYYaE{wZJr(7#)!N4!90?)wNX0D z2QzX*OCvP+y&2%&!ss*Zoq7IRGh@uZQRa+ZO^xY4-kRgm8XL2G-kMvNH!`LUcw=Vv zYiJDH{@Pr6GtB7p_LbRTV5nh~dS$lC8DhA1dTCDHUEg@U{Dt|ZaXn-E-RI`$uXT*x zd7hi~{;F*hZ5V0R4GK0cOn+vMcmbo|nWyIS>B`8O{=^(p-7uC1Juw?Twi%^|KQ^01 z1R0z6Ju+we1R9<(56z@Ve`A67Lv!uiT1H}z2j+02rqOQQeRJyP8pi&|_ssL_eT|F- z@0mtRA0w>UUGsxWbz|0?JLVO$s&V?lZS&=@D#o{rx6LY5D;q`BEpyMiibmk5n`Y0A z6^v#FZ<tLxdmG(iubYF5mN)uVyl!52RMzO*>zdhoMH!>(`m3gU`_e|!Cs$0jVqQjI z!7HZ!^O8p4W|z$sf0r=6&Aw#%{N`z#Ie*biuU6ccli{M7F`=l@*nYvZoho9uj5u%Z zm|fU7w(p$Tv`rzS*Qc{)r7|9dOZl^={aXQJQ@1nblvD0T!0OYcb76kt-2GGL!5;aH zu)L?twYBmZ7sF4QrfVJp)3oXSBA2npdUM0!oJPT9huL#p4r4@3huNu*n{ji{33G0+ ztKqfdxEWV0yD{L+F>_&JHe;XXF|*f$tVT@Rf6YOMvlyipA2s(b&1^KgcEt1^naLQH z?TGoHeMVzN@L|)TG8hNO95VOSPq&{tbkJ;@Kh=IS_JG;{Rg(Q)g#%{nfdu=V9{bG~ z(|_78ui0m!bDaIygS}=B|8Mrc^X@f6@_n&S3;)Ob78_&l9<j%Cz4_5@UHi<1`#;#T zB<?b&FMDUd=DW-MH2$r9UjLn@?)%yvx@Cu%vDHg^>hta9fjZCaI}2|&@Ay5lH*c}c z?BV^y{(a6?v%BXb``q(e%$o%t*h@J#n|t!!v+oJqY$oTrW3M%2lX)c9E&GvOf17Xe z+_3vZZ8RqqxMtr{Vxu{`*cH2byA5Wxa+mC57p*tH`&_U`URh^0mUH&NEbGi7&Cb~8 ztF>n9o~P{3N31b3j@EYXeXC8+MGkxa=vC&<UB~U)ORqAwUHaGlvg1m#;D;mjd`nlD zaju8$>c(=jPPK#fo-WJHOs)6Zrv@)G{U+?SuN%G8ytiYI{lJ00%y$oWSuxHfX20w^ z?N`b!F}v8e+i!GUY@YjLtNq%tMds@5o9*XrE;Qe~{@Z>u`$BVV$&L2SwHKI|x~#X) z88hGPy<x3=;K6z3(6_7Y;W2Z~xJs++UghSRZ-=h1$90}#hMrtzKe=qS>6vS(efrH= zX0Ogm?4j9bnV$O=*|XN3X@+K9Xm^aBVMcYDZy$7Ey7}|STzj!k)65a>bL?lzOf&lp znPu<YDZ;#UcZNOF(y8W-fa&(t*Qc02)<)Q?Wt(Ci$TrpPz-06FpOfwNM@}-`PENG{ z)pep-YyCL;;#=d*1`(s}Gir}BjX@*qLl2BGi*z1pZ&hZr`Lx*|_9}mkG$X<W+EcQO zF!P7>v!5D1%$yO{*FNsu5c74jUiNBj|1`^X?rwj0aj@BFP#61%z(Homh>rGLI|i7| z*SE8;D$?JqcCxj-<m}(g?{8YzS0(o~*XC+w&)L6^S>1Yejd<G2+%Y)Z{-9w`(`|c* zy_%!D*)po0eSDQ}W`uVg`?)n;%r%3=o+(#nbM0}%9x%S6IW33H-s^LFvrYE^`>f9G z%-oKe_AS@jn0t%Wupd*c&7euu?dSKjG<QW;v0w6RVP<Pr$$oBLGc)X}xBX~Z6LUn} za`sIF8=G@Zm9|fhY-rA^Q_9|>ak%;CWly`m8EV#UUCf@TYKWN<RoH%EO?`9ySP%Qu zob}8q9tG?{<La1yAI@ig_bJ%S)FF?3UPmz-WzJ=<a#fj=_Pg27+6;4Duk7|#J8kCH z;@RwxMS{!~k2Bl5&I&Mxt<GqFl32?eelFeC<hPpU!;Z<e6Ax>cxzm2yyh41<qT9dO zCLO76rgZvjdt9!Xd7xOdt@6?;ro4S?8<C~5x$($L+wq|l&Bu$M*%DuSo9{<GviY<q zZ(jTDo~`@ovgV8)w`_BL%9w@wT(j+4?`2LJddYS(cPaDK>~psF<4c$icAc^%#S}Ns zK5*Ewb}DAh%yG=-cD0CEHvF*7%~sf4v3S2N>kbd|?b|)Jq(TKvm)bjR@29()nYV4V z-TIl&eBiOkcBofgbLztNwncYyn=S>`*!tDUW%k;*!e;ExVXg{XYI7^)X6|^r$aZIb zc5_k0eA{1X*~}I}b8IaJWHn<FX4u@GW;VN?im>UhOy=&zlWhb3&0ubg9A~TCB;B!X z#|Yc7$|;Ucv;MFh%%12tH?E&8I_ihx)zn_Lf@i-u4y@~93)~Rv2)y3T)_nXY$B;ZN zZ9O`CaP;Wi*w!x~%HeV|#P)lE*Nz^I>e#w|d+rz#Y1kUwc<Kn85@7S)^~iD9R>PKi z=6%P9Y*lTqd);x|e&=o5Tl=Qt&nKmALrYw9Tzchc^GUhnc$iw)_V(d<$C}CoY>N(^ zaTFPp#}=^Yq@&3>H{1Pz4u|!mvJD77=6Ja)qb;@k5yzM@$wAXHA9QRv_btff<z7c^ z|LCA8j@^zXH(v(Duh`+ZzTi<%ui;xACr922y4rk`qs)v;K~<}5a3q<hf@Zp{b<{0> zEGY8bN=Jsj_XkxuyUgL+bZ5};^-CQ0%WMkzckDt(Y{@l2@onch#@1aLRK4bG$N2g4 zgL>qh;rNkZX3)Zzsg9ScCI=n6G|3V1?}(t|VPhRNX7>w9%sAX}eP@@TD#r&oS`}>> z)NaUcj*E{%g2q(p;i&M=2>R=FN5{xIHG;OTYVA1m**j=&i>8hj?>&R|xrI5Bd<q2Z zI8(=w>9SkUYHO*KvNvPU^cwz-OIN=I{{FGLW6b@Rferqy=*TtxR$%cCWgJt_oC=J| z=jnK|Wq;t2%N~w`-kSo)OwQ}5*<fj4V33=`Kl9AM?_V=J?2ZwE4V$N&xVxuI;LcOA zCjy>^1Ujp~KGC;cjlf3h@1N)u?HRZ*_vI7Sueb$X8}B%=@cOraOrQ6j=sw|AfKR7Q zCvI=uAJFdVvJ<ZxEDacIn|-39IU>NP<=7K<pN0f<^X+lsLbPYV%sgQyGT->-zx{Ld z6M44n_dk8r<3ulWg#V6~DaW5jd-`9<bNP6QZTo9Iy}Io9+i1^P_w$4ue;4gp^KqWb z$NnP#^s01oJKqlC$8G%oHh#X1U(d#`Z|C>3^ZVQR^Vs?G+4=L@`SaWP``G#W+4=k0 z`TN`X_ptNtW9Q$?&cC0Xe@}ydUxR;dgMWX6_lLpz$Kd^C@cuJ+e;T}h4c^}d?|*}j z2ZN6fgO3-3j~|1NCxeeKgO4|Zk3WNtM}v<~gO68(k6(k2XM>M#gO7KEkAH*D2ZPTK zgU=U(&mV)&Cxg!~gU>gE&p(6DM}yB#gU?rk&tHSjXM@jggU@$^&wqp01B2HGgVzg# z*AIi&6NA?mgV!5_*B^t|BZJo`gV!sA*Dr(DGlSPRgV#HQ*FS^TLxa~x#p|Ww^;7YB zs(5`>yxuBae-*FCiq~hw>$T$bTk(3XczsvA-YZ`J6<-e&Ump}-FBD%t6kksiUtbho zZxmmD6km@NU!N3TuM}Uu6kpF2U*8m8?-XDE6kiV&Umq1;FBM-u6<<#kUtbkpZxvsE z6<?1PU!N6UuN7av6<^O4U*8p9?-gJF71slb>jTC0g5vr?aXq29zEE6mD6T&g*CUGS z6UFt4;`&8#J)^k3QC#mRu74EQLyGGo#r2Zn`blv;rMSLQTyH6^zZBPFit97Q^_t@P zO>sS^xV}?d?<ubT6xV}_>qEu$qT>2daXqQHzEoUqDy}~j*Q3@9{MY(aalNXzepOu0 zDz0x8*Sm`AU&ZyX;`&%|y{x!?R$NajuCEo>+luRN#r3%2`do3nuDE_zT+b`6?-kek zitB&H{ea^BKykmIxPMUGPbls$6!#m7`wzwah~oZ4alfLte^K1eDDH0*_dAOFAI1HU z;{Hf+zofW-Qru4|?ynU0TZ;QH#r>G#{!DSdrnrAo+|McQ?-ciYiu*ss{h;FhP;tMg zxPMgKPb%&&75AHp`%lIFsN()qalfj#e^uPiD(-I;_q&SwU&Z~f;{I51zpS`_R@_f3 z?ys#G>975^;{IE4Kd!hxSKO~F?%x&n^NRa>#r?kG{$KGtK=FJ)@w`Cs{6O(MLGgS+ z@w`Ft{6X<NLh*b;@w`Iu{6g_OL-Bk=@w`Lv{6q0PMDct?@w`Ow{6z6QMe%$^@w`Rx z{6+CRM)7<`@w`Uy{6_ISNAY||@w`Xz{73OTNb!6~@w`a!{7CUUN%4G1@w`d#{7LaV zO7VP3@w`g${7UgWOYwY5@w`j%{7dmXO!0h7@w`m&{7msYP4Rq9@w`p({7vyZPVszB z@w`s){7&&aPw{+D@w`v*{7>;bQ1N_F@w`y+{7~^cQSp3H@w`#-{88~dQt^BeJg)@L zFTwLn@O%?I?*z|3!Shh?d=xw{1<y~x^HlJB6+CYR&tJjwSnzxnJg)`MZ^83i@O&3M z?*-3)!Si77d>A}02G5Vd^JMUR89Z+W&!55bXz+X*Jg)}Nufg+d@O&FQ?*`Am!SitN zd>lM42hY#J^K|fh9XxLb&)>oGc<_84Jg*1O@4@qY@O&RU?+4HS!Fd2U9{}eC;QRob zCxG(>aNYpUAHaD8IG+IL72x~=oM(XZ4RGE8&Og9;2sj@B=Oy6$1e~W>%#~mB6>#1H z&R@WJ3^<<w=QZH`2At=B^Br*B1I~ZIc@Q`s0_R2G{0N*Uf%7GB-UQB{z<Crnp91Gq z;QR`lXMyuAaNY&ZzrcAII3EM&W#Ie_oTq{FHE`Yr&fma!95|l?=XK!x4xHzK^F46h z2hRV%c_26+1m}g|{1BWcg7Zai-U!Yg!FeP&p9JTX;QSJtXM*!haNY^dKf!q@I3ES) zrQrM&oTq~GRdC)4&R@ZKEI6M9=e6Mc7M$mT^IdS>3(kMRc`!I12Is}#{1}`kgY#u@ z-VDy4!Fe<|p9bgE;QSh#XM^)?aNccoEq=|v!Ff119|z~<;QSn%r-So#aNZ8i-@$o2 zIG+dS_2B#-oack{eQ@3n&i}zY0GJN|^8#Rg0L&AB`2sL+0Ok+CJc7j@_?1rp^9o>o z0n9Ui`35lW0OlXSJOr4Ju)0UT@)BTv0?bo@`3f*^0p>5jJO-H00P`APegn*NfcXwE z?*ZmNz&r?;4*~NcV15M5lYsdWFmD3pPry71m`?%oDqwyE%(H;`7BKGu=3l@(4497r z^D<z52F%ld`5G{91LkkQJPw%80rNUweh19+fcYLU?*rz4z&sF`4+8T-V15Y96M^|6 zFmD9rkH9<<m`?)pN??8o%rk-cCNS>==AXbkly(3A%142DDKI|;=BdDZ6_~dI^H*RV z3(RMMc`Y!%1?IWHd>5Ga0`p&B9t_Nffq5}7KL+N>z<e2)Hv{u$U>*(3r-6AjFuw-o z*}!}on0Ev7Z(tq{%*TOwIaK<8eh$pjf%!TxZwKb@tiQ{zJRX?O1M_-deh<v^f%!f# z?+51pz&s$B4+QgqV15wH6N33dFmDLv55YVlm`?=rieP>b%rk=dMlkOP<{!a4B$$r` z^O9hG63kPA`ARTv3Fa@sJSLdW1oN6;eiO`dT3ym#`A#tJ3Fbe+JSdnC1@od{eiY1; zg85P~Zwls5!8|IMPX+U;V15<Mvx50nFz*WHU%@;qn2!bXvS5A|%+rGTS}<=5=5N6~ zE||{+^SWSu7tHg5`Cc&Z3+8{pJTRCK2J^yTei+OXgZW}GZw%&-!8|gUPX_bKV160Q zGlThNFz*cJpTRsdn2!eY(qMiX%u|E;YA|mN=C8p#Hki)_^V(p38_aWq`ED@p4d%bW zJUEyS2lL`!ejLn`gZXkWZw}_q!8|&cPY3hrV16CUvxE6|Fz*iL-@!aQn2!hZ@?d@* z%+rJUdN6Mf=I_BgKA6u3^ZH<ZAI$TE`F=3(59a^DJ^<Jc0Q&-9e*o+gfc*lnZvgfW zz&?V-=Ka-A0Q(AHe*x?>fc*xr?*R56z&-@nj{y4;V1EMaQ-J*nux|nOFTg$q*v|m_ z8eo3|>~nzq4zTY5_CLTr2-pt+`yya}1niT5{SvTm0`^ZVR@bjS3fNBp`zm061?;nc z{T8tA0`_0PJ`C880sAswe+KN+fc+Y<Zv*ykz&;Mx&jI^7V1Ebf^ML&xu<rx*f51Kv z*bf5xLSTOg>=S|gBCu}+_K(0m64*}y`$}Mc3G6e0{U)&Q1oof6J`~uG0{c>6e+ukV zf&D75Zw2<Rz&;k(&jR~eV1EnjbAkOXu<r%-zra2i*bf8yVqkv^?3020GO%w3_RqjR z8rV+*`)Xi+4eYal{Wh@g2KL{;J{;JO1N(Ade-7-^f&DtLZwL18z&;+>&$GIxzxsM$ ze-G^Qf&D(P?+5n(z&;?@4+Q&yV1E$o6N3Fhux|+V55Ybn*iQueieP^c>@$M>MzHS) z_8-AMB-oDx`;uUP66{lg{YtQJ3HC3+J|@`D1pAs`e-rF;g8fdg?+Nxl!9FP14+Z<8 zV1E?slY;$Hux|?XPr*Ja*iQxfs$hQ=?6ZRXR<Q31_Fut1EZC0)`?6qv7VOi4{aUbZ z3-)ipJ}%hL1^c>Se;4fYg8g2w?+f;S!9Fn94+i_fV1F3w6NCL?ux||ZkHJ1N*iQ!g z%3yyP>@$P?X0Y!J_MgE%G}w;@`_k6q_*Z`#>{EmNYOrq&_OHP{HrUSw``TcC8|-s~ z{cf=D4femmJ~-G92m9h+e;n+SgZ*-_Zw~g)!9F_JPY3(zV1FI#vxEJ1u<s7`-@!gS z*pCPM@?d`+?9+q&da!Q~_U|n=!>>NR#a#T=&j<VZV1FO%^Mn0<u<sA{|3MxA$Oiy< z0U$pB<OzU$0gyKU@&`a30mvr+c?BT90OT2fd;^eo0P+t&9s<Zm0C@=@KLO+^fP4jz zw*c}NKpq3gX8?H(Ain|RIe>fzkoN%cA3z=i$cF%V5g<PT<Vk>h36M7d@+UwZ1<0oW zc@-eP0_0hMd<&3w0rD?E9tOzA0C^c8KLg}xSgiA3d<~Gd0rEFM9*4!I{Ke-0c^x3X z!&-m+;(36450Li(@;^Wxh}F#d#Rma-As{~l<cWZM5s)_m@<%`(3CJe_c_kpf1mu~3 zd=rp&0`gBl9ty}u0eLAPKLzBefP58@w*vB4KpqRoX90OFAio9Vxqy5ZkoN-eUqBuV z$cF)WF$nrUKL+H<fP5K{Hv{r#KpqXqrvZ62AioCW*?@c-kaq*}Z$KUn$j1SBIUqj= z<mrHX9gw#J@^?TU56I^Mc|9P%2jux+%>Vg5Anym{|A0IokPig%f<S%{$P)tjLLhGl z<PU*7B9Ko6@`^xy5y&$F`9>h`$U5Ku#XkahNFW~x<RyXpB#@^B@|8f|63AZyc}yUm z3FI|_{3ej+1oE9g-V?}w0(np%9}46}f&3_tCk67QK;9I{p8|PQAfF24Re}5}kY@$* ztw7!t$iD)4SRfw@<Yj^UERd%K^0h$T7RcWMd0Zf$3*>cy{4S8^1@gT>-WSOK0(oE{ z9}MJ$f&4I#CkFDxK;9V09|L)0AfF86m4W;+kY@(+%|PB6$Ug&lXdoXA<fVc9G?1qT z^3_1z8pvM*d2Aq`4dk_f{5Fv1hOYnTyMeqnkpBkq;6Oec$cqE{aUf3)<jaA)IgmdG z^5{T59muN#`E?-A4&>W`ygQJ82lDVhJ|4)+1NnI%PY>klfxJDCzX$U8Kt3PH>jU|H zAkPov`+>YakpBnr06{(=$O{Ddfgn#1<O_nlL6AQP@(4jbA;>EP`Gp|Q5ab(zyhD(G z2=Wj?J|f6V1o?>|PZ8uRg1kkLzX<XeK|UkMYXtd?AkPuxJA%ANkpBqsAVEGP$cqH| zkswbJ<V%9QNsvDY@+d79^)Egp$g2eTl_1X&<XeKgOOSsF@-RU@CdkVK`I#V36Xa`x zyiJh53Gz5WJ}1cQ1o@pH&lBW(g1k?V{|WLyK|UzR3kCV1AWszJi(1V6U%XL}KML|l zK|U$SD+T$bAkP%!n}WPk1pc3Y3i41vJ}Ssd1^KBUPZi{=g1l9bzY6kLK|U+UYX$kO zAkP)#yMnw|kpBwuU_m}C$cqK}u^>+t<jaD*S&%;q@@PRmEy$|{`L!U=7UbK4yjzfe z3-WM5J}$`11^KxkPZ#9tTFlj7yj_sL3-WkDJ}=1Y1^K-o&llwTg1ldl{|oYfK|V0Z z3kLbYAWs-i{?8W%dBY%o7~~Oyd}5GS4DyRXo-xQb26@LI{}|*UgM4I=mkjcgL7p<m zR|a{@Ab%O;F@t<&kk<_In?as4$ahAMW50OMApaTUL4$l~kQWW|qd}fD$d?9r(;$Bu z<WYlsYLHhA@~c6fHD>*vZw>OULH;$!!v^`-ATJx_XM;R#kgpB$wn6?j$m0h2+#s(T z<adKSZ|l7D7vCG?eS`dOkOvO(!9iX)$PWj3;viof<c)*;agaw2^2tG7Imj;udFCMB z9ORvY{Bw|p4)W1KUOLE62YKosUmfJFBjx}6b&$sn^4YDw^DkaI$ZrRE?jYYC<h@%t znqT~PkOvR);Xz)!<;M8Mj|X}377OhcUmoPm!}fpvJjkO5`Sc*K9^}`9JbRFD5AyCo z{yoUU2l@CQFCXORgFJnZuMhI}LH<6-;|KZtAg>?f_k%otkna!j{z3jf=mP-#0H80x zV)_2^2Y@~S&@TY`20;G+=pz9A1fZ_~^cR3W1JG{(`VK(<0q8>j{Rp5h0rV$;J_XRP z0Qwd{{{rY^0R0T0uL1NofIbJ%?*RH9K>q{igRnpZzx)uOF9P&OfIbP(F9G@{K>q~j zqX7LBpsxb-SAaeX&~E|yE<pbU=)(a07@#i$^k;xR4bZOv`ZhrS2I%7e{T!gL1N3)* zJ`d3E0s1~b{|D#;0sSDLF9h_5fIbn>F9P~TK>rBnBLV#+psxh<mw-MK&~F0zPC)+& z=tBYhD4;I|^rwJ670|B&`c^>y3g}}2{Vbrb1@yOoJ{QpM0{UJ+{|o4Y0sSzbF9!6- zfIb<}F9Z5!K>rNrqXGRipsxn>*I1A5Up^bqZv*;nEZ5nA|NJ+g4+r$)fW92ip9A`I zK)(*?+X4MMppOUi^MJk{(BA|4d_cbs==%ZvKcElDn#cU|1A)FE&>sZ)gh0O#=o<q4 zL!gfc^b>);BG6w1`iwxo5$HPt{YRh=3G^d@z9i6}1p1UfzY^$M0{u&%j|ucMfxaft z-vs)cK)(~{djkDWpbrZ4LxH|1&>sc*q(HwE=$iulQ=pFu^izSpD$rkr4*&OA;miO1 zR-o?+^k0EKEYOby`m#WO7U<Ig{aT=J3-oV+J}%JD1^T)`e;4TU0{vc~?+f&Qfj%(M z4+i?eKz|tM69fHXpl=NHkAXfi&`$>X%0Pb^=raTTW*GB--x=sX1AS<q9}V=Sf&Mhm zrw01fK;IhZUjuz?*8b<0pAGc2f&Mno=LY)SK;Ikae*=ARpdSwO#ex1f&?g7_<v`yY z=$`|9bfBLO^woj>I?!ha`t3m99q7LUeRwF*`IjFL^yPv6JkX~H`t?BHo|QBF<=+E+ ze4w8X^!0)MKG5d}`u#xPAL#!BeSn}J5cCCt{y@+t2>Jy<-yrB81bu{{pAhsFg8o9# zX9)TYLEj<hKLmY<R^IcM9})B=g8oE|D)!5#2>KO4-y-N=1bvL4pAqymg8oL(=Lq^8 zLEj_je*}GypdS+SMS}iF&?gD{B|+aL=${0Al%Ssy^i_iXO3-Hs`Yl1<CFs8deVCvh z6ZB<*{!GxP3Hmib-zMna1bv*KpA+<Tg8oj>=Lz~fLEk6n|Fq^hzkHye9~AV3g8op@ zCkpySLEk9o9|e7+pq~`<m4g0K&}RzzO+nu&=syL0sGuJe^reFSRM4ji`c*;SD(GJY zeXO9L74)@&{#MZE3i@3^-z(^U1%0rf9~Sh*g8o?0Cky&zLEkLsp9Ouipr01>)q?(7 z%SHCfXAAmmLEkOtzXg4`pdT0X<%0fP(5DOfbwS@Q=-&l>yr7>K^!0-NUeM<Y`h7v) zFX;aTeZZg}81w~${$S82Y~`?j`GrB>Fz6o!eZ-)j81xl`{$kK)4El{h-!bSvw$2NF z`H(?BGU!VN{mGzD8T2cIzGcwA4EmTsKQriS2K~*T&l&VPgT80b{|x$|K|eI;iw6DC zpidg~OM|{?&_50Os6jt9=&J_()u7KB^jm|zYwLOa%YO~}ut7gI=*tHE*`QAw^lO8@ zZ5;c*e;f31gMMz%*A4o+L7zA1_Xd66p#K~6frEZ<&=(H+!$F@o=obfl<Dh>W^pS&p za?n=}`pZF|Iokc-Zw~s-LH{}ELkIonpf4Ttr-MFq(60{q)<OR|=wk={?4Yk5^tXdP zchK(+`rbkRJLrQ4{qUeK9`wh9K6%hD5Blao|2*iU2mSP*uO9T*gFbuEZx8zJap7qy z@})T8nmY|Ut=mSyX$VSnV!@C!XzTXwX6x-VCp;deVV8B=!8IN3=}xS*r{fRn_SL|2 zoU?ArZAeFMrxU;3Nk<dwc6SyhrdhXN{hiov-PZiwiF?-VsMSuyShtSrPNZ13R{dZ8 z`&YV12Kn#pdNnf0e;@DDFoXQ}|7Z5fApd>-&hZ)Kzpr;~SqAy<>&NcRApd>8yq7b` zf8XEtbq4wG=V_UeLH_&sh8M^v|NXqnt7eq{e*S+OWR(AYpC?_dx2@aMQ5ogG-`8_- zM)~jemt7fUP_h%<&SjL-Nlr|T%qTgm+fDHqB|OoIb9pk!qy#6TDrAz~@lIr`lSyv; zbi%u1CVBV6iO^x0<VT$K`_IcHiQk==wKbE(eY1Y<lbPhrS0}DK$|P65IIaCiCfOG2 zM2;MpWz1(MDwoYHbz_`ps4`2YPfql2lUe?ac4GG6%+m9N_4zY1OV;;JT;7;j)<!uI zeLS;Ne(OZ`dzoeLYwP=e&MXyQInf|n7FqeiiC!hMNQUQ5ObyH;ot`<dv3VBR`^1S; z{jx~nBPX6u%_0F0t>1r578!8gi6Td`$nv{R1l-Ib$8I~(>O&T}ebb3QGiH_N)_pX; zXjXZB)rnnxS>@SfCoVP0D%UPL@wRtXIdI;(|0ZUY1!tWoz9Or1KkY=|{;X2zq;)@B z$tuwfCkDUCD(jA0zfWpb3H#THEd{g5$HPvXtd>ot9CYGwNH)o{--#dHvdNNvoX9mM zo8;PU{a#D5$@m>k1n<r!Z?;;GyYtyZHajuoc{W+J(TO<;+2qMO>;B2>B8AsDaiXG& zG+t>vUhBBXsAW!k>gXaXmpGAWxQpyxX#Jk^T}01wqWU%$IWyb(d!2HT6EmFX^w>pq zMOeS@cNbYS*@+oBvdh2;POK@LU4qA2k6o2rvX64&e4FfYahUb<4$dxP|8(N>%<NKr zkP{jIw%*^*i2^6GOV_^E&wVeu#PoC`=yP@%+|9aQv$;xiCnq|Wbd~n)ofs78Dkobz zF{!z$6l>wc!hWtYu!(g)O?8zc;nw$C<0?NISohaqSE*RniCZ^ZrIlFs+k00TZnwT~ z1~-`-U_Ez=xXCI%>v`+vCTpu(&(B6~vb?erWqP~G3~wiVCb-GKGENxF-6X7}6CwND zq<Aswe!1)>Z#|so^4d){<+mQMDQ?m(xAk`|kV7)NIWewk4%wX5dhRyJAx1{){_mPY zE~lnr*{B@SI58dT7UhtOap~ByGlv9xNyqN9Ib`j}bR2w^Lz1G>aqMRfY4j=`I(JT4 z`pmjEc;}RR57TieIH$PYO~<wNIi==}ble(}Q(9k6$K5$OW#GAVJlLF5#-2>aBQvK= zJf4mx4|2-r!|8bXC8zwpFCEXa=aOc-(h=#EOR8?Q-nQkE3>&T6mbv8eYU}?G$R#tE zrQ`9mT%s0PUvFJ5iJoJ9{iC^L&UEYh-O43pCR^V>I+yGpYyCW#a!aid={Q>~x9s~P z9cInk;?+MLM;qssnZ47ozfW$7>Y9$76LU*ohjjeCBDYLwm5x>WbIa)_=~#3nw<Lt7 zW5%1@Ql@S?#;4|%1}fdk&*zaY0qOXyS{@nbn{MUC^T?3O>1fu?db?aY>W;QPUNRlE z7Uz)$MbhEDE02_QPe<W%c_blcI$R_3$eC>ENcx#aresJ*bnd(on4E@3-gzY|E)5rg z^UBQ6X*k$EuXw#r!-gSwW&g`G%$t)}{GX&@)aJZ$;BFdvnt7${^)xhjkXPnjNJGGv zyz=Q}8oaXSli*`%aP`V3vk#=<TTni^w#)kZE%Hg`E!H)^e?IY9mxj#|`J~nIG|XC? zPX;Ya!=NMiWWua8G{2corcFsh%@6rx%Gfj%%9vk<4NF5(k^ItSU>Y9R$S<l-8jd&2 zFYaB_u(DTvdEVCgxyI+04b9TfW?6n|A7*|2-u&WHCk=To<(J*IG{n5jFCl(uxRjJ% z9#u}m-}&98TiG;>tL!e3#naHbp1U+Jn1-sI-NlhB4OvIHOYv-JcsAc%hNh?Dz&3X| z6`zV3r`#p;msIO{?JmaqR8;@&E(2bqB2$h6viM;t?w2Vb|J+Q)R-=HNx|oWQtqaKI zlc@+9R6s5rO@+sd0%Gn>wOqIbWXHBt?Eklb%wC_03AYPKkL9Td|5!kL7o?(K=7REb zdMaKPD=7OWq+&<Sg3^6NDuy&JDA@<4!q%stZ0((jj1vort#c|aE-xtOTBTy{zJgM( zQ7W2WE+{AJrlP>>f>ObriigPsWloJ$taSH~sEVoRP{l)PdZnUReGeH^I2BJid&to| zsaP?>L!z^#qRj#i$)BDA_w63y`!fYMPkBg4ObTW{_K=ouQc&-^hqQi@f^@e+()e}? zj+7}R_Dd-kWE7H8CsR<ibs<SToPs9<3(57}DOfPQkSyJlg1YMqNy}9!h(B6LTo$EZ z`>jH9U`7hsM_ccokOJ3Ch2`V06dWsBSVr|vL0`YZlG;551sWBW5$#fNx>sR&-y{VC z#}}3+^;1x2Sz*~_x8DCxVM+H*LBETICA2~c^1Uo9GfSl4-^9YA3#6b+z9RC;Ed`k? z6_MN-Q?RX05vh=njPQ;{B;a#0VultG^(Gnf<`xm#<78CbQbelWOvW{{h<Kb&M!yF| z<md5ZxO^!hSNA1jlS@%qzBL&rRaDxqNyekVqLP1cGX88{R8G%GhHJl~(r0`!HcTlh znT96Ae|1s$>$hZFJy=wVcS%OqYei*s%Vd0iTU7FfB_krOsEk803V0NgNWWxku2xL^ zD<;D)q?kmMNXF@|#pJSkG8&I6CRtpQ@pz&2@$@A0+)+%r#3kYL>0&bOLlTBRDJF{| zlaTton5?;zgef_S%i4=c$X>R%EOjJdu2Ed3?oUGQ*2U$wtw~rou(;G+orHYTi%Wrp zNm#V5xIBwUvi70HW!2~;%)41!nhZ*U>xbf!)FTNI89ZfG+a#nH_LLfpk}%TOQ%(gZ z;Y*mO)T@<*K0Q3;WW^*r9pfoJC6dr=iKnc{pM<l!JS9F`5^A0El<<^9Y<=b_OTQ$- z<EN)Qc$0`}xk^axM~R3lS3>O9644bUq}!=PTxnB6#vV#U&A}yP?)F5iol!!TtVu-H z4JBmJ!bJ4{w}ecONW|@1CFIXhiKrD_LRt(+#EOh1rBb&<>$*`=5?dysLyeN+2uZ}T z@RBmpo`}LdOG?G+i5Nb%q}(o@h+9ia%3zN~RNh@uvbZH8;#^5tkd}aF&q_+(Zwc`G zSyE=cO~9;Nr6l2D0wT+ml1^6>;Db_fR3~6cn^NL>AOUv=m6GOL5>R?ZDOtE80e`G7 zCFkcPz&u(?zD-Dg>#b7aJ|qDxKa`Tny%Vq|gO}LaCE#sgF9~j#fJ(kzV!-;mFfXa* zn}9>zy`)gt1jLW_l6a2<1TOZHYpw|xwbM&hrpDvw887MhB_2PXcuB$6@$iZBl5_Xs z(LYCN>3=aETgsFcm*eq>w3n7uyW>%yRcR@^J|5u%O3UU&@t6=%T8c%)TkC?-GIK;c zULPtgF~7yb{d#F>&@mo$qDss1#_<@OR$87*Jk}H}BgJaO<3iOk(yVMezSb`zV?5$f zxJwyXlRX|XqKq6&{)t}m%gC9SpP0F|j9hsB6T7ta_N|||{=oY9nV*P>wf_I1pUB}- zR>p4qi3%mlO0yL|Q7@pZ6rcSQU7D7a=VO0jWZ$x~eBe(km{?Xqy8gtL<z?k_v!6J= zx2(*nV|~4gWyQ1RPrP_hR<@S=iLVJ|#k<f?IP;W~wb_3nXN7W-Bl!o41ecS+pMIcB zyK-{**$*q%UrvhN_<>q8%Sn%uKVaWbPB!fOf#843$^DH#Q14bb$*|-H8hj`xr6a7{ z4CTc(+`27XUc&nPu=d~OrD@wAkkIndF!Tp(-O3Ase!y>3dGW6F1C<w+7nfo`P<nfL z`EUN=ajLxROpSxvqw+H7bDZU7EH4$F$05eWTOQwt!_$)9GWld2E(Lf?$$fD+)YMxJ zZHU9VzTP5>;xJ>Px163Fhe6A|C1^+-TJ80g?LFcUc+p#Ow~Ry47v9ppZk&}1@fPi8 zeZAZj#HDN;j+L(<AqC>F3>9QTmN@*`rh@GL@g3oVD#)$3-%)yc1^IOEI}+DckkoVE zas6-w$#VERHe9b@y<UIEpHUSg@vrZwomN5KMtn!!f)%ZG>UTV=Qc*Va`i|}OD#{<N ztpC@kq6F0Yj-X)`<%i#QWSCn~wwL*i^P4J43-|AsdBS>srtb*5TT$kI{|47j6{YCw zZ`L}glB~b|4G~2vNwL%45L}~@%-{D7Nnw>FVf{Dk?_NpTF8GGNqbtcj6TYGNqDqo7 z@EdM!uO!m>8z!BqBx4$VgU_Q%vfKU*&%acXdzHRnwo7G+EAkDsN>-NauGahgD@%dI zub9@PvJ`s%6_xu`mV6Js;^z3ulJWdk{JFHUL?8T${Cg_Pg}=XI|GCPtdeK)jc~)8a zO!|tCah0XQ;ID|tQAJ*L{)*yds>q^7Uvbb@MFQ+!5!#}P+^hH%Pk*l>eG7lZfXP+l zd$zAgT~S4b{``X3`>M#NH(%g!sfu*C^937TRFRXXzMx`46)Cp&3-;x%DudR3fq(g` z^6#84IHsyf;^;51x2`HazkR`p0ac|-n=c58s47$IeZi5{Rb^d`FYrBBRrZzof}K~Y z%JJM^;Pu+NO^wC!B<uYjW8s#snyh;ii*XgI$&?GR_$<|=^PyODY+FsL{~e1<gQ`j5 zf>_j;UQLdUkHzY>)ns7*SY$q2O^UXU#c$WDiEa>!Yj3Ja`<k(+mQqbVdBtLW{^~L` zPb^|8R+sOopAlNSy8QO>Gd8uWF83dPM#|vo5_JAETFj^}OAdU-wsqCz?Rx9|hpS7~ zd7shfdUY8v<}=p3tu7n;enxakb-B^%Gitf}$oJZxF|m@5<gES~7i;^7XYtR--QGva zxmsWE4<9KTAA_Yce5B}`7~EgyBQCdMP~eD<e00R1`E?&Tzas{7-}=b1WidFP;v-$A z#vm=fuax*B1~n@A%Dql8=v~`aCWXadc{^Vz>mP%QgMH;>=@|T+?kmmm#GuqVUwNGJ z3E_u*rQL^57;?>5ZruBXm2Z5-0>{Ek_LU8LKH){a8j`Z|6Py)lNTcbWP$Ia7EFJO* zwzf6oewR;ZJ*bA{Z1@QSr`3?4fKP~6Q$xCxvA)j18ZtW1C+xaXL*}M@gyWU<dG9~s zYNB;}_ah$V@sqhHKjKY!KN-90BVv@FbX)!rajpEsnEDZk{rx1*;Eza|;wO(gd_?L> zKUvkldV8Orw5VZy{Gy*^EMfis=YF!y)%yIOep2^mG~VQ_DGy&p<58KK(&Jh*uG(tK z+oRENG_NV0H$`LTZ#CuG{AetnSX2DQL}SXbnzE>OH2UwUDesy^qs6(JQp1Qwz|)#C z%sU!Izt@z1@<$^%do6j9_5qJe))JTK4>;mqOUmE-fQ5}~iE;7+e(zOF!ghXujIAY& zmVQ8i#kC}4(g(cTUQ2@dTmS!LEh*FH1ExKwC7Ek~K=aSF<VocZD3--vwtIZQo1*?Q zFyja8@b#C9G4C-j)L$YWyhpXJ{xakAd&Ca6-oN`jcFpyd)63qY?<Rj~KKVUL9ru?< z{omu^Eq`g<<~`=V_m?Zd?;+{_;$Qhaz7`0Ol?C5pedPd&bG}2vIssDu<2%H+3y^vD z-eKL~0J)~$S-pt>$-LtoqSpk7&yshTeK0^;kAH_sR|4eEzVC49Wq?F9e}|q40W#nI z4r#dpWkI=j_^WK7%*^u+743mCGARltng>dkH&JNxTcFslN8#y&K*@VF%IaYU%Ciko z__ixhHq4H~=re)RVOSKLj{+q}mncm65-5j4qL4XTkhJoR!qnnH@~LPPGWZ3_=qynf z6BZ=tpWh;`Ymkh6_!hs92$I-SZxJ~+NZRjwi>8}`<iwJ<IC(5c3XFe?Dz}29cb~Ud z{w_#%H+_o?Y1Y>Ze2c#BHt{O`7S}4;q)Cpqs1|IKp+DYWejA(2k9>oe0XErq;SEBj z+GN+>H`uV!Cc9U>LBd{}Y?=H9jV{<^X}>pE|I8+1TfV`U?>6aRyn%AHOZ9SZFtemx zQggk*omzHlKlK_#8rfy+i`VGV!!A`Xy~c)7c6qq(HD1oQ%czyFQDTc-@=ke;4kzrg zq2FsPxowy7Ennlxd%JA5zlJl-E=9|}hHn8wrssT(?v)Jr_~R881RGL6@)eG^F=WO0 zS9m+XkXL(N!ELG`rI)=z^_7NnobU?G_Zl+0&nx_S-jMxGUSa-ILvH!M!j5l-M3s1j zGcL-yj=#bqPbKlOFA?Ksz5U=N(!-QQ>6ggWP07uzFHv-al6~`EqTF01vqro`)xVW= z=<*VN|0?ln@Dlzvl)S9^5&>_OEGuZeovfr@+6&ak3yFUF0#(Xey3K1ZP{x21IrIXB zTR^s~et{gnLA<BFK+1T?#(pmly#$iK#S7fuVLg?CUO=COJT3JCo9{#XvcJHrPmsx9 zo}*tzkxLJrBfOAER{b2_RYh!Do+D#jk-l@E<8eEYIYXag?;w$_9iC%Kgvhbl&(U&~ z$k__d;k8fXe4gj{d|pJyN8-p+k-d?T82?RV`MF5cb_tddyCRX<Ggulgj>Op-!BT8= zBqoIh%d4)DuyqNRbqyl%eQ2<>tQv{!vx3Fx9*K_YgJo0lGvqiNEO_+{$F2m+?TgRQ z`(?0n{O1{R#|KN~U(awjM{Q{{_8HoH)s~ChpCQ@5wp0&&X3fEB%lvB3;NPRRyesew zw?@{Mnki4wcV2B7^Xe&5|E?|nUVMrL|JIhzd!C}ijoMQ5ucz4krnX?rQv@W{mJZ#X z;$-eRGN{2*)Gu2{Mpt=?OF?yHZ2qSRZCXc$CqBW2UUj7J^Cze?rj9f__XH;v)DhpE zPvE<yj<_y-f-T4E$m8Kp;Bl*tZ0Ps|)1vA~*V<3;ExC?(ct1ghymjSV&L=osuCDa^ z{ut%$b;ae;W6Wq)SJs%1@ve7WskrGe>W-}|duBey+J)BJgC66@7VG0JA0zBUUCAEw z7^`ntpI_oJK1S7*^O+wbAf>K&L_flmy!E8pjYqg#uAXc>_z1b}_2kKlM`+fpp5&PD z2n&1H6ThC1aD7ZYX&L$m*%#K6epMeKcuPGQo&OPrAFpSfH$TL-oAqSkvxj*2ww??> z{SaA_>q*b84^cf&eF>fO5N*rWm-2r+#JHgPlG5rS);Fmy7lSOpP0#u=x5Ps{8)f~! z%nuPi&-(cL2gtptzHGbp0A>EIFX8(i!2f!EiCX#q^<USQQDYvUMM8ba)b#;6=WHMm zbswOYR|84+et_To8^|9yA7DVk2J-mpef00vKy3H#<G0}rWXZAn=rOy2yjgo69o9FH zDpT&G$)N@^pwE2-Uv40q!|%iAc>}pu?LLafHIS72_mM4oh!jn@htI`B#P{hv-1ZHT z;FI^PoJNR*Y`TZV9Ydu4jC&aLM~K+_-$Tf>5UJGk9z0itNFKj?`1VhTeD=79GiO8O zZ1P<!d=w%JpWj8N&mq$K%w3er6e{_*-o+=6P`NnkF8-+;D#Hfe#h~C&Dct-ns<aN3 z!!_^X-S43iR`@Q~O$e3yDR-<sR;aXpaR=G9TW_DagCh>><6G~b$L&x#H0us>zOz1m z;2j)F4wa$J?x0<sFuCA&2T5hZBwwLBSP>W|t&(q}X5%nf5P2KdyNAh{Q@7D`M3{Wu zbQ=k?!z8bjF_^JFOe*!eje>{5L^ZyR4VS_s#OF3DM21PY`)%y`7AE!LZ^0*9xCA`D zg}p_?#mjLERjP+e=JmI*wQjgXPQC@tcHy$O*DWj>5H6!bZXwg;aIsgqg+G>tOMK2- zc(x;4wtu;adOBQM+_{OJcf#ezp_|C{K3wK4zlnh<;ZkzUO<c*-P<C{>iLzxIN@cl; zv4Q_1?!BX{sJigsdy@bm^d_Kyp{Rh;J7o5z2+|3J&>^G|0x6{TT)GtLpcLt#6s1bf zJoH}eiX9YD5nfSj2z+NQPZZzx`>o$U-&)_S-0V4J&a^W#=j`%4J#>A|i*m7N53THX zQEG<w&<WQrNMc$K{rc1eIWWD4%H|8=x1xv6opnLF?dYMG6EDb1CwgeLei!82<sLeq z`2{I{tA|d1?1FUi?WsF|KQGypd+Pg_&&$?ld+L7*&dZnDQ+-yRm(u-vYN-k5rS0gR zS~l{$MCA6=fX?S-+T5Oc@9FchX<bjf?tfm+?Cq&%zdtA6p6jWvojWJ4uX<|MmUB}1 zPEYMT_nbUiyqEeXpObdg4H9U`IqBA<mu9v&C;dD3(%O~J$*{q_^yKenB|N^DMqWNE zkrR69?+4CG#G+n0dHGoxv9XsH_nei1b}yYW@~rfHyO;ji;jHL4y)>rwS!wh~FFogX zR;rcit@W>+k)kzwtLNky`LTI#J-^|Myxq08R+w={b`0ySeaD`Wx#N24i#^ZCn903$ zcY`z1adB_`wDcLN{6=rRd+W4(cd)mXfBUrTdAGOL+HqPYecM~>EjTSb?;4qUTFRB_ zqcuZM%X_u@Xt|cBWkJh6`sZV(CAfPZz5LrLDL$f)?)>PK98Bt?Q}&&b<SBi$_tH~R zdr2QHn{!Goz0pU{3_T^;hx%x4&?%|;ULSp?`YAd7t;xT4Qljtn(df@lif@@<{R1au zMXg|+yz->9Xc?^j<4?+&Zo%d{oRp!%gZ0n$C*`|w!5UNZq@+#`)^qNY^4BZDTK~!k znXoxn#~a@#--E$=Va*Ad_)f4^nsh?$eG{zxBTq>BAHh1a(+T;ZWM4f{`-BV+?5kIO zPssUZebx8t<I?7ZzFO_raald2uQphBT#6<1)mD>_%a{p$wN2D<IlZv2HhccKJhh>( zK3(Uy<R9p(<@}Dzdl&lZovX*>nJazu?W4ye<4#{)zxJ3M_3x+2la5KLYW=iT<S_|p z)KBkpJSH!9?5CS+9g`12`{@v$V^Ti0pSrFbm7cl%bk*UbGI35nZN2)a>{`=LPmMn+ z-|XtAeMcUZ@~8XhJ9<=tKJBMHpFAoNxB4mWACW0OAzJVABgU>3qA%G;<Vf8Rz5ePE z`J`Ql2IU@++r2|{`p_d%BqBsFv^^q~(n7Sv<42_Cv=Ht1$6<MPS%{AN=&&@|5~7Ru z9G2#XLv+u}M!pxKm(ooBw;}pf|HD%M&k+5-*<lGR)n9LvKP(jj`|Gzq9TJ~r{q^#t zLvpKge?7kSkbE?#ziym;$msF=>(ux|vMRs74(oYH#?R}o^`AW?!`AiJ{}ewYE%x-+ zt=A7qz?uFUbMl~k_Gy2u{Mtcz<5qt?H2I)p_=IXi<Uwg)DOCUJa8QcW4b?e;2jyhj zP<`S7WNNQaUHKWL!$^}Zfc%jhs`HjYwoD1t2bqwt#i2TCAf(jhP(9uPveypP>J=d) zFNJEtZCm`VhU%V6wyeJss();;rKA4<Z9dDE&mJG3F=K5>Z7@I=bhD*c`vJQ58Cw?j z8=xN)vE`}g0ea(Vfo#bbpmz@!NQ)T*)OS^Z?0wb9`~qpUb%6dgv_RfEJV0-@DUb&5 z4bYD(7s#4x1GM1I0jc=M0A2j<0hv@{pvG@MAh)Uy)OK?Y$iT(})g6C83OWwd!`%-^ zjnILbRri48#thVYMGwdaSp)UmtNW$lO9Rb!c)v_sF;L5{+%NBJ8>s7Y_e<c>f!buq zeu;g5pzdk4U$%WaP+L^mFF*e=P~W({Pnwk+q}4C&lf)W>bjq9iWJBXY`t6K;@>$10 z+Hv$gDHA$K7j@nz5;I7@s<lsIvj*uiu6;6Z<{*v!Y_IHIK1g5PzgIroI!MpHvR8gN zJV<{@-796@8>El*+bd698>EdJ?Ugpa57JI0_Zol3!5aMC9to{BSVK?jkr53CYslI? z64idNb|1e-M)ezP>=Jt<I%=@iZo5ZDrVm!X%6nwcw88qt&%34P(!sj((r&Td7_6Q* zcT0T?*3L6_OT|m3Ud(Q}`_*7$zu0a390zM$;BG;YAzJC)E?HW6i0=J(mn7F4q9MC? zN!NBm^xOHnq-w7rnwGdru8$a^cYExT9pi>*PTgISJ#mQs?6*s-g+ny@tGDF-`XPGJ zeoOZ68KTXWz9p%rhv=O2x1`=DL-d<|Z^@@OhG_FfZ^_L2X8RIvNz1ZBwcy*G@_Egn z`s>l1GO^iEt+#5Y)b2b~Lvwe^p#eiRd(ci9JbI`uZoX6glQmSgmNoTe4%I_9b{PN8 zp?dn%4q3issGeK5L!LS`R8NlIAsgQ|?GD=^^{)=qO|5sxhF^y2yox)dR?%Ua^q=jr zxbiUVac;Yms5eZjZ`dx`ZHMW1leWvX9>es_u<g=&_%I#YZoBMA7^bx<Z<h+=hv~bw zw@K2xVVZkko19%UOrPAeO=`Y1Ob<-iCaK4VX>j;9Ir_mc{XA%!l)gSpqpNI_0e=kB zYd>$5WdXx=<i)M>$rHo%-A!AiYJ=gbQ@2V#8LsQYx5~6W!`0W?DhEam*P&Im%6Cb_ z_06BRNTo@`^|uRKBxvDqZMSKQj9folGpB5k3A=~umXTXz`N`q>dAlvL=cD0TtnwCP zA04jsZoes?|2bTrKmVrOC^13@Z+KIFt2RPoCcP>58;sDz5pRl*8rkMeaR-mk*or29 z<Om&p>kaueX@qt=^M?E|afCKn|Au_JV1$+#|Aw4dH$uN1@`k*%YlQA=@rEotF+!)5 zeM9m-9HHHR*et`Yk5K;;o6Y!tgdSYASxN_l>A0NDa^>+bePY07+4*dkqVZ<&1cm9) z5}T!KuQ0v+%_b>5B1|V9+9Vef!nDM)O)|?9rnA#FN!Phy8W6lm?ym~d@%1;!_U&PM z%Wsp6JQAh@zt|`x-wo4!`!>p3SHrZ@!i_TMmoSY@*eJjIjnuteH_D<)Bh^)VqcnVa zq;|NsL5{W@skt9+kkA)K>bC70<m$kY`uWTa5<hyR78$ice$E`JPq*J7>C;DQ`^PuP zoh2i+|1Yo0xJ@H9{KD&Ueg8-uz2S8kcGk#=ugkelMry>c*QNE1kvgE|>$3W<k=m*3 z>r%8-xYqyvHHoPnt^vnhlVc6S&A9qCsbM?<_hh^#slCIE9^*ARF(O>MKl_?gOf>b1 zye46ua6R_<dU<V5xMu8KFW;>U*E;jpOWkeZdUou3i8>UnV>_>xS1*OD-;?X*(wE`7 z`1f_<`YBwWdS{(9a7XAHo7YKbxd?r3$~wue8KJL@SSPPGiO|PeuakWpBJ{;_>*U?O z5qk6cwQ@Z?Li-$BD}N?M=*H!1rTD}My_ddLD$I}29>Htn@ih@TzusD@wj)B{^;s)V z9Es4<pRSS0??h;)U2CNDl?Y9my+(X)N9dB6HS&`?QV+CWBcGLv)DNq!krOo|^~UYh zvZ+a=-aWfoW^{;DzxAsnrf;Mc&0lTsFe24=;A(>>6sdnSS}oVcN9uR}t7YfhNPXw4 zRg$+VQg`oPB^|d#>VgHU#P3j~j)_|(M=nNcyUwd5_Y2cbja3F$C{oY<x>CNmAF0zX zu9StPqqM_@l_E8w^yl#=zd@9)9K2GdSy9@#=}LK~SCoDeuu_f;i_-k7D`ZGql$I}8 zAwTArdJ9)b-pnX{I(~%|UlygWcU~cLH$`c!8Y`sY{wRIr*X6S4bd(moxLnG89Hoh` zFPG`pqx5aha=HIolr|i+ToQ^!>*U7E<&(#v^?m>4^8C}$`s7!y%7zxv8oBRPDc(6+ z*UWoWM)i-@%dxM@p~z@0+3{7WloGA2A2<0Eqc!aIGI?uWw0h1glY6V8b;+7#(rH_? zzL~wu_;W|=fqu*6$c1P<T7Q}R^;xu@@L48Je~i{6mzPS^U(vdE$5NSJVw7%}u~Z5k zAEgT-mdcfSqco%KQt@juN<+&pm0Dd#X~XZA7~H*4>N>JSLPm|!^GlY<sI*bKFlmVy zADVjImWXG;C@oWSiA-5DN{{`%Sf*_sr74#d%hW@o%zJaOOt?5o4|x_#=I5hy_`t<7 z_Qz3rr{Q85{MRUbvFKuXzC?_c|NIqs_VE~9yz3PyT`xwf%z8zBY!#ymqF#|xU1GFY z&?~YsG)B`aydud_G5Y!UFH47%80~Q6Whpr^MprI*S>Bx+qrZ-OSzcZlqc3!QSwgp% z?Vo&E%Gxpd@vn>I<k=XldSQ`dUyjlK>laDA?_zXX?jm{bw;0_MvPg1@j@B>hFOnLS zMysFCBC%_a)|wwLltE2LYnyEg<yQOA+T+EAGO^ES9W-L0lpQfzN3>Wd%i>0BSjmM_ zD`&J0y}CfwPamzp`xi)^#iO<TyalrIwb5FC^a820YqXZp1v2gEXuVl!fx+V$ttW5H zm+&t~>(Zn1<<!m5I(q4Rsef;@Hcpx^(@Vta&o9iEt5sulTaEeBrf#gp+?gjYwT#uO z=jO@f=VSHwnt4(uBv!{}&66?Vv05^Cp1hG5tFJ#jPrlEKRqNhdgOe1i=ii+xLzc$s zz)f@Ir46zA>G-(@FD_Px4xB4jkHzZy4dzPmcVo4i-&}e2t61H8d5(0w6{|J3&ynzZ zu{!z1Ig(Lgj9wW&N2XOBqwSi{kwwpp(b)lWWJQZH`pK8GWo@T1T6>R?eaC3@tl6?E zY>cjnoGnY@$LQrYvt?G!7%f?Lw&YD8qph#ak}<E0(XfJ9(r^73oiKlvwA?vHmyez$ zj~yPPJ7t#KzA#3QSDYoMKOLi&zJE!Ue?LY)JoJ*p{chyTFG=g7WA)v*m*hc(v3k1W zOLC;<SlwUcC7IA@tggQ~Q-Z9qI`h~}x!rxNj$Jxa)(;x1UB=Bc{dlZa>oQaBr;ODb zPt25c6UOSEpJqsxIb${N<P7=x)v;<VpCNgh#_I3MGo-@avHE7$8M5y9SRGMghBSG1 ztQP-ey6pdQtZq3yUG(Ny?Y(lk9J)JJze}BN^sI5}={{X{Jr<{B1E)*9I&r$}&NNxl zBu)d*PLm=Ur>j>@llY!-S}lE=ygfKh=l7Uq;tb=|x8^jNnHr~wzrJYjBI5M@voA`E zIdR%@^^3-CVd|y7C>J)y>Gd8jN~Jw<+P3D4GVoZOPW^SNEPOjo&!3$t7e0^E(yOP6 z-;Fr!kv>&g{1K-UdrXyxqVc*baH`C#5U*G6Op$GY@fvV;id<?Cug|TTA~)K`YxlG% z;@34^Bf3wKDxvY3_T&_UTN|&Ff0-=J661B&>B-VAH(uwhm@G0qUgxAtmY|p8b$Zvy z(rRtI=2xFA4YtK={Ow8dq#dsVPfjxU)$wY*I!S)}AYQ8{O_EQq#_R8$CmB4qc)jrW zBw2bdURU0lC@BF6I`-H^d7*NGHeE8&#Q!JgFYy!QYU2dm-f^OAwh}b9@<d7Ko}krk zOpt~H6ZFiX335F$K~ok@kd@;SwDOn<(l0MTcgX~C%}CHb6(-20mlO2sZ^s+`dxEAG zjF+E`!u7%2@iMz0L8nBImuF5VXwkOg<-q$1I<fS4>2W1Ne?IP!4{s*uM+qJYyPKdp zDtqK=u|%D8C|@EfCTjQCeEBReQHzz&myiaDdSHK^9B-4T(UE!5yi20`mCTdn{StNA zTe;#JmZ;AR&y}dLiMpvst{l!t)LL6|r0V2Eoj)K)66PlAZ}+psel<};UeA`|8xwVX zpKJ-<m8ds=%aVnM6ScvrEP3aAqDFMhl2Vrwb@qQUrPH-U-L^PW(rzc}srH$&=6<4n zcs)bT28`2B=Vr)%9vi10wa$=owa4k%FVm$#qj9=(TDtLrAEyf%r^}!h#_8yf(j+Ei zoVFOBCdpyr^!I1dBx~$A-F`7uax%tgct)yZO&X`go=laLIpcKQi4+;VY@D`ENRh#> zkJBTSQl#_FaoWjFmIepM>4B(ZDR*|9)+?DTKYcJxXYWjsb63Xc_d}9o?agr-<dbCZ zXvXQ3jpL+K(Ih<{JWfiLPtv=;CCWS1leF&2L|ITTNqcrll;9Rg8uMd<_;*Ostc3|u z&@)LVv`dh&gOYUom3XNfm89v@<Hb%)(#Xc~GBPJgU-&Ri?oUn9n)z|Ecz%-pTqjN% ztVq%WXU7UQCTVu^SYuC2(#BQC$~%XW^s|FwWWYJo?x->H!G}p&t<)F^zM7<4cE-xd zn@QSgNUXH^GfDTjV&(NB$=dMs(NeZtvcB4Dw4_%{R`)M4^1(C7I(%u2G;Nlw+uO&; z3`y3z*G9?b-I6tE)+lKZnyhKfMoGrVWL@`hwAf>l^{gja9;7GhcTYu2(8OfDe>O_e zW+iJta+L9FPu9{^qU7@0Wc5d+6y2Jve?~@1gZ;^RwRofiA5Yd}TO%alQnD@!jgV=d zB<q;F;j-ddvNm2FE?a*})|*|zrQok*U3+7s94nTheddppQ{_|icB_$cvU-Zn`Yg=o zF;eu&Nnx_DS&D9~8z!42MeAP}A&a}E=$e!fGNFHpmajTOMunwl7KTfgF)8{{<Z!8# zmZA-d50^hZDVn=wn4F)HqK89<$+AT$dhhq461^%#o2(cr&u&i9;hl!cja?}^{`wHv za41EW%^D)%XH)derbDFS2PwMe!@+Xw%M{(0J6IBaNYNcN2TP@2Q*`Z#K?aX8RcDSJ zB>hXI>e#Y_<i|>>W?VT?CIqHxg&_l_+_R~A`ThV|-Xc|(tQ{bA+NWxtt^?$??x}k3 zMyT<BOEvyAp|T<@RXel{l?r20^~1~kWm0OYMtS<nfAUlH``Z0w;Ph0DITa%N7o_SZ zaUoK5MXGi!7a}PeQuWPU{p9qHR4qQFpFD1->WKS&Wz@-3-L$5!Y<WA?T<5-W`;%0y z|3k1exn|1e1WVk1QgueNU|I8Ls&4tPkG$)bre|{ch^tJRe*R=1saG{kzdzbrdeu(T zn=!p5u7OFH=q)d{GUZ!)$%+o9en>Cb)+0@~{?=3M&@`R7tf!m^OVg3sQ_jYw>2p_m z$eENh{b_0sIgyv9Tk7`^`^7YkywF{?&P&s>$=zl7vNYXQsk=;FpQa)Ey2+TWX~s{v zoAlV1rWvko^7PR(y|=cj+&h=1xi55;OCO}^&)>fwE51zAh}kbl%=hLvn!I3eHPf`- z`(5P5y)>Pe*+n)KOV@Xv=ptd|()Edhou&K}={hW;vmC0Et}hqqEMpp`>!}UTOPSW` zdb`K-vb96HR=U+mdh|%wCi6SVmHz3*Ppy-rjY!wNA9WPp(dinR(@|z6rE5ryj#54+ zUArFcAPXj^YpbXZQf79#)+p9NroNJ{_cpedKUSsd`#sxB%%*f*e@hLnWx6KLS7~Xd zYvUFwFP}))A3hYheKB2EWQ%nFI9<C}7kTwcy52l!$@f2`>&ysCn%_y+nnf(hx}UCF zUk{Rf#WJ*I_aM1bHbZycXeSLG&(KD5+DTaL3|-Zvoy>YJLrc8ZR`#^W(1i51@~LF# znJR7NuNN}3#(_3cxo?KX4R0fjhGgiD2d$+;WQP8<y0!F)%h3AIx0XR^89MY@D;b`j zp_8W>`C^8?UcZ$Lnwz0V&$X03OES#&rloXPlcCqjwv<MjGxWxe7E<LcBm1|IzX~$+ zn_rvDr^hq&gT>8d&xH&<+_t&Q{xCz=f7(n&ewm>Y^P5S7?=o~yU^Dskrwo1ONK@JW zXNKO2Y${p4nYyh=Q)yl@Q^&sEM82<>sWrMak!960jlR9HbbUHglU`~pKQ+wMvJD%{ z!d98O;bJ3c);?3)CN+`^T{HD~`9>1iH&eU4)lhyJoT(>58_I-;Obz<2ffOH`Y3$Gq z<fW8Mt<<)G!SBq}%uk+^$&)knz1-*IkC!sFUXABu%%V)qIQXo5usl-_j(k?E*D}@Z z^Q^4elBw<1)|dOcGd1z~`ZDlfriq8BCvTk0)MHcY$zK;U^_yqv$qOH4>itu7W%ieu zT7FDjxpX~KpDa;VO8u0nPrdPs;a4!S*E5ps&eA7uJ}n!IXKDF4Ps@Advh+ctr{&M8 zS^CYTr{sxRS$aI_DQR6lOIMbEN`jkaY4XlG64^FOwSOH+?wF-T?$nmt?xx<N+A^VE zmU>#&mWe~MwAqKXBtIfczs#s5X=AeV#VWOAbaIx~-B(ivWM}D-!8JuEX6e8`1EtOk zv%jT*;x|7_<J$$w7fZ7A<|j|euGLvOCih8sabuQ#QSC|TzdcL)*fpg3zAW84yoP*v zC`+HXUtLz7%F@gg)n&+~EMv8)E@eK-(!ejO$)PXI_MU1I|816TeX^QVyk*)w_=N2F zHA|lv`Gkbt&(guJC*)R<Y@NF5ahX&qTi<N|xKyZ=trxzmDl4mH>v!X;%2Rc+^?qPg zdHvaJEp@nxJk=~)9}BM{%iCsaRd*FB*D+fwudXcPyJc(X4wdEm;B0k$^_T<?%GMj> zACv82+4@f4V^S(6TX!C+B+&`kI%{Ml*^`#75w1#7BrjW=tg2|@0<-n5R+I%Zv-RK? z73AId*_!96Af=aPYl|l<Naxkr`Yp;!`i5*>IHJ6)-I}dI_sbc4`)vJec{#adXKR*K zPD&ro*0P_KmAdD$b#-o8vEI$r=c<*J-j}m=$AL03<g09LI<$<0UpMFbXK9JNm94dw zmX`2evvq!((lX>;w*K`|De3K(qv4sQ#43@ahbotny5)29@jWG_bk!V97*JAfJ(;8S zof2~P=^QP#sD!L-kfS}Cmyq=4IXdIr0C~P$jvh@8kWw9U^tW;W@=n(reR_Lw!#k9t z!NJ9)*MJ;Nz2$G>baQmUY=7AmnWJwu@Rx`&IePe9F$qY@(Mw~C89jH7UJfWGJ@a$) zi;YF)+GHcU7L}ZtIr{OpMWp2X9KA5Lh`h2mM-M(#L~5+e(M`wvWYzi{ofGLN)!)d` zaXx<X@{Szsx!PBX@5|9z?R_QlV2=LsxsO~uZq6^yN4lQN(P`CuWaB$I+WmlA{65Z6 z{~>M}_Jt|`-6dPTF~|FgOYZ()wr}Y&{^dEkc;f?W+V45~)wTQ9$@@7PSm(awQzTah zgx|ATmB`hJEB>-Zm&?_4pWL+;R?gLeDtE1d>bZJ0^iS*a+PQjZ{vXy~^>X#x#ow(; zjdK+xf4Azj%+=Sr{bsce%GD`Tf3-Sw%+(=B?^xZs=IYa~J68AJxq3b57pqJETwR^@ zvt<p*)xNuLTa8EN>TkDyvTBUV)rF0IvWms!YTda1SU)7?>W&S!tTP$8+U%Q~*803$ z-CXmgl`|<<A0P3f)pL5TdY0a>s?5pNPe1s<`f_2eHmdN0wPtCqX7%~r8o4T0_s{&! zs`^^4{(SPfb$)ZM*7Cb<<!#T^uI<0Ip4pSDqjIlV=L>Q*d+#?^!jW8^`pef=z^PoF z-uP>4_4!<#6nE8X{%)?OZn$C{`6yS1ef^cy<MUh%3jE5tcr{lm4ExgR{avnJdF2c1 z)XiMo@b2f9{F1BDWj?pI{$Y-@$7j|P4|4Uy)K9I6etA0P$S2k}#q+et{mWLzGI{z+ zo6FX+ig{Wq?PKd!)jVCd?ITN`%+u;OKD4IQ$<sN{d}v*$m#4o+d|;Jsl&6DMyl-`H zo~PSCe$SfFCQsd!-m`W}o^}g<*ZQ(ko=%_nj#a!{o*q8&w)I@^JpIZ2w$&pfPpev& ztmr{`+B);1l|4L9gLhuAW=7=c$eZV_mt*oYrrvpLd7P0^=d6`Uc^bCzto3Sop7yzX z##)$TwySi;dU1T7RtY|BrB5;K&NyX-&B)Uu$4^?%&&ku7u9H@sg?ZYm-3jZ#;yf*u ze%yM0d7kducFcNxO`b;maMVh9-Q+)g)N1!eo~|8v#JayNPg^ZJY!&Rv(_`-+veNdO z^C)}Bs(&y~Pj)|OeS9=eWeTjxr}Fg8gSJ)we4bYNv%os@cAlm;FR)@h$kU4n2dw*- z^R(v1{np$s@-*)1KC8ypdAhCoKI^sXd3s~uUaS6(=K9XxV{QD&ocFoiR_$N&G_&Y# zYssH^y14x=tN4RF-I4v4mFAnT$KKj$eNrr6FW%f?StaxJy}CQBrDgN=orvw$?TYz& z`qgb#m#X=??}M$@!s_|DrtDVhvzqxjrTZ4E*3<bKKKV^6qJF*_?lfz8!+iDoeY5p$ z(|kSGWV7YhDqm-f-DEXsm#<ydZ?pz!zW(+31}mpizHX|r!CL%6zV_|+y0yJWzTTes znsvNSzP@yPz4cy5z6L&6XMHg+U*BxK&iZ<2zP3nSYkd=zue&#|vA&GV*T&zhwmyu> z*Y!14Tc^k7YlT6ptlf$Enlo>uwIU^7KRdI+nvjvNt^HP5BXja~wzb@9<H^_0(_ghp zPs-P4wk<O}i1|A9yQPNrF<&>-T52WE&et!8F0mTV&)0H`7F#zK<*Rk!6>II1e2pyj ziZx()zD{cYvgNlrUsq%;vffyiuRC@uw1PL}>){_3ShqIk>zO(Wtm#|x^}_J^R*jwJ zI=np3+Pd3Z=ZkZ#w)^vSkN;fju$`~#I?S;;9?sX9*|V*K$MQ9P=Paw$seJ8z<0Wg; z*?g^OoWH7E%-5fX&$K4IldlI~o?%^kKVPR_oNjgg*j!Kl>DH=G^R-BaY1W-D^0grA zMXSrzd`;Lf)tY}TU!VA4iuLh#`Ff=G6zho}^EG<dWNXlW^3`Y2Bx~N!`MT`<MC;hE z`P#7PMC<22%=R+Ds&+45J7<iyI=DQ#FwA3x`+9W#r93OQs7GJykZa8^?$MMT+1A>U z9_?Q{%i3DTqt7kOuy&XC=v}{bYhNXg9!g8Kc31Ie?zLpYck9uH1Cy+^H9Y#^$wX^@ zO^>FxPOx(8c(l~UI4k@ak1nk`*6L8-qfgF=wW>8R=|5wv+l@S0a_lJUSW{E~lPGI$ z3y*%#Bhnhw+O$^?ZavY?qj}Gbv_7&tdhFE^*1Yx}^(i&n>fFhrt@4IizjXF!)Q^L$ zm0dkLefS{j`5qo!e|~`VbuW+ZvqG)$!5%&SW{CAzh(}LV?`LfYHSNp_wptAG=$?DM zEqjPZ*T(m<bht;Se%8aX!#o<%tGm@I!lNziuGZ!#v%dx}SdYhe^u(*3t;w+-omBF9 z>-(`DZIj#4>Ym`yYd^HNUK{7pSwoHLGTB_GvzFC6&7()#23gB8%=O#U*1DSI(a);3 zv7XNLXxQ{tR&>5cFaO@cS~1?Ey+=2<-kIpp10OcE+*3SS^MxkXb1!;y{O(3pzv&+R z_^F0g@=T9DyXZM<_AGOq{GPSe&++K~lzP^lc^>`!$}`rn1s-kO?`iAYB9Do8tz%t$ z#iK7Zu5F!P;?Zp@Yg#9kdGuoGK<mJAkA9n1!`igcqkr6}W-VIn(IUg1u(H>Bj9#s( zHFUj^ZL3(VUN`w0AG1ntH1#T1vcA}4wwqePdgBd`Ub<7xO55Vmx1!2gt+#n}-n*r( zU$=X7Ovh5z)}0;=+Frtn+GW}a46rKf@#s6V{VnV@{p?;*D{{Zb#Mu<F{0dC@C%)Dy z+oR~_W3@Wy(YU=X>+~UWp1tn}4LIV_)MxJoeSXxVJN<tT3P0}A@4opZ=!+8`t#tIK zph2fR+IrQ^p!26a+BffqprEtnz74q^^!hn-9Id_yDtW<U?0;8+;xC%({nO_`r!RT5 z=Y>y#o_xonO*VfNl=QAgOHX@02=96HO4K_+ML+Q9)(#hgx_xN+eT{QL(>^lS^X{pj z!ykL}>kp3y-MMVe^Q|L6bv`xyZr;J5KA(B?{rG~Q)X&Xvci$JZ=nIej_4Mwb?O%Fy zito;#lV5qX*q2*_KEC47i3M*2UAyYhUl(r-y7{%~uW7FZ{q&7T&-Ps#bnBW&8#G)M zbp2b8P77Eb^yziePp&NqI`^IFM@L@{+Woyp(^f7Bdi4j7?#-DSlzYRpGjLYWpdUTj zsQHYbhBwW5mwhqFb<3l3Z%hh0Z{)sH<AWCd$MlbGIY9$|GX2Ay7F6lBX>Wf*&;=t0 zC65l8__If|>qi7N{l(muD?@`mHS)Ekp+OVwnCsB5Pf)F2O}`1~7GxW_@kqy@kl#GI zEITOZ8zZMSZ5fpOyScvKHwya0$S!N@1?B$X(P~3#2mNW}jdIn4QvUSl?$eJ2eQRX) zgt9>c?wb46CLrjLk+=Wj8}!s)9_`WhZo4T)ZaQ?c-B(6FXmq7rt9#}?t$e@TG$ZGh zKHctpBhTd(w5xXCJcmDSZ5L)_<6&#tEjMz|*_YeBZ)8r}8SRQcF!>=l?OGc-vPnd{ z2qUMJ>DKN=BRBlmsNL&E9zOe6yCX(!47uC(V<S(LIo<YqBR@I&a@${xe7{V$w)c(v zxXkG`F4uUCs(-OA)B9MypY`{1`~7ThKifaR{T<-`5Ab*n@c0h!cn|RS5Ab{r@ca(& zd=K#a5Ab>%;PpAc>ve$F?*Ol70k3ZXuXh2je*y1D0q;)%?^gluUjgrD0q<`C?{@+3 ze*ybL0sBV*`%3})PXYT=0sB`0`&$9~Ujh4L0sChG`)dLFZvp#r0sD6W`+EWVe*vF| z0zMxFd|nFp{1otcD&X@~z~`-i&tCzb#{xc|1$<r$`1}^sdH6gR@cAy_^IpK`zku(9 z0=^##_`WFM`=fyGlLEe93i!S$;QOb5@1p{~p9=WCD&YI8fbX*czTXP?zANDSuYm8v z0=^$@zAtUQKW)BGZN6V^zHe>5e{H^xZN8svzOQY*ziqzHZNA^_(huMFHsAj?zXvwI z4>rFSHoqVCf#u%siOuhe&F_uP?~l#zk<IUu&F_`X@0ZQ*na%H;&F`Jf@1M=@q0R53 z&F`hn@2Ac0sm<@J&F`(v@2}18vCZ$Z&F{6%@3+nGxy|pp&F{U<@4wCQfUU#5;{%)H z1)Jjso8t+a;|rVP4V&W+o8u9i;}e_X6`SK1o8uY#Bg;F!u{qwcIsUOZ9<n(;vN>L| zIexM^p0YW<vN_(eIsURa9<w<<vpHU~IexP_p0hc=vpL?gIsUUb9<(_=v^ie1IexS` zp0qi>v^n0iIsUXc9<@0>wK-n3IexV{p0zo?wK?9kIsUad9=16?wmDw5IexY|p0+u@ zwmIImIsUde9=AC@w>e(7Iexb}p0_!^w>jRoIsUgfAFw$;usL6_Ie)M@pRhT<usPqb zIsdRZAF(+<u{mF{Ie)P^pRqZ=u{qzdIsdUaAF??=vN>O}Ie)S_pRzf>vN_+fIsdXb zAG0|>vpHY0Ie)V`pR+l?vpL_hIsdacAGA3?v^ih2Ie)Y{pR_r@v^n3jIsdddAGJ9@ zwK-q4Ie)b|pS3x^wK?ClIsdgeAGSF^wmDz6Ie)e}pSC%_wmILnIsdjfAGbL_w>e+8 zIe)h~pSL-`w>jUpIsdn*53s2pu&FPwsXwr(Pq3+9u&HmbseiDkkFcqqu&J-GslTwP z&#<ZAu&M8`ssFI453#8qv8gYysXwu)PqC?Av8ivdseiGlkFlwrv8k`IslTzQ&#|fB zv8nH|ssFL553;ErvZ*h!sXwx*PqL|BvZ-&fseiJmkFu$svZ=4KslT$R&$6lCvZ?Q~ zssFO653{Ksv#Bq$sXw!+PqV3Cv#D>hseiMnkF%+tv#GDMslT(S&$FrDv#Ia1ssFR7 z545Qtw5cz&sXw%-Pqe9Dw5e~jseiPokF=?u1of4m{u0z@g8EHR-wEnJL47Ew9|iTL zp#Bupr-J%bP~QsbUqO8=sGkM(wV?hM)aQcwT~OZ(>VH9fFsL5}^~Iq67}O_&`ejhx z4C<djeKe?_2KCjT{u<P0gZgby-wo=&L47!=9|!g2p#B`xr-S-+P~Q&f-$8vmsGkS* z^`QP9)aQfxeNf*I>i<D|0B9co?FFFy0JJB7_65-10NNiwdjx2o0PPi^{Q|USfc6d0 z-T~S_Kzj&i9|7$pp#222r-1eq(B1;tUqE{dXrBS?HK6?lwC8~K9njtb+J8WM5NIC) z?M0yd2(%}G_9f8X1lpfKdlYD&0_|0x{R*^af%YxX-UZsfKzkTy9|P@Wp#2QAr-Al0 z(B1~x-#~jDXrBY^b)fwYwC92LJ<#3<+W$a%AZQ;1?S-KI5VR+P_C?U%2-+V(dn9O| z1nrfe{Svfig7!_&-U-@2L3=1@9|i5Dp#2oIr-JrX(B2B#UqO2;XrBe`wV?eLwC95M zUC`bO+J8ZNFlZkJ?Zu$|7_=vY_GQrC4BDSTdo*aD2JO|L{Tj4qgZ6FE-VNHnL3=o8 z9|!H_p#2=Qr-Sx&(B2N(-$8pkXrBk|^`QM8wC98NebC+y+W$d+0MI`G^cMjA2S9%U z(7yomHvs()Kz{_#KLPYt0R0z0e+JOM0rYnO{U1Po2+%(Q^p^nrCqRD+(7yuow*dVw zKz|I-KLhmF0R1;We-6;U1N8R*{Xal|5YRsa^cMmBM?ilP(7y!qHv#=mKz|g_KLzwx z0sU7%e-_Zc1@w0T{a-+T7|=fk^p^qsXFz`%(7y)sw*mccKz|(2KL_;J0sVJCe;&}k z2lV#={eM7zAkaSu^cMpChd_TK(7y=uHv;{SKz}6AKMC|#0{xdje<sks3G{aY{hvU8 zD9}F&^p^ttr$B!y(7y`ww*vjIKz}UIKMVBN0{yo@e=g9!3-tE_{l7qeFwj2?^cMsD z$3TBF(7z1yHv|38Kz}sQKMnL(1O3-Pe>Tv+4fJ;d{og=;IM6>1^p^wu=Rkit(7z7! zw*&p}Kz}^YKM(ZR1O4|ve?HK^5A^o~{r^CJK+r!B^cMvE2SI;A(7zD$Hw66;L4QQh zKN0j-1pOC5e@4*15%hNi{U1SpNYFnL^p^zvCqaKo(7zJ&w*>t!L4QopKNIxV1pPNb ze@@W96ZH24{Xao}P|!aV^cMyFM?rs5(7zP)HwFDqL4Q=xKNa*>1^rh+e^$`H74&xn z{a-<USkONf^p^$wXF-2j(7zV+w*~!gL4RD(KNs}Z1^stHe_qhP7xec9{eMA!V9-Ap z^cM#Ghe3a0(7zb;HwOKWL4Rb>KN<8_2K|>oe`e6X8T5As{hvX9XwW|z^p^(xr$K*e z(7zh=w+8*ML4Rz}KO6Md2K~1|e{Rsf8}#=E{l7tfaL_*-^cM&H$3cH`(7zn?HwXRC zL4S16KOOW}2mRMUe|FHn9rSkx{og@<c+fu{^p^+y=RtpZ(7zt^w+H?2L4SPEKOgkh z2mSX!e}2%vAN2PJ{r|yu05CoPj28go2f%m&FunkcHvr=gz<2~OJ^_qZ0OJ?Hcm^=O z0gQJ5;~&6y2rxbZjF$l8C%||LFunqew*ccWz<3NWJ_C%`0OL2ncn&bW1B~|o<3GT7 z5HLOjj28jpN5FUzFunwgHv!{Mz<3leJ_U?d0pnM|cos0e1&ntA<6ppd7%)BtjF$o9 zXTW$GFun$iw*ljCz<3-mJ_n4~0poYTcpfmm2aNXt<A1<-ATT}%j28mqhroCuFuut2 zV{g0>7=HxDBZ2WrV7w9-zXZlJf$>dXyb~Dz1ja*w@ljyB6c|4R##4duRbado7=H!E zV}bEmV7wL>zXir~f$?2nycZb%1;&Gc@nK-R7#KeW#*=~ZWnjD+7=H%Fqk-{hV7wX_ zzXo|2&j!Y~f$?r&{2Le#2gb*N@p54N92idr#@B)Ic3}J+7>@_W=YjEhVEi5!&j-f$ zf$@G|{2v$(2*wA3@q%FdAQ(>w#utL|hG6_57>@|XCxY>cVEiH&&j`jhg7J=E{393- z3C2f)@seQtBp6Q###e&zmSFrP7>^0YXM*vXVEiT+&k4qNg7KbU{3jR>3dV<m@uFb- zC>T!)#+QQereORj7>^3Zr-JdSVEif=&kDx3g7L0k{3{p_3&zKS@v>n2EErD<#@B-J zwkSQq8-EMN<H8f<jn4(+b#di!Z~QJ8&kM%)g7Lm!{4W>}48{k8@xoyIFc?n^#utO} z#$fz07>^9bCxh|IVEi%|&kV*lgYnK_{4*F24aP@<@zP-YG#F0}##e*!)?oZK7>^Cc zXM^$DVEi^1&ke?RgYn*A{5Kd64#tOr@#0|oI2cb3#+QTf=3x9e7>^Fdr-Sk8VEj55 z&kn}7gYoWQ{5u#A55~uX@$z8&JQz<8#@B=K_F()y7>^Ie=Y#S3VEjH9&kx4;gYo`g z{6B~X0OA9HcmW`O0Ej05;tPOy10enYh(`e86M%RHAbtUeX8__GfOrQW{sD-G0OBKn zcnKhW0*I#o;wyl73n2aih{pipGk|yvAbtag=K$h6fOroe{sV{y0pde|co86e1c)aA z;!A*d6CnNsh(`h9Q-F9CAbtgiX940{fOr=m{soAK0peqTco`sm28gEt;%k6-8zBCM z(Q|w8I6!<35U&Ho?*Q>UKzt7n?*qjD0P#RTd=L;X1jG*k@kBs;5fE<##2*3iNI-lN z5U&KpF9GpPKztJr?*zm@0r5~kd=wBb1;kGQ@l-&36%cO)#9smNSU`Lh5U&NqZvpXK zKztVv?*+tv0r6l!d>9Zf2E>m6@nno1!;3Ei;?02gGaw!fh))CJ)qwalAf63~Zv*1p zfcQ5c9uA0)1LEa?_&Fe+4v4P<;_ZO=J0Knph|dG!^?>+2Af6A1?*roffcQTk9uSBR z1mXpO_(33^5Qr}X;thfLLuP#F#Uldoi9oy}5Wfh-GXn9AK)fRm{|LlG0`ZYRyd)4m z3B*$Z@s&WlB@llJ#A5>SnLxZI5Wfk;a{}?5K)fdq{|Ur{0`Z|hyeJSq3dEBF@ufh# zDG+}O#G?Z7sX)9c5Wfn<vjXw0K)fpu{|dyz0`ajxyetqu3&hg`@wGs_Ef9YT#Nz_- zxj?)w5Wfq=^8)d`K)f#y{|m$e1M$H?yf6?y48#)y@x?&AF%W+Y#3KXo$w0g^5Wft> zGXwF>K)f>${|v-K1M$&7yfhF$4a8Fe@zp@QH4uLd#A5^T*+9HD5Wfw?a|7|+K)g2) z{|&^01M%TNyf_d)4#blK@#R3gIS_vi#G?c8=|H?X5Wfz@vjg$%K)gE;{|>~%1M%@d zygU#;55&_0@%2EwJrI8n#Nz|;`9Qor5Wf$^^8@kyK)gQ?{}03i1n~huyg(2?5X2J% z@dZJ=K@fis#3Kap2|>I<5Wf(_GX(JsLA*l{{}9AO1o06;yhIQ`5yVpj@fAV5MG$`x z#A5{U89}^85Wf+`a|H1nLA*y0{}IH41o0t3yhso~62y}P@g+gLNf3V$#G?f9DM7qS z5Wf<{vjp)iLA*;4{}RN*1o1IJyi5>36U5U5@ijrbO%Q(*#N!0<IYGQm5Wf?|^EBgs zFTN*;_X*;Ef_R`HJ}8J63gU-?c%mS_D2O)-;*WxOq#!;ih*t{Ymx6evAigPxcM9U4 zf_SJPJ}QWp3gV}Nc&Z@2Du}lV;;({utROxsh}R0@w}N=CAigVz_X^^_f_ShXJ}ihA z3*yJ({f%BcSrA_q#G3{2XF)t#5T6#rs|E3EK|EU!-xkEX1@Uh|JX{bT7sSg2@pC~u zT@YUv#M=e&cR@T}5T6&s>jm+9K|Eg&-xtLD1@V7DJYWzX7{m((@q<A;VGv&!#2W_j zhe14I5T6*tD+ck4K|Es+-`MC`y?DnU{xOJ$4B{h$c*!7sGKi-P;wyuA%OL(Th{p`# zGlO`|AbvB5=M3UI8~uA52k#lge+KcOL40TsFB-&;2Jxgpd}$DG8pNLl@u)$3Y7nm) z#IFYNtU-Kh5bqkqzXtKJL40fwFB`<q2Jy5(d~FbK8^qrR@wh>JZV;~<#P0_2yg__# z5bqnr{|52EL40r!FC4@V2l2!~d~pzO9K;_7@yJ1ZauBZ^#4iW&%t3r}5bqqsKL_#9 zL40%&FCD~B2l3QFe030S9mHP;@z_Cpb`(6sYX|Y$K|FU5-yOtz2l3xQJa`Zv9>j|W z@#8@}c@SS7#G424=RrJr5T72zs|WGxK|Fh7xA5ZIgLwBK{ym6?58~s4c=;fHK8U9e z;_HKW`^KK=#oq_<_(6Pr5U(G^?+5YxL41D@?;phf2l)U%egKd!0OSt<`2;|I0g!J1 z<R1X}2ta-UkgovbF97)rKz;*|?*QaK0QnF=egu#&0pw2r`4m8Y1(0t6<X-^!7(jjo zkgoycZvgomKz;|1?*Zh00Qn$5eh82+0_2YX`6NJo36O6B<evcfC_sJ+kgo#duK@Wh zKz<95?*in%0QoRLehiQ=1LV&D`7}U&4UlgG<lg}KI6!_5kgo&e?*REcKz<L9?*ruj z0Qo>beh`o^1mq6^`9wf|5s+^L<R1a~NI-rPkgo*fF9G>XKz<XD?*!yO0r^lseiV=| z1>{cw`BXrD6_9TQ<X-{#SU`Rjkgo;gZvpvSKz<jH?*-(40r_A+ei)E12IP+c`D8$T z8IW%V<evfgXh41%kgo>huL1dNKz<vL?*`<*0r_x1ejJc52jtHI`E)>j9guGa<lh1L zctCz0kgo^i?*aLIKz<*P?+4`n0r`MHejt!92;>g}`Gi1zA&_qf<R1e0h(LZKkgo{j zF9P|DKz<{T?+D~S0{M_Yek71D3FJ=#`IJC@C6I3k<X-~$m_U9ekgo~kZvy$8Kz=8X z?+N680{NgoekhPH3gnLh`J_O8DUfdp<evihs6c)ykgp2luLAk3Kz=Kb?+WC<0{O5& zek_nL3*^rN`LsZOEs$>upML7)-var#Kz=TeuM258PdfZvAfFe=?*;OGf&5<}9~j6F z2J(f0{9z!U7?wZe7X$gmK>jh1j|}7|1Nq88{xXoy4CFTh`OZN8GmsAr<VOSf(m?(+ z+^^#0Qv><cK)yAQe+}eg1NqrNzBZ7*4dinJ`Q1RiH<14g<bwnG;XuAPkUtLOlLPtX zK)yMUe-7lM1NrGdzB-V<4&<`~`R&khnV0Vl<i7*?@IZb%kS`D9&jb1NKz==tZx7_( z1Nrzsem;<|59IFy`TRhBKalSa<o^Tt06~60kS`GA4+QxHL4HAyZxG}k1o;R-enOD1 z5acfe`3ymRLy+$f<Uch2Z(cq`kRK7`OT_&EFMlG)rwH;Zf_#f0|02l82=X(6e2pM~ zBgp3n@;icjk0Ade$Oj4XLxOyfAb%vtCkgUPf_#%8|0Kvq3G!2de3c-7CCFz9@>_y@ zmmvQo$cG8?V}g8{Ab%!?J>=5_`87elO?>*0e-q^61o=5ZzD|(86Xf#*`8`3tPmuo; z<O2oyK|#JykUtdU69xH2&3mJ~!#4`@kAi%pAU`R{R|@i%f_$b%kMHF-1^G@v{!@?- z734<+`BFjtRFF><<W~jxRzdz%kdGDQX9f9MLH<^d&lTi%1^He<{#TF>7UYKo`C>u- zSddQ^<d+5cW<ma0kdGGRrv>?HLH=5h&lcpj1^I44{#!Fn^77#t`>2;67v#$Y`Ex-& zU65ZF<l6=LcR@a0ke?Ui>jn9HK|WuQ-xuWj1^ItLK46d^7~~5E`GY||VUS-K<QoS0 zhe1AKke?XjD+c+CK|W)U-x%aO2KkRcK4g#|8RSa_`IA9DWsqMP<XZ;$mq9*eke?ak zYX<q7K|W_QF7Wa@gM7~*|1-!34e~>Se9<6(G{`3n@=Jq!(;)ve$VUzGQ-gffAb&N; zXASaOgM8QKx%Tp3gM8Q^KQ_ph4f1D$eA*ztHpsUP@^6ED+#o+U$kz?>cY}Q1Aip=r z_YLxYgM8q|uI1$i2l>K5{&0{_9OM@V`Nl#1agdK3<R=ID%0d2ekk1_CHwXF7QS~AJ zImm|&@}q-%=^%eP$fpkStAl*&ApbhZ#}4wdgM95Ee>=$M4)VK$eD5ItJIDtQ^239C z@gRRZ$R`i-%Y%ILApbnbM-TGTgM9TMe?7=&5AxfCeD|n6->v2DKEPj@Zrx<$<uJFl z`Rf7pc5y3=e5HX&-+O?xif-L(WXN5YR=EEFO|H0foRMWuyY!fmH@CX9^n(XD_p(cS z8@VaZrBjTY7Uk0IMvm&?()WyfzNt%Z7+I^TOYa(~zAl%K%US%~W!)~9`x%o;H!J_W z__&MKGIf3XngXBt?VSH^|7DKwDe|q^#`muI=hL#kH>cQ_j_@l|%Gtut*+p=?H>cPI zNB9?c#i{IHtgI1!ennyvQcdk*KbhScG21C~`=9hy@k{h(`YrNq=l7UttBBjTulrk* zF8YC~;wpN{)bJEFYp(pFN#=iE(UB%Uw`dzvH>c>ICMVlftf6xxgWWq#s@OSG?3?Uf zZ`S?&e)j(FX8sqi=-a@5mT4@&$@6XG?_~Pab_HBFdA^PP8+(&J0ezj#n=t7c04Leh z|ADvEFJPLpZ8QHO&SBT~4;btuo3p8s0UMoU3nohkWH{Tl^mq2>Q@gCISaYYim46Ad zaq%j?3;drj<pGnNN{jp>yp>!5$DBGZvkAX|b<V-P!lNk~@R(D&n8{)R_np!u+}1zf zX{U54kF{jLZYQ~n$+7`woXW3ycj{BSqPaHC#V9t|$zATh#0Y=Cn&wzaxc8cM_qags zO)yQkoO5;-_m`%)WQfb16xhJ51)8i<<IIXXInX&1anjD&xKjcn%=!{1?Hs>5)tlaL z(xsiN>rV5gedf8!j4^rctU%{>e`0=RJDPtr%)LBos+Dtx`2Oq;Dd+Cz2v>QhO{dJ{ zyBnKacN3SZf^%(uGL<XNH7gIEbX9T^?oK|YUJ>(ixgK*4y7Ct1Fha^!eV9F-*;U-@ zO`&gFfA6Wft2$><)#(;hozCF>XErP6eyVhZ627IMC>>hV*=<?V8GY)wN|X?jX*Pe% z<y*RKiFPHrRWQAs`Tl12e(n+_Onwo!$uC;hRH|0WTyNGc;?(vpV_I=midT4~Za}Gr z4Vo&g1I+zs=+=_nJrsGSWVZ_C+~wWvi@wsaq|<E4A}(KlXCK`v_?V+AWs=UJFj@MM zq-m&3^M93=oj%cR&aFuWr=fB)Cc0fd0rNVVdgTiX%;j~vyLrni)^v5N(5Q&ZRf&z5 z!}c+CAA8#5o9p{X(<ZmdzYjU6q`8<yycNx5H5bqO%kxU7Z~3_L$~yUli}SF%UBQlU zirp^ft@HQN)~vZ*eI3!kyBh3>F6QUw`q|xgrL#7`ThP}L;oj9?M~pJRhjpB_hfO>0 zyT2FbDBP}oj&Mqyy8TxEU20Y;nxESh;)ucuA^)o2JmEgB#w#5e<gFa)98Z5{J95aw z?7~BEYMUJIQqBB#E{?P7!o}Ioqsyb|K5nP}cc$3KeYbQem)k5RVSet04IA}O4o^rK zm6#mgB`qN`H8wFJ#TD6h*tq1xnB?&Iz)`Vr(J6s-Gh4T;H!LP0Eig4PF)k%AJUKov zIzA#gDk?f^So4Oh0-H8&(ln|0u!z`%VW!Er*oa0kk&%r|wFU`^3DFIr!y{rFnR*SI zH8RD2|NXl>HX$-DEh^gecX2mnxJ<pU<WZwi!(tO+Q^Q6zYuYf<Ir@~;DD%x|ING@w zPL=rZ)X^s8eV&VWFOct@LoRoqZ^=O4?7-Sho~~_#Z$_YR`9R;KhELb7UE8<Z#HXHm zwr)q?Aq{;?HS{gs@G0NmK;OoJ)tmU1=<YkBp>Iq>-^T-M`9?MLt!_kkL*Kwa^8~rw z9s2bO>(!xu*RY<Qd%s{r=YIYA^m9eTruK_Yk4{dB?h+Rs)6X@oe@1LnR9tk2e$nA^ z-b0LZ&LTNHDmJsZIhmBy@Px={=Y(8o=1f|LrMgnh7M&8KNA*gKiX9ajoor4rJT)!F z_4f`#x((?Z)~j2H*?Xt1u5lf`yX+qx5f|+m6_*&E>PkyUiH%8!jtYz%9iGfX>JlEA znwb3e@pSYak2#c{(Fq;1n!4f=6Ji4Y-p=_Br;JWaPW^Y*!|MMWNdL|u{lmI+=+-l| zUuV|~y+Z>#1$ytWw=Xnl+PGC<-Q?)F=<t;2dVxdD;WsMmFb$fAwQ3MydR^q`z~t!k z*c8*}0-LmH-mGzp;g8<xN3Kp(Vw!V;o!SoT)GMT0$ix3(A<?O6<Cyb&NN`xs*oa{v ziD}7^(ZgQo)X5cVj`-nyNH&+>xu_i<ZT?|Ta*gX`s{M0T9s0cx+N*Q#{=B1wZTxdp zovTxLlluLAm%LZ-e=noy%K!U#IgiEvbmxC}oqvv}cZc5HdUtV!gmvoDrN7HOOA%ST z5f6p;PNu|WN2g|ui+*?`y@%l(rn8@aceuiHX1{cKyNI{jG;3-)-#?u!v{R?fAtCGr zPFsbSu!Fa!Hfd>UJ6+bh+21?#uJB$x+6kQl`QLur`-RY+9s0Rk=3cc8tn2ik{@$01 zc^3tmBMI!x7ffJqa$;n3N=jmKO1=Ltx>yJ2n-K22l3Z@*174()>Fd#%4SF?q6)jb) zS8<<!qUHT6x+}RW`&MyRbwBQY!nc~chEFYb9k=;HxgMCsd<1h#mn`L~V$y|+^TzE^ zy6j}XDZW#EW}DYj!<4M})bI!+Q<ERc(S>Vfcd4$1QQ@iKu7(jQDXxa*NonY0HvFHy zVagI8R&?q_MMtE?m^a6$M6<bfJv<`9JVXy$c$gEH82M;2+&pP9(cH(w8vmOU7n@*S zybnvwLAn}7CdS7{oBQ6-+=+(asj11a5oxLaZe^7DK13Iu{r_D)VX8QvouaJjeES|< z9)8hWu9_y(spox-8~NY$oHA#5#{B%v@BdcMxyApz-$%cWh2PNUOugdfSGfFA-t7uB z3FjU=b)28Gzpm!zv{!h%1!nzWj(6qnZ?f|dFFaPKq`;&)6&~y(Wx;0s(fwAe;C8h( zjXV1-W`2eH9ck7dZSR4v+tvG#jKbCA|Ekx^OhT#`7CuszVAdbqZ_i3@S9?>>*{>O# z{k`7_k8ERBU1N$qye`hVvlKR+XVxEW@1@7wE@z13wC6lGh3B!*tQT%$R$VhpdrrN= zrLb(S$#}HAS08E5jHmx@?=`btxQ)}sQqx|@BN>IOYfRar?Y&{zbMB{ee9rz0k8g)r zceZJ4e$Mh^F}JJ7f895y+%~^Q>$NuXHD}^kxHx6b?}Yi8&BqnyZ#4CsiF4uN)Nz)} z=2y7xq`x-xoIY8&ILGHKADG{x=keAf*QJsvD?E>KX8n=Fc9kvZb~zKM!liIujx6lU zPMLo>w`;D+tZLRPo1fF3bA6nBKHA=5^L#ji)WW5(y~1>%d^F$B^^-G0{(JHL*BLwQ zKYAoi`q4c)X+M{9j{huv-upNH?~KB$^f1HMyltHO`_EF;<-CWy%XsH{7j-@5B+R<= zbJCA4zTPMBpB&$RU3uqtoG0a<#n;=@A5A+w^`FJ}Up?K~zWm?)?_sTfpPlc2ovp9S z%%UDye9cs&kx4t(*m<XwH`k}bBjwJ0``<B-89moYb=8S_<fC5rdH;VKA^jhR5fA6` z0p<daFuQT&cq4txLp7Pwxtcy^I_o_DKIP5)k<vL!C++kxA9Ik-J@7GwPJegun@~Es z0w>*>vODEKN@u@Lc_gLtkU8lL%6!U~C>KyJrCd$9fpROQ^K3iq9Hl%>d6DvcN=F&t z)ccC^I^{2v=9TI#uS404vLj_*%8`^_HJ52GpJ`_p;k3V&atGyMN=NDClz%~alky&A zN%J^4<+UhVP<C)+5p!KByE)Qy)nSz3jx^iFF`dM8F4Gg3eu?RMOs}Hc%=~SRH2ZOM zZH~On^81c7{lwoq-p+n1Inty9nKqZtTi=h;+kedVPJedV38bt;`7EXLEI8%feq&@u zrn^!0qIAB^PQ5{t5tO4Sy=qERp2Tz}WiI7Z${Cc74$En8IpsP^Z@)76`<VviaY}E$ zGUeWWWaJmjciz)Zdp}U#ru>!iKBbRypH02ul%*)W&z-qwh1bhe_tq=Co`vmHbn?wV z^XPiZ8#QawCh(D^NwbzsTeWE3q;bo@z{cMEhyR;2Zrrk^$!pc5^}~$7kpZ60J-a+q zEI4~}-br=M@1NzlGT!wf&Ap<odG;T3_3+<$XS~OEynABkrIH_;^~83U9y8LF*yxf; zh1I$AAh7+8aW4cuE0-#L-2U0-m&QMP=j}S(F4dkiVZun4x6IV`{P1>Qw?4monetC= z*=N6cyIS{(H787%$a+<K^!X(>V&a5JOh4AW&o2q#|CCoSEqoRENDDn$*ZQY+>F$jm zX{&^3>(k_aO8+^_|MOZ?r*C_U{oKRMg>gSHsW%?ILfqEq*nc)fM)|$j<QT>JR+IAG z=VZ0?c4VJo<;-SAvHr1>=U2?zTl|ZRa#H@qDjD&QHvP7@X0hQ;ncM%0w~F6-Z>FEO z_xk%edV8-n{cW?mqAN_wRrIo{;VJr*S<Nr%JQR7xvf*gcgUw0g6zyP2jW)frNf>Rq z)9H&XG{r`nKF6&4`+a8q7ccAE&A)}I7~s78e0%tNpGl)fPjzbc^cMNl_6x`}b$xsJ zw>Om>O}Wz(eS5QVNz+z4Q&7Tb(BH3%v+6Wc%uK*szJ2^}nRTNf-|FwYCj$mLncMw) z7~$ya`#JmB!IsJfI72Sqo&Mh$;i$EX&2`dm`8ykxboVg{_X}?40yxVJ^Yh7bxt)tw z$|$nCIxEgn+Bp|@H}9#KwKC4u?jFChv`kl1#ofzk<qs#z-_JQNe?RAl$~xPWHiuL$ z+pJV@m4DV``h_^EWVgG7qoDWJXk1vMk*mDZj$eQ4VU0i|yfvKD^0v{+RsK1%?iU*N zu!eJkyfvH)?5%OpRo*dp_ziGg*JdwKg*6<zO9kgR_PAZ;kC=qtz;zF+Of9Ukzp%<d zQzg%-GRQH-m{v9xR{5;3O0i;Q;NcwX;O`$+abEY{LooxKhdcVBw5$9+r^*n=7-U+x zRam8VVU>erT;>08stj%Xuu6F&{{L62Kh#{8{99FB;&1JG0JZCmI^EH(JGyT3;w@CC zGg+ukXR>Ues=S<6RbIl3+5S<LYe`23UZGHlZcg9jaz1fJ%k7A|=I1DzgI79h?aj{= zIO2KpdsyJCIqGav;D{lA7dUI99~L+w?(YI;&H22UEga!|;T|^StWA4Z;E0$0E^yWs z|3B=#349dA);8WfJxPWTAgn^z1`-egge0uSfDj-+0NE7+O4!39h8-f84vP|Sx!?+3 zG>98+2*~x~3Mwez77!8KR}e5`B8roB{?Ai=dS(*v>V03|@Avon-h!IvoT^i&)~@b! zpVMt+5H|ZWNIhm{5T5jBklJl!5cc>pNbR>W2tW8UK=?y<P`Hy%=!NLevLcD>Y6wRo zI+EmIL`V_Xb4uAGAW|HHNtP6W!$2u}I7DhTl2=+%1a_TL_7I5FZAiYuk|JyrDI!Nf zq)2W@M7s#=J9XJ(AX0mf{DUQhUw?=J#k>B*)`*xOLK{T<8RGM=0m}43VhSQ;2-X0^ z=Ml$P$prS4(!`loGGU1%6S*ls34uMPG;y7kOxP&N#QUve0w<i(#BEkG!Rm5+9`SiA znXn&`(!@8dWCBNl$p96a;Mbujr<H(T$^?gC{!VP84xG#I`xk%EF>T`fKmN2s{eg!2 zbvMqLfcuPiUQ^{E$RSN{*xJaBYthPR|6(g+Xq?exLGzBrIJc4HCRZ=Hc@JZ<&9#X` z-cZF|6W6S#k)_CSagdp%$O$B;EAmFlUaQFYBu6T8It3<>#I>caqj}a-ih*;cOkJWL zRV2hGc9usIcmy$hL1y6AbEO9=_5+j=y!kD6ko|W^D~(Y=!S6mGsj498IfMThh`KR; ziH0Y}cka$VsH=7ePjJ-DZ@&V$|6WzDbNm%u|INddOK48$8t7W3M=m2-#h>=v<-hcV z#s9pZ%2Si7qhLLSsPw!`jn*F;vaX#210YXB<k5#(6qkPVVK1CZ%~h9#MC(!6?>+P2 z0}l11;}X-7*rlsA<D3PxT2yB)@$ln!o&i-_#kezbiMkRJ)tdXi;}MFw7Zm&qB_e1y zSDX2N@3~2(@eF<r@>hIl!h4lCoB8ibb`BaVlGe#l(^`P09Iu!es>(5s;c`S5;_u1D zL#ArI!6#b#ble_t)e!CQ18(dM0At@brX1@Vj%c?5q~-?G)ow&k9`DZt>+9S=(|C`I z`a%(Heb)t*0jXVsjB*kZ?7D6QZ_~^giF+mf&Ijeq0v%khvmxq5`&cj2?Ru97l>w=Z zMCaTjB-nNF`YBl7F-#=y#ZVtE`ThDffVRtk)aFAU<?LYR-HwD{ecuM@tARYbeGh}S z%TUKE=({&4!6tcy6|C=P=;J)GeYFs6eb0hs8LkJ0M&Y=Gt}<`Te-SZQ?j|&9E(=It z9^)H`D4r$B+7FPs(q@2^VfYBqrpdRdscCK!64*Y54-kX<Q5G~V^^j-xqc-TEW@`hW z?^~qV!LEyFA5+Y$huiI3e^?Ic&O7ySepqL)zHd<(9y|F%5a~}N5uE;KJPV1cq}{1C z1%J?=W{;AUz-J-B!B8_ENV)jg23Kkz7#devAQ)<;1oO33{ekt%83+VEs|XH!_7NQT zY{QKNjuH17KFjC^v;*~CZ8JdfQ>*YdRpEKR-wu?2%4UG%xgPC6{-n(S$^Te|4?Ih$ zbei!$P{GODvh1jo{cq0cRj&_uZE~qAfUcKHRTq<&kp8WURCU#T33*&$T_P2iw3kTb zb&s{ic;EOP&<^bR`9$p1$B5WOP7ra>d`fJjX<rhtSb5AjaEyLW#9wz@kq#V85kwr5 zJWh$~`4A9AH3u?zBa+XN+Dm>X!6d<}1P2R_6igSKD3~d@Nbqlhs|9Zryi;(K;NybN z2tFtHg5X}k1A=c09u@ptuvpNAMb3U+CfHhVu;4_&EJ0K7?}D2JA1C6R_LSg0BKGJ5 zlK;N&$Ate}_-}+S5WW^RJ+>!7aHQZu!Ht4134Si9V-sY#7(sNe(sKsxAZ#DcDMU4& zK<-oIV+7j>CJJ(mF~5gkZ^1!=Lj|u9RPzk^DZ;CH27jaQ^99v>BYlPNxq`O|-Ya;& z;6s9s3O*~S<{x@q6#iAggM#k}ejv#68T<2<;CF&Q2o?(3&vSS#p}hS(N8RrS-$>GF z&%yjQg6##>^F^e06aFf}K7v;Zju50(H}za6I8|_l-~z!Vf@&Q?ewFaI3aWL9^!tT> zP*6Q+K>8EH?-cx}pjxlU-!J^zf@<9&{UhN&5j-V$R*<*Z*?#rB6G*F1^3??CSwOy? zU{k>sf^7w9r%t)fg0#OO-%GHs;2^=Fg4YU;6PzTd9>t*i9O35)E*0brb?U!aaIN5a z!Mg=F3vLm7Tu?n<MfvB1|Civag1o0s{f7nL6Z}w6Jvc)CSHgcM_=8}fpxU2Z*13@S z7>G{6Ix_w|v-3GVualVHv2$V<^|(-ee(yPALg%iXtp|y}{~U2&OH_iX?yR5<>^T~0 zS-UqBXxT>!^z3e)u<*s6$HOmpw3+c9H)2MVGq=x-X`a?mEj+DbUoX(2Ru<^7da{0Q ze~2sLNP!l6Pl1-?>hwW@mOkGzDec1oJ!wOMo|foYl^x=FB>S!cJ-w4>b@&3$Wbj&c zKTk~dbWiK>ex7~VZBipW>(lk*6t8Q-<(|i8wn}Z53hgsp-JONH)78n9=t|H!nE9ig zbEcxEsJl_y`T{))EsebkwSEBI^E?yN=6Y5pC3;><>*I+@LY-*|o|f6J?)^M#k_=D( zv}n&`=`pC$)oCT#2>s!%1XrToV`M&bhUcT_)QL9FL?5Ei-q^*SFJt39k0&ki^iJ13 zTa!{eEz{?ELere74%E=w^KJTMPwS*sp2yNK9!b!c7FhtZ{sKq#FlgUUU_^aT;D9D0 zJ>JuQW;c%(JJ*wut$S{X?dJ*2&ho5?)r(xAA)c18y**!Nw}h_gXyeKPM^a>`*HOxq z9GU3o5nANZw-iNcPaVm6Ijev099<5b)5Ak@d(GfHVQ#|Rm><0dS`&`eMCs=a7_NA0 z^t8ffb1+u196yW~P)|dj)6wVj1kdXjtCdL$JYQqn_9yl8IFmYiwq`d;4e|5`_g2!Z zX3?J3Gbei<%Wj%#6zZWE!I`fY=-G}Um*Glw#iJh%t;65)uX(OT>QU6bLCumLeiW@( zk6QClV-{uxeMW8j(o;NB(jA^hK<A{nl2bhU!SzkYNG46iD7fNR6=+Fs6zEB4dndie z@ccUqwCrPucVl+$#Mq+c;he3-p6OBDJeNavYOLn@3gg%UbZ8pd6{>rt#P;%h8#~F< zI=v;v^$qlP6?$8*z>#zmv7XXpK$io$jHphYH_|#`wL(|6=GmX!+v5Zs8WkC@dnRW0 z@_dzjIaWSqD0WqWBle8~BQ~;=njOa@MUl>D)a+<`JDe%J+PQ9uYh`MU)VorhIU`?) zO4Xx%zgGB$rM68?PkrV4!mGjU#R$ofX3ZjJFqOxbHHXRe9J;!qFAf}Kx~mH=+zOw` z`4D4^(rN~Al*JxLzwRxtW--yzB59uI+e)*jC)=}V&ta`pcPfr7-F20#YtT$OQA3+$ z`NN+}N<|&v81tz6FxIFe>R!}$94iKMh&Ak%Ih>U4^lZi2Zjt7?s&Caf?7A9rxSw;_ z#noBwJ}e(Pv+q+;55EUvk9LQn-I&9-)EqAI^h%RCL_MLgAw{lgx@QvR@ar_rVGQPQ zKl-{FeZ8!}k@O+rWoX|$1qO6Epv#`a=xpe!JcnJY%wgJU%;Ek5BQ3H^WM@bB$BQDv zwjYT~9hN#4vt`fG&)9>pg(EoiU+}AQ&+LcIx@vGZoo%?+>!EP~<9Y7qu_=&(vA}%< zN64>8Gjs}d9j8*l_7j{Tby*^u8N(K+l(1a{mpV_t5Frl*1BM98sM;BJh@iXZOy_Vp zds@&0N<w%o3JZd^&=Kn71y&d>2&yAhJ+y}f!7!?i)?8mua<IyR1%YcOl3_srGe}wx zxQ;USY7vfrE?zuUqry;U6OvRDvX)XqT?asi(Sl$Kco#3jU_sCVH0yblGP^`mqzl)% zv>;H|`mi7fSw?=hs{^FMb^hg{w*#qZkEma;JbPD%`9OOTZsb(Y()122-y1PvF@7v| zEvOo^G`-^t5TB4)uy}-~$FtG=QvvKiVcCP4_zOzY6R0Sjxmmq6J(1ij#ieL^CvqFf zErEr--kFMc4Fwj86#JuMQ|w#=O{}pb#m>Eq?1F@en%;$F+ga=+VQ(j!6|d=CnaPWZ z8d(X#(Ur7DR-$lED6W%m=fPnXIM%gvE+&12uFpeaBt~f^M=25`w2F<dtwu+YbucnF zb7bnMQMrY6f<#jlSyzq58WyU<k;t_ujKW$)sS#MG3aQ=;$)4LJR9U3z@vT+`3Fxgw z&a;X6HnG4a77C%&+ltmR@Y1&ju8;K2_^H1Gsn?Ns0mO0=yfk|gM1yxhRFI(mYC9Y> z4R|$n6$xI3@m6JnUqR%M;2&Dgkf;u#kOX~T>0;QR1&DYO?LqV<(GA2H5-A`yk{ANw zX%g3fs1pWa0*GEDGC@2B0)LD0uM~ZNjt<~2d;A_lvi>?MYl!I?sFw53NNR{VO4B*E zZE$JWNKKT*Zy-M(CG|miH{>4xAH{=8AENa5z&GYVwL#j;lpCc_g?=?CovO(&ts=pJ zI}W0WEvm-rXQZg{YJop;)p&Iw!SNbMg5$M_1jlPT369q(5*)8k{5`71t2GIZR}u-1 z*VQCAUU!h-cx@%Y@p46g;COW<!SUJ*0)NCt;pZTlzZ$U_yiF6D>BAihK@M|dYg%)* zT<2f#kE;9;j+>AbB;e*4>r~(`ObJyOM+tYK7Ro)@81B;bA0XFK{cz-4a(LAbB!5Cy zHH!b&t!0AUO+#&W)39K7Q&(ko(`uGoOn9(eOeLSw>Xofx=z=QlugV1#MlZoOGXWbI z=GF?<>aI@D)5w52Yz_fsSk3`exJesOgunXY;I>++QT0Q#1ZAYtptBH{`KyB4Y$e%- zQlogu!ZS74X*NnKlHA5krK&O2$0|?WCe`6ITL*K})OM3)FPa7U&o;L*?n#NTd~4l7 zJZ3()UCGLex8-m6#`1gv-&nOKu?AGY`ooP>Wyis6%c4i}6eKAD<sQ2kq@m4Sl1Jhv zi^<9(;kB5|JQB8^;dx;Efq#X;7nZOD(a`2O^GK|=(h0n&g7hVM8$sM}G0r>^TkRa6 z3RIJW2wz@;RdRuzN5T?bsQasD;vPhYwtf&m1*W}>h_o~-1t(_^91ob@)FV>HGQFus zlC3hd`A*e5R-!BW84y;{B$cE_iXHQhl+_*#8wD9y&!vP}%6f1#ns6r~riNgt$a48r zusu5v(fob@6_~ag5orUg6srp&mwJh`{&pJ2a|$BL_9C*c5t!-=&bI2OrRwxPOJX4L zIAVmB<+3H3<T2%YmAW7(6$vV^h6RYIp*K-#SjO`Fv1&MFN%SI$u84pH5>;SXPM%Bq zWl-B+2DWXMM{XZtxVA`xyh<a_Hq{AkrOIyRZ)+VbsI8KRA}X+!eTb+ft+K|*V2#MM z^nDH4$Y6achpFsI<(iymWHbM4R_;$P=?}-i?hn)KF@dJQm|UXGtkG&SOOX>ztn`=P z)>OGoD2BOWv>fZzow_QUM+4+zg%X&3@#fK02@EG}MO23b37+b4q-W)kxL`4vc_eH- zl{b$><Y4n4a2=`5gT(z-I^nEJpC35NVvw*><&P%tFv8KR=aKkHF+p3zY$QC7h}G%e zBAE7~mFC|f8h~dydQG?&(a^G-YTsdbFo70On7xHnUr?u)s7_lgzz85;1q!oE5GE{9 z<VeEZiX25ahG<}k<&m&d%nfV`>@iz~PFzjgZqa=JDg@;ZW&SQi$PTn}t+G<4?<LYI zZ8S%%vIB^0Oa%6AEH8C5HBrsuqLb9Q+z*=gyUI~xF53xEfoZQJT8H!iEL?Kb9*!a9 z!eyFWTb<zARCY6eoswtODS1$%0&95_(K<Y?hDLI13u@sm^b6C#s!&;)^;25fq@;hl zP0aqSHd%R6iCRN}p0j>((k9jO;5M<86Oo4{k(Y*`-5~ve4^OZ_32hXaPS|Fp64do% zC7(R?zy(V$P-ZmCq^mN+3F8$xn!tw`hPH4?9*KHr0GO;i5>XbDnMZ=Pa7(CsDA!YZ zMi5wou8kvHW2F+T#_^9ne`o*-zM2FIQ|U-$*18{6AcJ7n7I=RKPqY8&P*D8{L~J$$ zwnuF?Bz9Zr1fFhGIteZc$P;R!Avit*TLAU_$%9Ch+f<dq2vz2C0Fv%jxoX3(8j1@e z61?$&4JvS9bSDx&MhxEdnD)7q=HK;tf@eA|cnG|pFtkO^JQ6GqCeURH2X&ciMAt?W zWT`AsOT}so)(tXLV7aFeu?h*?h;;2*f)~-y7Q58drB!ZTVAo@h*(&ZP#G@AN-}Qp> zhcf@TmG9rpg39=Jy`VJz?#Rwwu*wb~vQ-f{3UHt=w)Qusgky{)bzbFNkL8&gyz4QI zM|xn_BS-Bx@%wi@yS6&PwW;i8YDlP6@~k=~4{B6kEj&F2c0F<|g<3A!^(sqqhoiK# z$-nDex=q&3W{*SVHdWsBD)*c_9D6Qpx@gyHk30vWwf8B)fA@@63=BLFf&>2yVYpPj z8(Zi99WT03_9Oqvi*7pTMniW(yM)9+#;iCa+>QSoJ9H%7&}}q`yE5@AV@X_sQ7g_^ zkd|PC$8})toH(Pldu%7;2DeeuO|D<E@xB%pZOlk+(V;^^e8+f5QgBfmN<)_Pb7q|J zj^;+*PSb51)!ewPs~a=p2p#-C38dor)6q{snLj~Esw^mHDk@M=Tj^6d0hs`WR{C|c zC-t9K6rnV<^Q#FcSCp~av|946bj_;K=x23QI^5J>Jidj)0V{VcM6G0Fm987r-5nD< za>Veup&IT%pf2wi-!?kBWlG2Pmm1Y8I~tjB#%0N{yN@%P#~BmdMklv1EiUD^X90ro zoP!~5qmjEy)yay8Ylo>)GhDUCv8tfTgpNjTyx*v!F%{LiD|O>-haTU)XEeGpq-T3$ z$MQJzsDm*duStS9`_nEuzGKTiRV%KRY}JaMrx@FGw{d1U<nPtvj31WA8PnYVrbiT_ zbi8pl${k()AN6XB6lTBt3XH~X_Usj?3s1u`QymN#p3`#Mwi8zl23dSZ&@{e(>fgPz zC+N_G=fL!-V(<lXsT-!w3uY_5n<;DPLhFS*>OEFs9`^4%4=kH`z8dOcEBQ;joe8E| zL6&DMCa($l|ACvprC*}N7EeYaE58RTFg=x=2<+EmUCQ!*l6nP}_>tHXYT4umUTtIz z8K>ND)&K9`Uu3;ZEBGx&f29`{;RG3h7;I<X5WF3D675|xVzqIz;})JzgY$S|4bF4h zg@8O6r_^+>cHJCyOX!-Awa#_Mt^e`6j?{aOsvJ7j%a5uYK$mzcl6~;~)eZYGmg=z; zFjU63v!D&uh0&jH+s}(G&UtXX_C*!z=AWMoy%B@uPJr3M1PQjB^+!QK-x53^3BG8v z<*q?FJihUV!Zy~r<KJ>@3*}shix7jV(fZ=)h8NKecHTTB1nYCPwQTmOk9ur<D?!_3 zKx)Z&uJc_`f=ya~UQxmdEnshYm<N#rTW&r0;CfGBK=(l>>jn7heHgS|2BdZl0`Vjy z*m?X*DOlge?waOC8uiiQ+V02mpzSgswZ>7F9BBqSZx`rbeS4sf^-^CgL|flM&~_P+ z+Lh23!%PWw-hS}G`o4!g?ibWYdtF=KG0-ey_i;iq+zz)FvE(uTiz;%P+?Vzh_#Sdt zN?8CE!dqP0SD*~Jc3UYp0CKZc3G2u1k{4`nKeQ_X<I(_mc0a263haiyYC#p)Br&La znzyxed|(*yW(!MSB#?EYAO5hhjpdYUC)oOI-p1hcKf_mmHr0V(kBXII;DJcx{GbC4 zBJ>@ooNw<Qc6lCgfpGDAk$7YT!XNP^2uQR3x@ygFJgvYH4g^Ducraftz<}a=l<fVM zdmViXVv)`<=J~D;`j6$Hc<x<w(BDDe?V#$t=n~6s_Fv^>R^>EyQ90Vx2QKf}lW-hN zF~DYE4x;{M;5ovyediX$cOxm=c>Hi4$U{VP;DByLL<6x6sq{{QI7}7aPjIl{aKY;Z zrwYy#<nw&$UoLpF;9Y|E3qB;cO;FiJqug%c-xvH`ut1Q<EA_WTKZ(j#8JH^kXhCI* zjP!ZJFC~WHRV0Edh}a<S65JxFY>rXxS>b7CK)JUC`DzpLv?5~tXN0Gn6Vv&398uW} z1EYmc6znZHOpveNp!^MjHwh}6UZmeAJm0Xv`rZ&M5L}GS!2z?1n*>cF%H1w_uV9|w zHo={OFABaP_>SO*f}abX7AzDj7Ia`MV13mE>j^d$Y%SPPuqzS$=t;!pmLixc`C|pA z3(h7&ezEY&gkK~4ZNlFt{1zhgJ|ehV(q9pz@eJGhw&cGrctX;@5<Dw-Ua(9s1Z#-p z@tzKamkGKB+X^NMUMa}y5SAMvc#Yr$!D&Ra`)0w%1P>F@e+I5!G(({}uEBF}VY*u| zMzEb=N5S5L{RGwVjB>+-A1ipB;50#XTtjZY@ap&mzgGC$1$q6$c5D=UNbpg?rv-Ni zz99ID;G2Sn1wRqw`JQ@D37!=^FZin<4c#agAxKL%H7<fQbtB(dFj=sd;2^=Ff@1{7 z3(`1_<!=;RB$zE|`!uW(p5}9u-z@mBpzU+BL-^f-FA44yd{gkSpzXt;_8sV@0Uq_A z7o-Iq`7lA6;F0Gmf{3)iBX$(*CU~Xb5W(SsV+F4hyistz;O&Cy-EGjjN%#i@pB1!y z3|<m`ui#0+&jim2{wT=TTd}<c_ZeVg!RCTj3HA{jE;w3nmf($oHw&&6q-i1bJ|p;& z;9kM^1wRm^@ge1Z6%65i0KS@FBSE$AA-xBA?906c2MP`myiRbUV5Xqj?@*4`ek{LI zaIN6&g7*sEFZi(F<AOT`)&2)PYTpAMmh>Zn9}0dh__g2}!2&_r8nQj?GqIW=USFj6 z27*z7G)bf!U*}JxWg@YUAPp4BUn_XM;3UCWf;S502+~@P<!%-HyWmE_&4M&{q})!y zJ%TR_z9IOQ;Cq5c1wRq|LXcLI)TeBtfn~z;LW}9@dkw&P!Z#6g3)04ta-9WfYDs>8 zAT2J*j~ARGm?@YoxLlBinUvowNc&9kI|N@9d|mLU;Bi5Z;7@`y>ty)`f-!<^1ZnNb z{Jw%C1g{aCCOA`YrQj`sG!kX`Ck6KizAQ*<QJ()!2!1K}tsqTDneP?!2^#p*f$8Cb zH3jPnHWH+<DdpM=b`tC+*i$e?aG>B2!BK)^1Sbkk6`UhDPw*x|Q;^23tp6Ut&4OD5 z9~XQ|aF^iof;5I@`9p&Fg2x0u7yMfAj9`Hvjb~ZjKqQ6>))cHS*htVV7$ZpgT9)f3 z*i$e?aFF0o!D|H51t$wm7n~zFPmpH2)W1gX4#7JGHwiu<_?Y06g3k&5OK_jyenDCT zQ~$?;UkQFENZVlMdj)-hM!1z8As8u06JpA@5~L+D`6~ozP)vS^;3&Z{f)fR&3exVF z^4Wqb1aB6+O>n*7Ccy^;X|Bxjy98eld_{1-;6cG7f*%Uf%9-WQ3jQQ`L6FAJ%nui= zBiKNYHqp$F6{Kl2`JRHbkS0GuFkSF^!7RZAf;6P2{GEcdrzZcH;BLV^f(HfP5&Ty0 zdqJ9EvwVbLlwdPKT4ysqNpOJRV8QW%8G=g$mkH9So8`9%ZWr7oh;3GLpe^?GdPDNq z!`wuaW8k?Jr&)zKTva}bD^%qm$2$|0zaVlZualrBmDeS9eqHMx8}-Fg4t!_PGg$ax zM92qjFW|c<H+FRYuCHZc*MzQahTr$KY>0b!2$g&-;U`vW<&Jl>&%ABcSI^V$_Gzt} zS39RS+*zcDS1WQkw-q^E8odMiCiOMWg+*wEhjZYOMNhA6c-7H+Dh(c&GpBmOvQyz- z`6=?cc|yZeJyWwY;6+v+o>ikfH;4E3OoOlGitz9vUs4gg<wmB$hbkO?S=r%*@PO>< z>f!2q5T0HG;7PR&o`x^?J=#cXrxo6n^J0-!t0O({==l{3ue&7FnS?siTEY*~@I<Gh z&Y6jx)!9zZwDjp7BMo)V9O+q^7V7Dfp6a<Jt;o|a8@0jLN>6uneXT&t?p>g#u}0Sw z`js!EXA`JD9QxsHrbj`46!gbtc-+d9k9~@VUt)@9YV2f>o&^1A!#z1keLcP5LAg3< zr)Ls8!gTm?X-O*}3++iq8&M;C!DN&iXq97T21e=SzA{eX7^kRIPe%4ccuUqVFk(k} za-vc&PQ5%UqcBF$=ZJ!DST;N_t2>Ka;SUwLT#;QPd-N`F#71`R4L`HUD;%o*c}K*D zGD+XG(DOzTX3&72VUpG|Jp+D^Y4on_><I}!<aN5&qFs(1@GYyA)nEAu_FuI$-&-$7 zZ?|%XGj(0+hSWy+uGABd+~d_E_jn`X={5M}QoW5<_*o9x;iz%=p<I3ayIyVmuvF$L zZEIHKzLn{iaZ6_Sxz|FRU9`4Z;Zr#uq3-)J#~&5wGr3Cc$LLJ)tbt!|C_HYbVQjSY zX`Y<$zE~k#F<dQNC8?ej*@K#0&>p0hrxuR&;OcP*?LGuuSe3?|jrKNr73*SY{($FD z2fbxuQAaHN5#f)gCAGlp&+|m58J<aLsAFbJtV|s~m4@dOS4VoN=jLpO=jJr{cV@#E zGYuZNJzSk$Ez)Zy_U+d9>U{VIhQl*89Xgc{DchdmX$4=*jO>M;TcR+xSRD_+Cs2<~ z^`x-fNxh&y#bdxDQ_sfO$L?)}mcjSfNQ0KNBL$9`SOMwL@I7AN2y>Ag>iL%bqa2U$ zKAy+ILp)Q%u?kfGofv~R3LN2)39AZ>@W>vKXdB+la4yu*t?!N_oEK|;BH{<6ZY*$y za~8f@s<$<NzT#PH)@r&>cwNX<bJL%mp2Jdub6BF`X>D)eZtdJ_PG_F8vo&Mr8GUip zszvM4;Nz^0ZO&L<&#7$sRr9#EXAIt}QN2B_)Qp8ZpkGm-)lAh3^$7U;CclMId<(k5 zFn{A3jcBCBW#_+)S!<JzIe|Z}9*Z3W9@JVAd}`I4CE{r2oS~MPt>86ncv`4A8-)>c z!s{2lx@kDVvR8m-4Xy;eXS=?!m@%{)qp7DuBfMypZ+4{_qtEd~&lVidnwl}RTg_M> zk0bUqv=O^P?1)BaR}y@1)36Jq!yk9%r~(K4wO5Ca!;HmX#twwD|I=|KrFtF?cY3}H zuZJ09|3f@_IJ}R;UgNCAuPDH*B}XPWRO@yeDTK$gwzns*?bR0S)O+JTflgns_f$gV zANX+&=6OU9<zq3UA<reYAE&wz;0WP61&mjb>-Xc_!#fYo5Z>rD)ZIzjk8>R}Tp?2^ z><V)O0)Cv0RiQ9-mqK@`n*lE8TT0TY{5aoXJ;8pQp-!Hv!uVaqAt>xp_JSS#-kbx_ zR(w~H4o!||hpPvRCkSh}YB4uaoGzWNoshzJ6%Qz3my0f3_^zTl6-Gz6c#9X`RkYZe zu57C6>UvHIM`|Ig0^e198vviryIi~@<LW^b_qb*#A@$%;!*>-e6;2m#R^z*hR^vil z^p(YT6)iT*^$gifQr6|-%aie4#l1>Z1pK(c{zKSE7hkN6?<%U>KyV)ob#gF5aB;@( zD)L1f)%58Q(YJwwXQ2888;SOXw*jX=LB;y8>Ks4)NjA7WVs+l1(w}19bh7)Y<7u+F zh~ZoCqd%jXv_T7}`K`WP^>u?YVuq&gApHbl4ZiY1-^sE1hAi(sS!_q>uTe^N7uE3g ze2qvyOY?ymd^D^-$Ffh7<sB}IeU<D83ai|sWZmeHzMHB}k!|f~=}%naQKaktWNuHg zPx;wdU}1<$Z(BVS@0mY_a<$aBgehOdT54EaIy+QLjY%~tT@6UMMQ@?H>K3iWB0|YW zs?OI`-vy0SJr`PayOxrVRDBj&bvV+JSG^TlbyaB9liF5U)eVcrH1n&I`V@4;n&yoh zpZ2)Ys|`2HsU+Cs>Z)(kI1*m6TR`a3$<kf1&M2}ox<ObUQ<rOG1QK{FQqOt=SD^LA zA&Ga;>J7yYA0gD^eYV#~u>6-K+Tq7Xg16+ZK&kq+k_OX}(qKM*E;I2n7<rf73StR~ z`#|t!{bdh>c#6ccAoh~rJ-81@yaj?coNyW220tI6ogaa1WO+9>?2EJlH1;QdS|hw~ z-LVwnyj@xX@lZsHBQ5GI`_&SAVdFqz<1!>NO?2Xb;vM@YUm-cv*@Gkxu%;XvhM8b^ zOG{^4RF4Od;27LOf}^sJ1jp$s5*#^tBR1n$IyN|~49XSAQiC#`1P3LT1P6t;bkv~m zUXB`+{UkUjMIf~1`WpPgU0i2R-JDc6cbotP5gr|Sg0A`<$Oxi%yum}1&olMzx*n9H zbRA&!|4ENfzsDk8kzUD@GCbg}$(K`A4`MMI5dr^Aj&_ZJ2PfH@ey#A&v|K@J1w1kF z*I2+069*7-g1k!U8EX5SqTaeeKAOs>w4Ut^THkioRBoY|Dcd`=VX*UNqaf$as32!e zI(9a;1+nZb&)B9HIiEID)uAEH{e8knS-zck_{0yS2E0C1j+#OFi&7jzxP0gsLgYCD zG!HO;;L{tJ+N*~+$cAf_Bad&Da#@U#M}h?}cH!XJ1P%`bTcGl1O|zB2NP;a8aLb5C z>H;N@PPhfp(6aSB5^R8S(juWwi)<D$RN!Wii3mIPfo+v@9l0z-*wptX<|0Pgxo~y+ zWk}m*P1K9YcZ(E2g&<u+EayI!6{(>jq|Te&!JxN<&4|kFgT&K_VR$GrVzJiJ-$Ztf z&%JeRJi&=>P-bMX3^lPZ8l47Ep%;Fo@*!4=)tR5bKyHwo<_5725q__P+YsSz;>;tV zE<u8wPhya`9#PlE5IEI_wq%)d<*cyM@vA}`{BlU(;X&Y7C=U;NP%3$N#EJymI+)Lf zzyoMm9tk!WOu#(@ymhBT)@4obT$jX4t;>c;hw~C4O_8Grk65V$7OL_IU$@E~PPkl= z!w6RKbi!bjs^0l;6;DTkKQsY_875d|#uA2EsRXMGpPQ<{li!1g7%T!C1(#tL@ems4 zfP)@L6)3tLQP;*2o<}sarOrGO;i@B*wC+)&BM71?5~K>$^dcgBVhEBQq4My<>}`lR zqzP6<@OC5-iHZz-Dk_hJx~SHc2aYP1ROiirL)aK3SslEV!1~~S<IE%RCL((1ub8qy z&8}k&fWO%+Zxspn88YoXrDnZ<v9r<Kae@~+TV^#Qoj?)n6wW*nEFbh)IktkySdxS% z5!I3;VeM#DmLx9{u?!KdGd^g4n~@|QOvBPazKp2+I!PR~n5;Y!yi~Z@>6I%6(?G~n z(sOn7C56`&vq;Y)!7;%>6_^I_${Gy|aW+U>b>)q9CUW5KMz93}8!Hmw(8F%w0v?!w zh}A-{+JPA)@v4=MUll0Ikw(P?R<8Cq64{9CV4xM0o{1=}*e?QsR!|3v1@CT5TWO{F zC)^&{;0cF_G-VizP(*pxl3@8t-nDEAGq4vB#;g1V%koHAQwBd-&?>aYuR~EzD*?Ye zsu^tLhz18c-NxYjrMN<KSZ^u)tA9Y^Kln)Yc3n@Qt4g)FrqNBC1B}ISgRW|k$WLO2 z)AJ{xQ~coAF2+)RAsc!4O&D%m6KAxBZ%5xW<0?3=^oldy(BNwUm!SG^dYSf{1-rze zOq_8QilR*YWFyY)FXjI2QtdjxqXq?924sx-amFlne3gdT`d1pg<BWayq8w{+2i4NW z{Y?Dy4hartkkig-yfUdtVq<r2c*ER1VyiJW*?34#>uuCXW{Xsh@%;?+yIn$j+Yt#v zjB#nkd&`rJk9BnYQFyj|4*!#_%iYE<9i30J3MF)gKb8_tu!MH$$wvDiIn|ZLaggiZ z30f1{b?IO{24#DpL{*C%1D|l3<rXz6_Y>r@%3Y{ZX(Q=2zF6+wHQ0z+U`$IkBI9tK zt@~Y)Zgc1{u`#Xuy$LkT7&peqN;bO3bu{|N8Od=*U$+s79*ptVALs!DBGG=gEfZ*C zp!EazopgWM;I<os;!uqNfh*jsD-w;jRduplk1XE~evjP$NaGKFGQu*Zywqpa<-6H8 z*k4l*xR=lsB-pz{<uFlIZhfI0pFCbLb>6huOVuaZv--}5!_dfilM~c$V&#vo``t?Z zOJCBkU1S2^j1T@sd*!c>vk9t|^Rs5-gWmI4RyFWX`xwZ@9!FWd)puJ|weYvW7pL)+ z`-!tvwU_WlvRrcHtMl}#>Ybn|kh!foyffxd-&9MT{Y4Muj+LU_xNyNh%LhtE)>2M+ z>+9|i7$F~%u@kNDd#EZ?5(1+)IdkH?;E}TjF8H(RwgXXb>&xny_%^s(d5ZOcbl{uk z7k>g?DbGr=#wp-<^n2c9YM)kJ?QJbRyHR#SRGr=Ny>+fXEIs@t`oBQ${{THo!2?RQ z*<MT9U&~=B0w?KUf2Ek9lQz%QL4HTM&b7um$E|wrnr$q*X<PJ?a(C$cDA&*y0`i>S z+x}KN4gUU<Z;trC(=NxKJz<w}SArj2;JfZsk5^T|`sVsy@#7>I$Hs`fyb48R=xl-i z*Sa>teGQ~g4wqLdRFz{M1OL8rA^x5mulp{l*RBkC_SN9Ps&bUMgj_!)S3|VJHY`3Y z8D(RSJ9J7P+r^8}O7)_m*>>Ya4xp}-gOar^P?BN~lIWn^BE;Z&^HFaObT&dd>jSCq z7GSWxudCx`Wl(}mZmc4=wFYiqh9OacEq6Eg;ChF()wB%Av0kY5*ZVkVy9`KeU%h~T z4|zN9VbH<)cEoF%8)?*sCiwNe2-+?KQY(Z$Hwg)L9{;=z)|U@`_^<TU0@~y94rsd! zNG%f15r4B6Pf*@L(82onfobjsY#&XtZG9&|Qy=SMxE(^YOSJ>@7{3v;<vz!OFxM86 zJj(rmh~imx*3c%G_F<w@%G=>K$St!OAlt`Kh8WzBhUkYqE)9@p_k(>3YPRNTig^gC zz$S@7hl4h(rM0f2kZ$XX1kE~w^+m>5_nnZeg00WyZ46HTGkloXmO!va#Y&+MQ{{Xf zxq-lMxmV5)Ixrx1@%K>pr)VG;>c|M@xv~S{Qr|-f<WhfK^$nRo8#uy&@JD=@Sb}59 z^BjGc+E(I2w5|bls;~Y#o=9iCSyl2^gQq^859?rjDqVs2-J5ttT;*Knze1;{`EOn^ zUos>3T8{!%FDIE+^-PK7roJ!Vi-<vZ6YZtXl$VIb%4a3bfZSsL%05#cvi&%CzZE<$ z$ou9@SKomEx`pSnS*G_CRNr?1KUsL&cWQ<3>jm=!w+p@^NZ&W=`&#fv!3#tjP9=g| zfAocMVe_Cozd228PDFZ)@O-H>`A)*~;Xe5k;gt^+%Bk-qAe|3+sYiWx1U#SMlV2_6 zlus4-yM*VXQ|50KUVR?{{7&JYm;8Og9}xb1;g1QgzGs5^z7byeW`X}n_%e~_Yh_tq zbt3ZliDL3@LE0mb?=IL^aG2nDLB2$matj1=1aA}EDENrr4#AfN4+<U^{EUdh_bb8g zh-lxhf@MV17m6*D>B`p&e0|}gh~V21p-1_80eeb*f5BmbwvX2&;b#eE5m9b|;2KF^ zFSto?t012qvprRPy!JC4dX!HWQ2B5H!>|UK-bAoJ5ho`;$|VjZLf>_Q$|noF@<9MD zk@OXU%GUttd_ynGZ5RBPARh=b{g9yYEdYO#JjP4;5CCJa@sMW-wgKheG~~JW5_!!* z#OYljuVcx_3o64B@K*}oS8#yfaKX`n(*<V<&J$cDm@9aj;9Y|E2|gkCjNop;J%X<Z z9uQQw_tCzi!ha)pTJRUaVnN)4QvC}NRK`On-&}aLe}T8ZThm+8`w1#vP~_X+smYLZ z8c%TCZV;R+c#GgV!3~1<2tFyO_C1t;RruEhX`n*A#|3#_A%9k|NbnaywGSd6Z<bMV zG-08<?Yq@X_?CiIeYj}jLV2|x0tX6j`*K|)e7d08A0cP^axIc{wND~_mGEl>)&7X% zg|AlUar21aHo+Z&y9M_P9u!pjB+7jt{KtZ7zeM_X!k-bOr3&j&`z6rez5@&stSPAW zO{6yxzNw)4jug_{2v4I7mcLSvFX$$(Y^8xigjc>};KvBB_F3?=guhX6kzlsqO2JzM zm9H(z-7ow@f{zMr7u+RCdm6UqfS~fd1)necmxA94o)i30kk&UWZ^(WZE?86WGQq}z zd_M!_+X&KVhrI2pMnfI)YM%yPEBrXYDT21I8tr)~uY7WWtAtnkHh7x(F#jKdYQF~m ztnf7YVLnZch_wA7($<Gq)hF$or2i=RU-C)AKHm{*o%=oQpNPsw7g*^$0ABg%0@Foq zvfy;VEWrhWv;?BQb%GlN?-6`ZaI4@`g3k)7^99Q97yfO*_XTNJME&0h@_p{)m2Vo5 z7BA#O1#1e{5o{#bRIs&RtRQWYSiXl~UqR)ghV<dWj~1lq66I$J&J$cDNQ)-s-zKPh z)xd8NUiqql-z_}NoG5=#@O{A#1V0u0QjpeAl>bGrOpt$yFuj^!4MAl8sXiD*a-LE? zxTxa@_b=3o+Y-v>!aiRo3*U<fxhcYD5+TpOS*A>0(0Rh#P6?HKFuJ(i@oom|Hz7X0 zYgag5bnn(JQ6>Ko9}ND{7vzJ{2!ENw2cy3;wSH=?R3rDb%v&-el~sM4RJ}!PYIH6w zwOi)4%bb{dWyY{(vogcZ<v7;5>Sk=qT#|7vGsWvnZZl&~#;h6mYE|;K8Qu*2igPoX zm#pxncq5WwiLHm>n@y2PAw_Ou4{Wcm&i`s>qf9+xY-a6@O_{wjPEtdYMl)7qjHQMq zn`XS0adL*M$k(%Z$sN?uBr-lS$=!)%<MV&NBug}!sZSg`GsPR(WYf&v6HkJw*QC*` z6%)tKO7S*qvT4?96Hm_CR^+JGyksqEXwn43nbb?w@ZOQ6Om}W<#!Z>CGG54B>3Sk# zWV4dYjl19lpr6eMDT*}E8%M+EChn}A*(hUp=Gcr4nVT|>Wa`~>cAd<KOpNTbtxC?x zjM_6AO&mUB?8FT-HcdP-!$HZ3?nHMdt<9Mu?ip=UlhkM@q;>~ojdpZy&s0Z?aT-%N z`qy~dwA<~~_C1+8EHcgOtbRpmM3JxiaIfpCsHnqU&7GUM66kbSpK<W~->Yqms#fGn zZeDVm_psNc-8v)WoU4wbs9wb3a}m`VMuimBGoC;nHupR1jnG~{uQlqD{{qIgc79@J zWK_e9UHBc7kvJnVYGcOpGvcF8pw}_R=6+#C147;T-7=G+qB3@5u8EoenU5OhW$c*o zdgG5Xy3O1Z)o|jjnU_UPm}nG5R_iqDMB_uKeb=mN7_-QzUR^i$JB0c^Kf->X_1#=W zdgx_^h7o=)w2po*wf5!t=+CSP%{oQBx+@Gm7g!Znx)P4>?6ni=%k-Y5QP1zP(r#f& z#J#C+MP2Q6-UPb(bIl&y^+w~78LotyMNWqn=TWt6OP!cG#v9pgWvL#0yjJSjK4AmA zk;^hNXJkH^c`kEBsW!c^R<E=D^%)r%nJY{2X_8tgXM5{UfE#*tbInzyT6E1KU&2wO z_A#)YE6!zLHEXV-$PjvdXoYv=<UBXp8##M=<}IaR(MM|aKHJC9&l}l3BNM(68Ss99 z2Sh~tEvTmmdHuD6UZ*w@HR)DO;9cNXp>;=Vp{8W3p4%!8qSUt3$S$nqx_s2IY+B}; z($MI9w6>3q8k$V6QbU;Z1T{oXM-5^6o9J(E2WoI<pa#uqok7jecax=0^-P1lh>6fw zFYAQ2AzIudeod+F*H<qxcx(=O8-l04qqVjdIU)irZW15a#Z}~XvCWSjfp5<mJF36w z)RyDa8{#@wefNdNB~^oCF?Y+hosn+np=$sR`WQH3Q&oz~hr)&<L|yyRO3Kl70y7+8 zD|q#+UbixIE>oN#8=0NP!oyanl(31E`GP5n?_r87WT}F%egN@CFq~o4S%)+1Uj*GX z5Aue~`7foy$%}Px`v4(*nUkqcLrw_)7&C6r*8xJE$JFmA{Ni&{zlQN85iXkd8O32t zbl@{kb#akql!U3j$>5;Cdm~1vu!ieaYAy@oonC&7>LH*}9`=)BT`qoj(Wnqr5w5#g z)+cODEo3~UHJ29<*2Gxs;`c0Fr&(sHYl%{!ZvSgWwy;hYD>80!S*>xoc*n+ACTulV z2Fw0Ugd<$_$Szl99MO@k3oL62ThG;=xjCv*M|49B274dE(~YshwHP$KBz|%&gH*Mv zHRETOJs|um()dMKhbxh4F1R?7904^Tze*)87jK~$UST6#yr*guE2V6aYC?%Lfld)z zG$9YMQ>CuzC>pN6hF`rGQt<c%myHZM?|<;iTD?iQkrGjZQYjpqo@7IiuJ<9k7_kOC z9s05LTM=s}Q#6%%T!=Nx$@VA9*{P8=5?`_!K$b810E<sqDYhZxYJQ3|i|q%t#*8`m zFcLfdJ-AvNIlgUTBx0mK0-pkkgaP|P<g`Q%@5QKZT}jSxJ;Bai4XwPy=j|UBT#R3S zC`hZt8+QGW^K_-0MM^PxR(lKbsn}miPoz?II@I|dGU}{E%j)VQ@oU@`rt1{0$KD0~ zaHT<JK6DumgzGw+Vr94W3yREg>RE@+5hYPZ{rHuk#$HIR!zrw<w?|Q<Pn50)Ris3f zrr)qjm(LJYr!BM*qgAiov$|0e$Gea&wLI<5@Sux-=u1WTa1o8d@ui;bSbhIPUo5ii zziA8}8DuD4+s?qiScc(s{np>GXt%7tVTjHi*RPzzSwX;%;u($gSpXgYw6bNo9qNF9 zWi5f(RcvT6dPo{hh{fE&aFDQ?)5uT$kYK5ct-<T7f+Gm=STnG|Bv2N{n#=qac%6|y zOo=MX$m>Buv7SdF3o%SvfU`nkEA{CBH&zDh5ee<N*!bNJ5}gqts42ns!0>(xY-<U; z#Zp`4h5LL_2~Dc~6jSZzR;uB*q46O&z&l0dk>t&e5N(+WmgH7Mn78VAB%TiB)k8Ow z(~)*PAqi2ncoe!eMs*L(N6S^<xq{n5&^LjYWDO4Q#;MQ}zw9tZmoNztHAm!;;2<D9 z0!msdMb{GI5tRrDb{`__X(-x>>Eg7kvq-`nh-$i^ONB)IS{05UOhJTk=Yl*EtPo73 zzYhW7(Sg=2Y^YktKVV={+eBHAT1_1tXzFM-)tae=5&oINQs5j}O-;{1+c?~qR{{qb zwJ*!#Fb6FhYnZWc0>d1%ifnxjZK2j)3WAQHf}V&DE!$-mB#l<9pzfn83KJ)ROEfqH z^Y1HN-y+-b*R}8T|Dib<gRaeAFa_pGahVt+^=Ie}6BlHHLq~BmAPv*;h6Yo?rpbI4 zc4K!BBVw9S$89Wew=w3pje2flwzAj@cem`=vt!R5(d~?XnrV0q{1{_yT6{dyI!5<L zS{%}n;V-Hia}}tUy9eIz-jiACwe11QSQwBDq+W`OX6gP)J8Dvh+GudN)O~r2j>ckG zr@A{vUtv551JhGl8f;NJcI=3@TD(<asoUQM)e!X)c+YzvP1U9{SYFk3N{j1q-AoP) z2Bpq4+I&`zYt}NsnCHgp^Lr$AOfV+8`EK^FVda^p;~n~+gV?H1Q@Bk}YdqArA+2Lf z$7uXBK11F%4EhNRRSh=;S{LJQr)r66Sb##6{``QX@!fK(r4Q<=x2lkO`8`{pYS?P! z`1x(RN^i`}PBF%@<!Q!tJ#NV`+<fQ^d*9ZLyTx>D-l7BOxEB5J#`QGgknV2YadGqL z=*BY^8~7?f-MGc=#vAj-biBEFLPFccHxFr-(9YNn<J03()jT!9sx3FOn&$jbtx`X2 z$u8!P$~6|PB>hLlhfr8elTv0)*!JbtB18*t=S9~o!#7%uW{m)?&F$I=wsPvpGYzx+ z7Noc{qf#f|kTrYBgc}ylUNFHTnGrAxt@PG$YEiE!uWDLaxlC14(yJ$-HGX^2O0R+c zKW8QSKWGf9p3rB`!02)ue$V{Ve{ZbPRLr-nJk>b;hGl2gq=k4Ne`R}5G3~^dcS^)W z`{&CtzZ|(>;)1FExB6e&hI7u1^KO_p8})08r_Pw3xnRDAZcvr2lPy*Ygx&iMLr=)k zCX=G-js6t9$YS%iZ9jwdaR2xO*XFnDL_J+QqatgWEBj6ui_RC*+Q}BBWeuD&d&Zop zDHyJ)^R&OF0cZqHRl)Y3Zt!;CIs78~&pUAT`%mmP=S`g*u*I||;IIE8d6=H@IzZXJ zaJ+a$9vsv)01{dtQchhN0ISL|kKuAeUSR#6Ts&l|)*F1SWnYHz4?)}__lIL>a2s%! zAyS5s_4P-z+W=Cd&A>EUNl;!N(82o3ppO@!)W_!ow!V=;Wk6~dPzkSR?O>Brkzmuz zYl1%#-FD}L@+N`~u6J28v=MTwm+5xB3xdjk)RJK@;3gr#u8UXO!TKUv;x9*}QD3kP zCU30SWk71<p^tKQu=7?SAy{9NAblv}Z{H@+b{XoJjZEIPu!Eg<4-$g)wTC{=6WbST zgZU_Umf?C}xT-eBu!=tB??4Qe8&~hrHj^(v4#l$oD!c<Z-tDr3-M)8`VAITV;g7Q5 zHEl1QL&yv6N9Uk%folJ_pxOR44^m5pzK=;ru<If^>_j5$&a#l$5G4NyXT6*s))_Pm zS{Byn6r|a~)@SoJ2B-he+f4F^351JnAcIGa{o%?Cgg^2gcih+rTCW`OKrqykwqTwk z7znhP<Xtt63HKJ-JVpnym0o+@F`47uvr7J;D!e*p+uMI78%H})N%$`Scz&MmzuKvE z!Y6ad>q+(^9396Np@pN%Ip{z**3)f7bcpXKVLC_OfrE<YKH3>^4H6-$un`eM-iipJ zSV`|DsGdtgexUHf1V;-_5}Yo$K#=#+S<fwkw+e0)<h=vtKO?wX@Fl_51rG`43w|j0 zm7ua)gr3^yFZH<vmE8~cp2G7@9n2puI9rf6XqnF2pu{}E?Sjf~5$W#;uU@VLUfJsa zd7!eKdIkYZ5Wbh-P{Hd3ZxB>=G>~5}{C&g_*g**95pifJJ42wdGlcv;Nk2dYuk2@# z|EZ*ZCF$pcFBHB+_-fcNs8_we38?I1pyzT)?;^;%DQwRu!TEx>3qB)gzXRTW*SmVR zG4$E?d>A36hkF+FsdWhCaYWuN7$ewDu%jT4HOi$34ip?BI7)Dg;6%Zxf{O)j5?m>$ z)+O}bF8l_;t%8pUJ|nnO@I}E_1>Y8YU+}mf_jR`W8^P0pKMQ&VeS!wp7v%Z<Q(`m0 zwt^i5y9*`@+IDOMg&!q2MsR|lve$)PweEpDpRgXa{((0O&s&j9-zaFmi~K3!cL_c( zxL5EE!NY>Q-$p$j3Z4{HcE3nJC47M(AEi;=D_ACIaNQz3Ot7I~6TxV~%LU^FI}7q5 z3d`GeZ^MKiC3v0SM8TPYa|D&0Fv>3#K1Y!EPpS8I!3~1EL&@~bf)5KmF1THAmtfU* zp1&#ShXs{g9Q1u8JRbv5{||yc3wi~4LBM>cV1!_#pgJFf1&%)~KTBS)NU$Ce7h$~S zpuWK0kO^J>i2cbG@m>C~{YeH-z_^##SwS24%+UVCJCyb(xyt?|-|Jd`u?0%&+}Pa7 zx!b&&JFD4@T-Ui?p?R)^oF|duaG%R<?R6x#S-TBS*k`P54SSL&L5I5atIn-WN^R{8 zO%5x>llz`7ydRG?99nGO{5?Ax<zA69CO1B3Q|{876VwpVXzdF*V^}_7)7s*k6Kh@F zP&Oj6ldGqm)Gq(`<tg20-4!dwtZNMmg-z?0t~dcIJfhL9FRU1IYin;r#HL$|SDd&t z5_)S!xI0DmbR>Onq;)RZ<p@FB?n&vscTd00d$njiy5Cus{=6$<FaCHy+iMpZwdx^V zE7T3Xl{9087N-?nw*r<1kt4jW_WjXky+u~DJ5u_CgB0x)9C+`c(d=Z#PDnHvfnN0i zI$HDr<w|sQx+5j>WSuj5F9Q)0=S1S1BOxH+IYA4R6lH}nFtryb+d?H3oTEib>Nt%S zDqV}~(L!ZQyVTdu57nZ*;niPDeLD3Dud{i0)cRfRy^dNhprxdr#^Qa!>k`@tIwBJ9 zWW93e*?)wGN0GX7*O=7mu(x>{GpMym%70>KLhib#J9kCp>{OVZldzWj{W;IC-5IrR zm)`y399L0HXh=~VBRc=LWOu4EALk^jQ@U2{RG7XZ;Z~(<#ZHAEuW)yb?BwYF$&nVh zS2t@=XlN1V4ja|Zg*R%OkKQy+ZMH6I!>+{CP>gW2*U`9Iku&sT*r;^HnD&lZyUW>C zPhxuQe5Cx)F!i-_HTAW-+(-fc_G{-Nz>Upuc3suPkyL;>x_Mo*AI@!1YW$R6_j0V6 zhjZuTZp%H(l=Gj|ot5)&Ze*!Z_(t8Qa<=5Ib?H~sDb*lZJ@xw>T2{Hb;N5{(4fp1p z*-1@XQO;5L8Z|Z9sx+Np%1=k@&dk{gO^(9X>plrhwM(_4!*$Q*xVpKz=vOYuXW1=~ zWGc$G4=#JW?i?xmX5H<eYL_~S4%YoX2W4id`oOW}D6@9k+B0jVz7_uZR`}~%;p!II z#c}0Zun+P69q$5l$L8$uI^t*H*QssG(R=RkhDOGxp2@-JVm4g{j|;8MRX@M7ecI02 zxs7tJ$-O>jL++-W<GHTH&vRUz^zK*v{OtD1IiKg$UfXDefj54RTstV|-nDn+9A6um z=;)4jV;b+}e1GKLQ>>j)+Z$|~!gI8GW}T}xrpiB#hq&X~wkd;<>3EMRwrz@XWa+}> zF1$8@nMzY;FJVg8nJOi0Bu{cb@n`5VrZ|<khGPT;huxu4!g?bcwkbWxxx#qa6tGP> zXNf#Y5#7a0OIV|<P>IejR5>TFV?(AgX|m31rjWsu%GBqAwrx`;fQD_#0n0Y!TMEAw z#zenu%0a(vN<P&d^4q5HGSoO6_Jb03x@NKL+kV@W<IH_WR7JR^vFy9T!Zu|av)>Ej zFvB)wT##+bb*@!XJwP+A7uM-|p6mp_ZOV((nBlig8ApW^ML5D$i|iyZ42g8{(#)7F zY&{pR7>p@ZZBynkb*gQf(xTcj@U%^du4WI&wNw)$ti#oT1zJ@*hE&?7r~zqR%^DD~ zO}SiHu}x{Cl+rduHKA=a+T=K*{kAFlSV3$x%QmGO)azG40Is~ehDPw)ru6dLrr?;+ z^xmwL*UOe|iZWFh50<tmeL0?NW6i!4?MKnA&}!MHC{vYvU@hB}{>=TrVqu%2*zqV< zlNWauOE)QHn=*h(ugAqjt%fWF;$qtrUfcg0+my$kHP|*~iQhJb7n{MhDOS!>Wt)Nn zsrKv0w{25Yo@JZDW2};G3NL1jzx{@73P%REDVO8dxZ^i$Q$*%F>Y4LLY*TVEK1SzD z*rq5=|7)8<YnlJpHiZWOY*X5B!t78F1Z-0X%>HfL6f1X(U5?26j4&!7@XuXX9T1Wc zVK}iQk3_b`WaW{_v6##}5<eqaZ@3~gN7X%=Fb@&sv+_u=Rxp`)tdF5PVmczMF$l8} zk+0{GSfrSFunoaF;~#<{ND{*q{#^$X5gzDnM7+W55diNBp!Woxd|(!#=aD#SF^)XC zxx^yE;)Bo~QJJEU=&KkQ$gl%0G?e-Q^;Dbjx-k;;2f^F3^t?dVPy!8Afp?+k$plN9 zz$lEBOm(l)8sp)1QVfV`h<LLg!Rr2!P=EK4UV(J0w__Th8&Rq&OKawlu)02$U4If0 z)e?3f;^lbDR7cooXdyIFZHIOe><H4KT!pUqwHk5_ArldARm)aZDpsq4Ua=Ms9vx^k z>{Te3rAjWWnMZ;%h-DP$!stL3mW2j&Vf+@f`9;-*kpyeP<}X(h#>s&e0v9B#XF~HX zTA9}DVVQB@txk_7G*q2N2@+PPD=$klQ3ZP`)zX&0EUH&buh!MhE5j$dUYN&(+SNL= z#dsN&rVT&@y~th-q-}+lGL_-_4-Ub6rqcB-vj6g?GWeVLkDAK7t_4hG;*_aOUAHoo zxk0@}Y?d2VAqGrkdi3nkb5KkZV>e7?@@XoQET%F@aU1X8Z@}F!n90ZAd@x}!<|_D8 z4Q5!6(v7+lqCSPFlW%Ez9L@MrbG^v4P}jGiMom|pi|t$D6Pg;;-GdSbB@9Y1u8T9G z(}s2HWZaP4Bc?}mkLZ-f#-}h~c|uQ9nr~1Q^&qXxQWT}kR;o~9!16~Vp<n5KLXS&~ zUoxt9zr<0k+QKTOM~AW9jHu*}GiZx4WSpUFQF=8op20f*2vamK&7bwm@@Yu2)XWQ* z#>}8zWsahnsD6|sjIt^jr)pg^hM>$*{)jkgQpHf4vDQrM(PL0z6XO9h**Hyuq%;^L zfpZ(*VD^cpflHH-+RoU5#qgB|lO=z~CM6gb1COtZZCL(|If^`qNa&)$G9aNVECT|< zNU8Knv&wcTa}&Bi`hUb4#ee%LVB&!W+U5|y{U)>jK^v9dvr73r(+ss|uwT}5Aav)y zYq3IQmZ^v}dH;R$75}hfYJba?<u}Y%{EewJV@$pHd6v~&+uG&Q_E3<qr`!L&GP`QO zEzAFVHY_ngXIGwFQ);$Z6~4OK&8}O*)`aGUtaYw4Zgu<#b}ShFzx>O@@OT{8Rn{|6 z4qIpb1O;4&sn7zyl*9Fj3RUHp$G|@s@o4pT<>DbzwcaI|D?8X^dnDkIoIf<d6&3&3 z;Wad67+GI`M7s?jwWpEsN9<VoLSGI#NqwP+w!W%%EOpR`KVrv1XNPC)L4rQJO%p)} z_hWxEP0K)Kte5F_y$gcMfYeUc&@?v*3AR37SqJNjiMF0!P+xUKTi+Vcb{UXb7<>{U zNl38sR)G)J*EvWZn&8*B3A9~?DlUV**_Y_=J;)2z*BAOYPi)`6vtwCR`;XbN<kkCQ zb}W(g|Ck-iV94?BP&;t^7!Dx@_hWF-xS$FCaY3{FYaXPw8v6LW(+>8S5$$7&c>|*U zm>tWW|FvVmXmP{?;Xkos;d$UswqsEjD=`bSm?@Q>1P0l$-~_>wd*JHPe*wU2hu=K! zvzN4EaTCGtrX=lHc;A|KEUyxg&i(4ov}3uN`f#w16U-32L2#a+DY#m2gWyI%-U^|f zX9RZ(z9jg%AdfA|<qLi&_?4go{bc_C+Oc39unGOYX2-%C^?c5rAvj%-PgIz$)+3O2 zTga<*30x)oZG!6sdG4az1A>nWJ|(zI@Oi<#f^P^O6FedKh2S@WKL{2I77LaOs!uYY z{s^vXU|qq>1a144mcm!HbLlGSNrHU@`wLzzI6`oo-~_=;LEGMCzVLK9W_xl3=@?7? zcEJsT+=rOXhZDqS1a}JV6WlMz$0d~eNbqyPuLaKus`uSN?t<{@F(G&zCrXwN5v(a# zN3fBgdWZ<Q7~$IpD!VhJcM(2Wu$Q21|1v~)KF?u&8G_RVX9+G4Tq4K^K9slZUG5g% zws%oaLy`Zeq;D78CHR8iD}wt44+<U;{7~>y!7l|*3o5%d)Kk^&#V7gdeNf1cFs%04 zb}#BZP)JwyZa_L_vOl~lPD~I~_HW>oPasge4+=O?@`niWkr&HN5?m~Jli(`BHG+Q^ zyi4#Of_Z}K{1*0~+vRlZ+_|eeDEPn4+r@q(OuWjq-wTt_sau!M-I?_J-{##>1A~es z*_priOC$<cwDJF3wL^<}#oKV0K5_E-TF$BGoA-5Qgl$fl_}nj=**Bv_e(jT>Zj8>y z?}U2!z8KxDt#_r?PK`>{qG=bBvCG&I|4L4~q9YN5oxkKvU7c|tLyI|gI(+czjPSwx zL4S9;8t7_@{^GO?v`f(^@oi9LAg0=b1L#XcSY4=t83!bMJGd=sCxI*eRqUGtbliB} z_dtcuT;00Bms{>LLq0#{YgOhmZ@K47-~1Av=^FB}Z=Tm@u5}*swYcCjtH1oNZ{>NP zdE5NAe0LZ6%$n_9^IcouGuMCpqVMf9KC{mKyM2Y<`OJHUZ}+|VmCwAa+BV;i&wS?n z`yTS$a>8deUGfj#jUV{TEgkRiReR58#+<&xH|n6zeEh*&-|*LcX8UVa`YK-XnLBDM z^Ns(f&+Kwwp>OtfpZVgl8-48`_nB99p5c2i&u1PuH_7+feLi#0!{dE-|J`RENx#O| zXpPStQ)ifO=yIR=#i4<|t_ywU^c8)4@6Pg>KX&ioYcR=YE-CEliyY%K^=<LKmxlPv zTPMW&F6-kn>t7b*i|LMbz1P(Db$g%L?v~4Z!&~^wfAy&Cn^)gw_W32;*Qc7#%zxVH zJ5W|(UO%Ox!uw-|`9ouG#b;kvm^Xb;R59<v3N!rHa}_VVRbk$r@?FJ_7b?tlC7)M} zeX7EIW#@^CU7IV+p)-zFymEVm`L+9<ilxgd%ta>-RD3rF<<{@5FeX%(Tl&9HarEj6 zv#W1c#fY92<~z?nU9qY|g*j!;V--`IRG8(hwp4h-E6jU8+f<QUTyDnSb5}*e>2mY! z!M9g@bgbN*?p#w5_j<YMd^xA0+q327*7?~Lh5slwd$(Iqk)B&_e);tc6^j;>n=9_0 zUXhYfZbpxoSg~(Vxp|=4xC&3#a&yLOqblBOQEt{)dUeHwh;s9}_yHALE|i(+-}kP# z>&r6JvE|B&Zimavr>^Z%aoclc=IB}-EAHG}W@>M>sTg>3nfdhcmK8g1fPB{`6^BQc znbkb?EAH-5X6|{kRz<B=W#)|Qs#RPWQD!!&Z&WloUuwSpPFeZmCri!Mt1pzF+FNQS zB^Q=|^k}JBbpA~Fl3Pp7C!YGI{Ozn#bMoZR%HJDZYBp>9QTeK*QuC9e`Q>Mtm71H@ z9WKY4D$Fq{`^(=tRbn<Qd8NG9;S%%Xo&PGI`AmuV;EbK+{nnS5lUh7geq>&W+4kfk z<uyl_n1$;fEHCR?V!kk7WBKNWCFW}F&hj6Mi_KwsZY?kPxY%r#b#wWamx|4T%gu6Q zbFul#7fZ?;E-N<I-#4%PvvI}dl%ccBM_pNLUJ*8}{HCa4Gji{Q@=?WJ^UR{L<)44# zHQ$IES>EV*ubFphaCykxUUS)lspVVedd>0I^eQjB+H3ZxnN<E`JFnUN%}(WcF0bia z-l1Ik?pO0{m&?oRzV@qmw7^|{eDkm7%a2Btr!D!_eEhn4<yj+tHSexpvphBKS98_7 zVdd|+el-`|te1y<bHSY6qqMB><qPKcUw$sT_1+8Sh-W-yAKiGt95nU&vSR}-nEjf5 zUAD5t1+({upOk%7{EK<j?H`sE9Rc=xziihNznHzt50<rB@r&8-pRbn<81suc_=cCu zns)rf9M$UivaKP%nAd;yY}v_Ael};`^F-Ocoj;pPhCEz0cFoUbZpZ^=50C%Z{KqTz zmOYU0v-#}8^<@J?e>UIju&(T}kI$Q*f48b^_xAH<@dJM=Td?xH+2ESRW#5iIZ+5LY zw=AUXdGp#gXO?|b`jdIn@+oEG-uubi()IeXzi;`;Jmk5yY~j40%=3>8FRR}7C(}J) zP}#`(Kbcow)~{^vsUkDy{hnnPo-Z<=ThqO4_?jZ~%vFhH*Iip=w(zzqi@LnX9KWMg zS?+~Gb5myXvd0e;nkU?i%9h++Xhxl^Q&v2w(7b*_L|OZULi5pqp=GsvKbjt0D|_Uf zAI&RXEG|8}=|^+Tyz`}BP5aS25nE82+v!KM-8ZL7zw&v^oPT^-`or5E^Tf!HOCP__ zV|I!-R$6zm$6UYv-O~6tk6F0vtx})2z#P%#wbJEp6qx@ic(L@^+Y8L*kL@nqaBYFP z_WJFm(Jczh3k|lFPCxsDIqm(2O2<F<gLz`jKS~|TelUmhx~Ft(-yh5a-aASsMf_l1 zwKKQ0@v(E}D>GJ>-u#bqW{;N3N*|hZ&fNF$!qOYso-_O1bz|wdAJ3Za4Vr=1J)Jej zIwzNw+;rAF{qp$ITYH~1mn^)d^xe?2W<=bu(tU5AF&{oPuyo4$GiGvLpVAjcoiR^d z+oN<}!!zcR$Zn-eKK<Tod?>#3%e?Q+eJf&1OD279&Pa+WJ<#fVv)=iprM<sDZNC20 zWu<eTJZ&zRQoD5U?9*nOrqxS7>2TWo@<V57lOIo+|G1-~q{fa@=9vE8k{wy6%tqQz zB}T$2^RqqYN?b+XnUBo<uH@An-<fk_zbI*y^_`jg&54p89ltXpHXkj)*R{<rN4-<B z;^}YAf7N)i<ms8;nj7BSTe7yzx8@x4g^~uRzA=Y(f39To!{3;lik>d%m+_4m_2gqE zr<#0YhD_d4(*5Mu=K03=mt1+z*XFm!?kf3l*w^NX+ix!!9r3l9pSq@G)>~hhZ~Jme zI<5T5JiI5n<mu$E%y;H4C^>%NOY=zVoRXb8zBE7nW_n4FnO~ZxH%~0dj{eg8b@aHB z8J~V(hSwTh;=1Pxv&F%yOU4fQ!n|_DfRgl(FU+w?DJ3;t`P|Gte`U$s1)rOFPj@M~ zrQPS|!KocfhJ5{*`E#?jCHpsiW=5ZAS@OfxpPAQgXj1Z~^E2~~v<4-^U;NZOXhfFW ze&eTR=quGqvSU6q2P`s58lL>byrW}z@$}n2F+ckLLh<z8pO`UQ3yT~5^0B#a+}Yw~ z+deiA*Za2k@8do;TfX;M@u->~o6FaHRD5{fN%QkwM~Z)%chXELIb3`==A`+=bNh=& z9zS8$zv0#5JJy`Qd45lEcJ~wJuV3sezU<6L=A2DW6;Ivtk$Gmsqs3DOeq?4w<Q3N| zK5m{pu(5dIw&Uhp^UmVjYmb{{-ES)%9D3Yb_tVYAukHTOZ2GiWe0tJ{=I*IWi(ifW z(7d|&{NmJCkC_E0W*6toI%clFb6WAthR4iqgENXl4}4&L9g<!=a_$G_hP@+;hd2Ge z?7MVGv3BUFS(@0tIAg(4^It#oDxTN;sJZB|q~b1zkC;gror||EIAS`Y;)>sGcEo(| zgUgE_KA3MlczcWDcJuPh`TZLgPi~xV4%X`z583~|+4iNH#oy0<-wa>qD(+POeX}sm zQJnC~d*;XAmwLaL@}7BU>o4A(5$~BVjsMX*^tpG<T@B87Yp1_!ZqNVPyGjGD`_%jR zWAB)|`X2ZGZSXthOBL^Xji25&5AHeSz4E@d&6D%q@J1)UZT{H)74Iuw9yY^IKks$j zeAsOJ&<<}%+r#GIaZh@8y>rN1Q2!Bc?W{xQL+?M}b=N&)9$kB%_p5&%G{gFC@QxaD z&`haV=UrU#mYK6>wRh0wx6J+XmV1x%c*~6Fu*B>7;!Shx>ABuQ^G)-~hh}-#y5BUl z@l(AY>^oqNYcRq4e#QawrTj78|Br#|aHsl@!VMxKq$re9qMahLva&)`DKbNn;_mPI zpi)`c2~k!WC@UEu`(789Yh3Hv<JuP&CH;Q?!TY@Doaemfea<<^hH9`c=;}&WQWbb% zbZKQmrwT0nGg|rBTM68YbXR1MO2EcztZ4741b0rJSrIS%1$riqt;F2;1)Ne9R~on# zVCt^?O5Upq0Po(jVsWejh%tAp#MG68kD($f;V$LC*i>LeXG1yQY~HvMA72I{e*Rwm zq*exWD2vM;jGtic%*=B4gP-8T%-Hf@{-414<G^xrQYlby>0Z8}Q3@vZwk-#>lz==| z<Fe0#67V#tZdr)01lU;pTE3Q049*CZEL)x}1`^fz%fD$wfCsXdZ#ox&{_`J~@BRJ( z8kdrn4~72#zrH3c#~l6viXX%*7nT-+ABthiLDvdF$-v9y?GxWYRl<{HBkVh9xrr_x z-SHiaNRXB*KNNt!^vBC0S_MGnrN^>Bb3V{EbXm@I&Ihjl?3Trr^FU<L&E>s;d7y@H zWw}>253HXuU$)H71)5Wa%a8SP0ro*>*`PHC)Htax*Sh2Y$-OGeYfImN8|&!uP{21( z9(8CLmih+vUf#D{_2Db<6W+aCcJ3?S)JQCQ)Mf)4|82{)*4cory=A#=Bnw<z{l|;O zXMvi$RUZH5EMWF{fp<7O6EKfX^M3Em1TJHvJn-cU_?_I(%hUJ*qVIO`;%hzwwLMJU znQNaxPaB<wus?wp;k7){gHPbRMFme``6HMYEav(8e*{@odAv}OkHFhEi)R^|@xPAB z;FTT70D>#;c>`ZQfZp7AUY^<q@cmIVPoq2?#2kIa^D<2b$k+>>bxRs>OY!H;+)e{F z_6SdXC>30mA@WonqymfoMFg9h0xn11=V?JH|HsdnXTC87xC`6ygkK~Bq}G~;h$e&A zPcHKkquzr;T{E7~p7((L$AGt-^bQCYYV%GUdIxmy=Xg6mB>~c@)4Z$`Ng#jf2yZ1P z5&X_L$XirP1V;Dv^4=A_1u^@jdF$G5!E~=UPoyFNn8yk88VwRamNh@`LQOo7-tlkA z*(4r3Z(3P8M~wr2gSbnj=5c^xGPShW@CK~$jV|?Aya7)u*h|F5Sg-?QEmc^?f{gR6 zOQnskfx&Xal85DMFp^udR8NlqA&<+KSeIge=JBGXI9fEAo5)=fF^dMD(=(S&*F^zO z=MPI;E=GZ~^6!^|D<i?C-ngZz3z4AxP1I6mX$1IY9lB(4J_1DUc(D{!5DwsGzol@M zaBx2aUee7919!~`OG(OMz((NF($~~i;7*nMlFz<Zz}e4fY4lAf@X@hd5|RuBFaF$E z8VCsisfAWc?gAnI=cVb=d*5I%t75Pev>F8V&1o$i_6Y*knQBXTP9TW%IJM;C6bL#F zA6eSp^%CqKQCRZ7@)F>Z<(8uAUI41S)Y2{87eHA~e2Jd_9K7lhT3R^z9Q=9Bw?us( z0K9IjFJ6-h0Ams>iy^_!fP3@&B4y(<FdH(txQqA{pynfsE}SPoVk>*m<?a)ZRnxgB z+2RkbJZ)Jd8To@hdJT(#g?`}kzv@M+qkh1-v~02ZtuNRQ|5%*f?hD4$a~Es;0LbNi zS-iY}fq=a9#b6f<IQqO>q_m+x|5V(f)I}5=orzp@D?q@WFCmNWiU<(%c)lnT0|QYd zzeS8620KQf#V9-k_NC$%Zx2zxX(zA6mMausF7Lkhr;H39_Bk%LA18z8ggc8)@gz`v z`^I9DAPM}Dwpt7&5P?RA$>NDY0>Gm67kw=WfObu5@ktRL?3GYkJg0~Ul;)F*Z^Ln* zCscVcZ_Ni7Stu+bZa$zuSZ<NW@CGNSyB7Crd4sGMVvFl(kAbSO&|>iR$Dop*Z;?iR z1Z=AQE|d&-0iOTz!d){j5T`f4@Ga*dxVT|*p<vHLu=;a&;i11L$V2-Um=g~GNvm^V z`tk#C;SXb>@Vf_)D55Q#-Rl7+$<+&vPwxZTxw3@|9QXfuD_m%{atA5-ISUf`ZXgc- zWr2T>8;CuVzVH!q1xehbg@1$hK<2kM3tNoufnSd!7s@`lfc}#q3(BG{fPXe%!O+_o zoX+xH5NA38*N4!;D>WyOd<?%(7V8K`Cm$}n`|SWue|B3qW9tB(c{nbRO6@_v@|^|G zz4pLh;`+i4a2I4{SS~zZ+W~oZlLdmV9f&`yzi=wa7RZfhF1%g813sjyE)?6{0UE9+ z7XnLegVsaJ3&JwD0b%68f)2$7>`Rkf*xzvrFkE&md_Q{&1RfMy_#1u`TpHfGury;0 zcBO7!NHVtu<IaD%zdzgn6$;B-0lpg`WoV9DZGRnvq;R-ri?0FTJj}hZ>l&aa^l=Y+ zUj^_`2lq4W3V4>n;PxN70%DzM+*)uM<S0~g?O9f!ZRjWWqly*SkXp!14YCAhTynTq zhAn{C!7towEer5%IF0)=>Js>yn#2WD=HSY`H(aiPImkQ|$=wxi1|&v<xs&sz080<x z`k0u4MOR<$r$iIreHh|KEgFO6F&y`lnK5{l@sNuqT?8`s-MH|g5hzl2<Q_FO0*;*9 z+~Bu{K>YJ{ZqmE~sDEI|bv7~pfyYd^tXO?;ZCa1JaY_#y%+lntbo9WwmnzpK`~ny_ zd6N5XNEbBBDRDzobwT;J1KeZJbwG)aEEnn22IXgVaWQ3W(73prdm7RL!}(jeF;q>k ziL{xUxknQytN)!Rc$^0|tGxM{9~vOMXl{P@Rt?YsbLM$B)q$+`@ch&CbKuc>?|kK= z8laYS%;)K=ffIg=`I}*?|N9oS`Om#)ff!%){I?@#L1^{Qd3Vwou=9E0Jfl(tq?zQ* zPi|KMIznIOzu!3x*tE3yvmZ`@mmx{>&fJqg+v?4{q4r6zDi%54{`>^^*%CazyX80t zjtZC;l|2retbOP6?;QjB($Ks}_EB)O3pX#das<dFJe+U2a0E!*b(`0HsSIS~9p~*B zN<eAw_WbePN<cgH`h2#-VPNZOIY0P85j<5gnXjEW1oAn0^LDC-!0Z>z`84<-P<f;} zpID&)u+t~!O$8JHb3ti7{n7!TQgC2CFML0UBg@X?+vS0<=C1jXJ@Vk$-|h2)_WQur z@~!hd$$LSx|K@q8Q8{qb=<i&dvK*iaEYCghlm$N2xw*aBGT>kcXU=1O4`5pj&$*x3 z15zaV=A;R`fp=TS9Hl@Sn8Y&Xf|sR$(jD5|WpybavA24zhP(^#5B!{)DclJ*rWVfC zu1Ep_x171F>XKmBkuP(hq#fYoblMzJAOWs?O`4Nk5(g;m&D_H?;vh#Ya_+IW7?@uT zo;#Gi9cYvW%mqz}f*@btxfEqlFlGSFdAf>#O9J@0vE*%_i286&k}V8WLfz)LdxSyW z6~{S$Yawu9=bgE#@U5V&^ZMNP20?&Ju$;3M5CpOgCUZG@0-#Yrf367L0s_Z0=kWRb z!0NN=9D9Zj?0a-_ZtXB1SW!`)qd9B_UAzNx=5IEE+9KIGl(7+%V!P%Xw{8SK^~L6R z7dC*pEkbkJ<bPNXb@QBB_Bys2`ggW}<S(}W+VZTH>|gAf)cma3)io@%n=`xh#UHFS zX?PYY{f#NO^v%BIu40H%=dAbPRg68wm|ecJf?fJXn>`t}j8zh<XQiunn9=#N+1%wN zta-g~cEiyn>|SNg?7H0|#`ogOY*yF;mUSt8R;-eXIf}oVJ-9TF?Q4HCJE1s_jmAaJ zI^3GW3hhH?U%Z^fq7FWvbt;;{pb6jE8O}8JAPbs3CNquQ!{KLT%%?C9b+6eHXcD9R zb(`J(iGxM_a-5Z9O<?)Y@61vKCa|GPH)d7T#xWTQtJzB~W0+ls$*fewDE28qe>SRY z1l#DOHCs6~j5!@vn@!n0jM1k~&Z=D;!VGehXCa?Ktcs*C`zUb$v(%QGm7?}z!<(dL zA1|@7Cv{@8usj<(9x61eZr+CtUgMihC-h?PcdyUXB=uk(?B$u*`ff}oZGJ{}p$n6J zFgbHYwhQAwJu;(i#KKm2eKU-Qo!D|o=ZtJj2e#o!%Z&8Tc5H`f!%WRc8+Lko&5WW* z8+N;`Y)1Vo6AOv|G4tnkD@Jq7o$>Z-!FDKR&cuFTV6HRiGmq)bSXJJ;nLpen>^K}Z zb56Pmd#4vQb4a@p+bbA4Q|(B{J~Td`kqT(QG^71y_I#pYtUK^bGo6Y(IY5{>H(!sP zoA8>klB~yg+3quY&edUMM5mb;n_4VN+jgcJuEB78)-!2utFgN@s~NSjD(qT>=?v6g ziCwcXn0d6Z61yv}JtKAC7e*LUn|Z8XfyHE<nt`0kv0sEEGw1xvuvM*tGwJVtVw!w= zXKKnzu@^L{nOJrS)*m50BfDOV8Qd10xhh+X<?QF5(Kug(9i3R8Zn^mb`}%cddM}|6 z(<O7KWg@;~UAj}#)T{!`S73Dd2rVBw-Nc^O9?Qd~V_4H0H|JsbcUz~)dvmepiuCDs z8add#nVM<n`Zvt7pnRJD(O1j>E1EWVnT_dR%$q)wl7(4_W=%6oGBKC-57UR+zhKW2 z-%lT#{fy<f#!n9ke8xB@qNgv(f5J{Ihfcexf5gzT7t?1hXJ8%A{ik!>K499Hk?BD! z9m|p?PFF>zVMhlZP2c*GisgR1Kb`n11-t0uJRQ@SjE$VPo7SCqkA-c%IUT?GJ$A9- z@^psuJ4_(jY});J64qvCIL*>a#4;6irq^z~#lmOLO*8H%V19+Cr*EP080vR)Iy@{6 zdun!Q8cBJB#q8KOEnN_c<@ZQWyVbqM*l7~eZe1~$<fCoVd#0i>YxOPD*xx8DW#fkF zDA6eF4|R3Qc3&js5VbJXelh}Uwws>XbRitOba-s4-zp4a&h<|{wtt1W6?IMJc!gpD zPnlEcXb6^L(Kuxu9E{zUs+&rQ3&M^KR7`!z2*mz;DxPvLc!{;)^QYP>UtlFV*;7l+ z&#^4Qk5e_h0a#{B@{}p(8TLIPVG83t#ptdvQ};GK#pX_hP5l#jg6;kDa>{JCKX$A7 z$&~RyKP>STI>kHTi_P1RrfzBg%<7=`l!pO^70q}|owPu)Q$Jj$(yS3I_sQL<Mtd03 zv%EF+(H+8iq_0k?c~h__L*`Qi3K>(&GMaMrBVjzU?v&t5B35CbF=ZD<z}|?SnR0oJ z$57U>DY--(_Apg(>P4y#cK?z5RO%;h%;)@`Dcsk`*mHi#sm1(9SVptR)Zt<;tUX>} zYFqh3Y`fdWspKk8%v$Bo<Ye6gEaUIu<Y<EjCQv^!8QFXv^NAXt<hHtF9Q(n^%^hx- z*U_HI8kQ@z&TE_0?74@<|7x0a>T|)2LhC0r`<<~rHkFe#15Q}!q0-6CLylPRe8D7l z$N_UJ{W=*rY>!#J{4_Z_d>1pgkvcgwY=;@{Pn=8{w#BYazn<JSd<XOV5k9FjbQ_C& z7BsmuV1w0Mc{WMtzlCkt3noAG-NdeP$diFR*4UQ<pGo<y8<@ls&q?==>)2B(*GVVl zHH=TzVN#TF6$=};ne?Pz!Ibl_O(OM|u|_|ONu6pd%+JzzGN;@UyC9=C$tt$MwvC^k z{8n%Y8_qjBsrAhqqxzkgB!4!;N-UKo-O^04VwnSzf=MP=-MGx;omgXRAb;niL-<8Z z;K}w$sX!x4<MP%?KYv4vBDZ-m0W!d9IBT5yKKj_cLLO)0z8)6vY>p%2cmex;mBaaW zQx}8ehdI%fI+);0FQ?Z~8%rtf;B=hV!pvVXI8RP#V*jjZoWVoqv5JG$oP|9aSQz&w zCx5#-=2>3IIl^}ivkuMSTv<`YF4=zJ9GyCgSsh8|6tK@=4l7BV#TFF|t9ip2sy>Z< zjEdwuD?Eh_IE8RnpH5<jPe13d6HZ{zKVQ!4(BoJa9pY^AJ%$-4;5qxek7B<(yg1X2 zM=(<jcaGOpWsEK0#7Wjy!u;CraGt9i#!jc*;Osk~h|S=vIPT(yupj!S9G49Tv2bw% zj>PN%jMT5i!S(LP?q{oUp3&qn59}1j?8iQgY;lBBk-is;ls(AdM9N{slX9GDUs;S> zB*nS*PzF;C6z4?P?7^Pf2y>nq?Z!rw_&G|arLn6k>k~j$3TvocnRqU+3%mB3JE6~& z#Kv8xCbD~WU_ol56OA<znAVp5iFaScvEOa1iGy)sSan+K#O){Bu_OY0Lf=yq3oxpk z=(#R}K|3oZl(n~E=t$9o(jj3iBtLJ0wM_{7{48ridr=VUxREh&wOaraQ%s)N^J@!s zix)o;mBEi?)<jQKgz{lxuU}2P!*9m?++I$czP$<ickamqUUwrFEQn5c9Nd5@vWOGn zTmPYrA0JP6PX9%pK^_xi#u{pB?lN&M{|_o7dv_uu_BZ-x>gEIuUPUKLuS~qVyMm5{ znolU{Eu*vcMiWj7JXGMc?t~Tp5_)`-#>C9%0_xbRGND_?MN?CcP3V7|M;8f-6Du$0 z(5uGs6Am7;Xqoh$3CL^))!^)yFg`Mk{wfxksM$J&+Jp#9ERS>0^}8D<x@srTZ>Ro@ zKTaP<ahsOLe>@#S4VW|IxlW_#{`B#2hYKU92zhY4Ty7W@FzXp-{2oNbWZTE1I|k6h zGfm^ddHv|6^7`?!VQkblqH=t@XCL~@rF8tQaW5)y?)!MpfgaR*>$ma7zg=iw_os1O zCkwU7N*$->bfQDPiQ}!o9VmP)cKnrVJ9<DdVth@v4eeM78kgJ6MB`}B#^>f+P|rj# zPM|VS3vbH!m*i$t%Mdpn12v)Nr5=u}Tx~=za$Lv#l<BBrsl&Mch6Xe+?Dn`?2NnJ0 ze0@A2vmO;ZXE~ntqz<(cG8qRpwP;1J-uT*y8uZLJ&GDmr)o9*R)o~G4C2Dx<<al!C zFLdar^7y!41seA6!1&;ea@4$4c05G64Bho%*ZAaGDLM;@jjuJ8pdFS%<7LUkXyXCC z@w0>?l*#)$W^4Qd9j7jjsqHRAw<XPwRdNbY9o*#DrjmRV7>|rC2IrwoGVHNfyIl16 zT<6&A@f<Y1s%32D?^jgnO~Y6&JsVAVSTnZ&Z5FDnUp}VqnTd|=DjM6V`2|gy%pHr{ z`WbaC&m3#%_=svpe;6xC%Rmo!ydSf{eL#2V#*clxkdE$=j2`<el7=3e2pzNUN<l4u zz8I@XPe!pw|1q}Dd-SI}GWJ>L9V((j98(rbLLcmSJa)V7E!sJLe@y3H0(#@8^H{4# zJlY>=HzunZhr;)7j!FHCMGxs-8LO&$jrK{Jj~$GNLDM;gW9RNfqgc7l*qTBVdM`$O z3^x^l-tkl!OUw&L9rTZlK}Z-%kX9VqYWNC$GqZ2ZTsRb^SM46V&=QP_#qSs!jSWJb zyhX+?*axCj#sXuP4!uNG_ih{$ntYDtFa91yz6PL1w8haR!ZURE{mdxw{8KcNJU+U< z?vGxv8XP@e>4)x9>={*h?u)LiwT;%90d%6ZX;fD9|8o9RKf0fRp!0r}qg|0ODq>SQ zYGe(e>ZiVsI!RMdAAxV9DqSSBr0>&cemoJ~RggN$u_d6sA&H|ca(HyrC3f^-pAYJ< z6){?r=>0#h!J|cYAEUn}pN)F%eS|`lV6>(8A*vrw8J$S*M0ewGqj`58pc~B}j-KA* zfi53#8+GV(N7sKljOxd@p*ve|k9J&hMKwQPAKfQ@5A}XxIl8;i8U238WV9yG2^Bf3 zKYGa65yfrO8dcxyfKCjnj;{T@i+Yuw9K}O+C|`{7Xrihu`q4{a6rQ_{-ZhpR75Z$0 z9+a0FwYYZ+U0o3y)!TOy?PCayPITTtnV<MZ4I-|itS9Rum(8!Cv$iWEVtiLoNj2_> zf5~OkZ2Q#62fP*fYJ6k_9J546D%c}ihcBV#@vM=H@#bg+zI8<Hsu^l*NgrVenxegj zYe!C$8KX}(R*a|-E~4kVi$>;;7@-^U^G5FW8=#$`StI{@S!kJi#>f>jJ@mVN@<_+J zE?Op=FtR;Y2kqcRkNkGkM*lUx8j0Pbg{pskIWj>%kNQ1(GBV|>fp*!WBgtpfQN#13 z5#doa^rxiv$l+L3)O6NkWXbd_I#lm6Lj0qGzDm72^6ATI)C}Aj3Aa0iO5MIXa#Zvr zx^VW=2<hi>w14}>5u(>Iw2z}ZqO|uYI#sPP5=>V{h2EbTNkx=U4dnQU*U`f$<>uj$ zN!B5>US<D?%!`BQ5mA|ubyWp4enN62a%ev)S1mfy9VU-{eJ425uDuVvhHM&n%8^3_ zZmkWE#K@uzXL!S_`ZDPI?Q_FrGrQ5}lM}=0andMSJ2dQKB!xap=^Zwh+ljsf9m8#L zl4#8x#_(>V9q7Mvv|;gCanww*dbl`N3{9K+IV`Qe9Tle+4l7NHprIdgh9@Jpp)vtq zh8?to(IThx;g`c((fhjZhF$(|D$25P!wY8w&_64Y!)Lqs(cZR@VI_Y)v^nSbaFfzz zl=;eUSh;B<I^hWqs}eS#qGp8Qr9J-;qr;De-OK+XA$<3TL+-61qXSOE_k{i+m&<I2 z=dxB2T7vcP$!jafP3ZEl{4x(&ylFOE6}N;Ws~QgP(OE>CcIXTr9N;1<^XG;Kp3EbX zO{a&iD9#}QnMa3lbu)-i(4k>dk7-2y{=Q*`@Dy^<c=zzOOb&t`+A;jcVgjkzEHa!h zGls|yZW*2n9Yuo5Hw-VI7(oP+eh=j|4k0n<;?O>?K}6MdW=L0L02w(yJ|yvljeOWW zI23Evhd?XcLyYkr<ZegXP-#Fna=oBw$o60tawoceD666q!Q(53a_@E^iPuVpJpQyH zES2v=ZLgV#qQtkMg)^<l)A>(BRZR?Ju{m|f<Uun+_?kEbwlpDvVX;H^-_w!IM-f9? zv>Fg+tKcE)b}Dk@WWbQk<9cNCcHg1xLUqXW3}uL%QiE_BaYIpB)yQ(z!y)IkN<`|F z+tA3vUx>*g$Duu2Dv%(nJ42fj%MkX-8$*ezKM^A_tD&ie5~Os_WN5~@7`e#MA4>lH z0~z?HH6#>Xh=fL{4IMoE9kIZj8se7ZBXZY|4EbEmLpG=$97-R{K^AxJ9ST9dA-{Q2 zLx;t`BI2FmL-@2TMDvI65MCn_A;$3!DbYV8mGHm8P=`;5g5B!ihlLCzN@rmZAMgQ@ zlAj)2kWNRwY#bX@{G5th9qJ$4rk#QaS9cAjHNHpM)0u<w_V19~7mb5+bBRc@N8MnG z-&-X4(yu{5i3BA7M9JX(<T#{DtYC2J^czHcA$#ya#cSkt+sDDTmt&Cp?<s>%*inc= z+}lAJuSg^rc|GX57LJ^?4<Ec27>0D{1r16`zd}L|J{v^RLXaB*U@%H07&*lu589Un zBC>R!!9Mesh|E{dL9x!~$gxP*!A0i)#ER%J7(DwFd1`ZeuoHTMG-zEPZ0Gk!6y+@k zUxfK0k(*5hr}qFvd`xeUKMh5ashWe$ClKUfmg=BoA%v`jpB(hnqaalT<-vPY5|U`6 zF!=W>5ed?k8#L+0BSHJ6292F@$XkB#!BvhoQZXSkc-QAKvO?z@B(8cPdO7O@dcF^l zw=pXN<y$-vVVFC>4fa5S?WYDhB<>^fhNA<IW8IJ%rT&2uIadS|=^7|bc0tUztpg7a zJ0piX=mV{v9TDl0+JUK)4v5VAih;u1yU6K);(>GL>=2s=`2!wBcaW&d*#nogZzF@M z9|tDNZXx<IDFbTyH<5x3ZwJ(>ZXjBtF#{7u*AXTyY{07aD&qSsaNxel6-4Lt(*d=5 zD`Y#04SYAXL>8RL1DyIx2*<={pvBZ2Sv=u6;8|~qi0yP8C^9iYbpO~76xUuv{0D3X z9$hp-I%=;CbW|B2x>*(jTz!3{D9U)CqWl740_zRv>*yjAj+z7HVr}HDiRyrZx)$Pm z^5no;-g!iOm-2x5X$|Da-va}dndgur!?FW>%4&!<ZP&oV)U$|lj@UrpzB5R|8=-;Q zai@_9U%r7p$y11l`+EP*S0|8StCjwLg2#~y=eYfE{f{E7y;J=&f0U7DTSogA@Jfi` z47>mHv?8*roz=g~^$@aF+S;$$r+_S_(fb9g4<H*uYx_g!@`yaKqQAy)A9C$(aer>H z91?pmzyF$wEW$mJ-JhPZ2e~Wtu|IR~Zlv#Da=%-Y6yiOe(BHOo7b3-o>E~gR2;)as zKW#w*NlFgvzveEEfS{-SuX?s4I6T&mT^2=PJ97X2s%=QjMW24sIbo#gq-VeHr>)4A z-LC!Ga)OBMCWrp4S6h(kNt^zbjr@pS+qM3WxXlRTr$ztSk&Or;!?+)3y#YBEq1S(} zb{*!yn*BoRf8n-!s{PIxf8ZLcll_mSf5QzL%KfKatiU4*3jL|eJXk_huAk<*1Ycd* z)t}zB0Dl-1>pyGAg+=K?{luI(IPg1P{{#71cz5zTTQYPSE(u;?KmIcb<49b#uR90U zahhTqwvEHG7NhK9y)jr+y`MdrIRZ;6bg`>;55p%!nQZH4gRt!?ogF{d55FC*Wk=m% z!wXFnY`vOZ*tWQs{r*%B%u3H^=f`!y9ue7WgpUPp$3C)`A9lcX_fy!49c^&*jkj#U z3rv`(_nMuU(E>j>9?l*YW5D>`LF^H76CBR}j2+!ihkwmu?BB)>us|P~Es;%y9jHF+ z-ktR@y}*-ght$HBDX#3W!D@K;l>?h-QU!+~x7lJ@zhLwG*V%V>RKT*<mTU)d8NA8B zguS!36#jcspN;92z`}A`?6|Zd__&Z7`@z;9u*>pE_N?c3ID1%`t=OCoi?%4R#n0ry z{$+CPuhBWMP^J`n^U7B^Jx-jx^>#LF{X&>sS)2*W5c%1s<-Wj+&j0$ZVV~f^E317< z><oBFccJf_?g#ky@#(&qr2id!*|EO9e<`rD&_JKReKP!grK_*&=R0`c7_)CwE(wlr zYwSxy-onSK>iVR+<6%b5uRdLkI5_BiN#E|*v9L{8L0`sV46Fsd_HnL6!)Lud_4Q{( z!WZmP`(6r1zz&xZ`^MbD;AqX*zLm;Qn0+LouXJAstg|P$PYn)&zikcZb83GHtFMAS zgVQhIwsA^dM^FG9(248YH~JJduY1@hbKwcBSm@SAed7o3%y8^GvGD&IMeLnEqe~ck z`o)btu`~p>CtLNs`U}DD-A(&`-JrlLw+#9+GD)zDsdk?}KM@|*IM)|$i-(~jr~4vv zec*#KNBc~Lyx}3?Lwz|8kKpfXd;6LT9>VXYrTdb%dBTZ(5`BlAJm7Cl+xi^7yTh#V zEqxZ-+~8d~8~SD(?!j&;zk3bxo#Do)#okL>onX^|ncl5-4saJ~yqEIzF6?`Mus3nD z9jtk$r<Z7b2Ntqu@7?s#2A<Vv?$!Tu6P`Fl?Nzg|hF1<$_4XxRhvjzu>^(ns6}A>A z>^0N70;jHi>lKZ#f(55O_XZ4Fz<zycz28(W!P^;0z2W|5a8Bi$-a{=Wutz~;ujhVa zSp8#2ulr*oSSI0luT+@<yyKN$FC?N5%lpH<5qB=Y1_VN{-A5f5c7N2{!_$K6@7(Vd zGt`8SS~>SFhiSkm`gXk$z31T5YBzfal+|FS@|E5Z!dW<2&b&9FLIt)FH|iA-ISp&^ z>-H+%Iti<;s`vg*J`Nj9tMvL!AB9~9j`fzDI|3)QDfWKxQ-Y`K<$JAYitv@6dwSC) z55d$N$=)101=!|;Xs>tret11zuy<r;A6yu=saNFOUiihcwVrWI7JdlvddM|<{)ai& zQzEh(CcAKYa<5Cli8jMM_VGL6F3Y~2vVk4&QNzxj*25BTq()0m{6jH#`^knL>0D7b z`Cv_t$;vj^Y)^U5F>PU3P^_q@+HWh|!=Kk9Q6m6X|H<r;5ZVG)&wuEtwcvxh#@_dw z3f~0t_r>>EFgL&#nbAFarT#$))K@*JHh-b56)$^w<NrWG-=Fl*dRHNZEVRdS-wM={ zO6vLLz=LAqy?YwoFG7za9`pnaav_J8_j>*wn1@__?RylQXCXh5P0xDrG?eRgttVt~ z68dw`qNjO32ePp<?x}Schv?V!dT>dj(9KJlJuSV%(6WJQ&#250l&N{LC(C94qMT9g zIr5qf*&bEsxx?&*t}Dp(=!*A1&N5Oxofcitiyh)UhXOmHU&6vYiZ$(!Bp-iI&&D<g zUR&=r(r$%T7FW8R$qXoXn%k|G+XShNPIZ?|(;;5pX!r8r28iC#-#zL`g?==5b-#?S zgG%a|-TllOsQp)C_moIAw56o3`^&{j=u-Z#Zh24vWn`6fn|?2YWHJi656zZBsqepb ze^)Gl4B|g^uh|trt5K=lyr@E`CN!}-l~w>{yol}IvMC=*_K)b6Q_qFIA;H~a55Ga3 z#DH$Mlx#@S+qXNeGZS)u0CoF|e1RDE@ZEd$KS5U=yt*F|GoXpv?%nuL=}^oKr|#o@ zsnG4qw%u{!DUhnUb$5y3dr0x(<?cvQ5_Ce(tXuBWTj-LOVYh8hJmhyyr~9&K97I=9 z?_So8g-)GN>AvP21ASCJ*6orU1!){o>{f1#fcoX-yOTGELvLmGbT^-Q1$j$LcIP;U zK(>;i-6oMi&|NXX?#N#+A=0)@-4XN8p>)Buu8Z;k&=eo9E6egJWV&&#i-!0^rGF>7 z5<dAtCclTe_IF|shu7P6haZKK7dpC3Rba?xj?p!Bmjd0Irga$vksxbMb(ht50^~7P z*0p^I2SpG6=z1#Z19cAMc4cZjhEB6Hy8>Ojp!Yo=x@2E@Ldsq5yPSV`K(!t5U3Z7v zA+#;JOGLyCGHrd;<*jxPI?j066=?4aDK$Omy7|HpI!{NtI=|XO&NNb&D9a8?srT+$ z-Czs-u6@uIt8^Q>UvsZ((&`p8TV>z1K(vN}D{Z>IzP%1z_;syof7Mk;xWb~#m~$DL zDKqZcFJ=Xe|J3XHs%inPmTGn_+%|^}l&W@30#nGb<YZTDsxkDVSh;Jp&ImeOtk5Mo zZ2)B!$#r##=|g5kQe8LCUV#4n5bp}QsRPk|2zTKiEhy^;f7kZ6=b?{3{;?d&)S==Z zt1Q<6HE86=0&D+fRY<XDniY2N3<QeCSh+^0q1mDVR<P?yh*aFo+Wq`Ew5z0zb^F6n zh+fjfx?HOa#h2Ez{)`@m{C-xl?rc$nu(DFtqeBOwsPgZubA|_?@``V)d?$H`zw$F{ z%y%#3Se3?VOq7L~)k&=TC3_(I+Bd8pENN&{eI)BAZx>Wb4PlXY?1aJ^p0h?z?tq|1 zKi1Yu;t<jdv-;h(L(we+*25<vP%ZNjD=SeL66?6nO8v1F@@6@+ESUljr`wK|Il~V@ zeK%PZf_#v|z!g^DflbiRkU2|0YXej~YQ)mGu}=9sq05r@TBGDnX|PHHep6a!&#<;7 ztx$v)j<dwS^C)+Chgr17MM~xBe%AR>F2&%l49o8C9Hnc+E|!|)EG3Xnj8%DLnsQZ8 zh{dlrNm1Fx$NFPEK~WZ4@BH97M$wR5=@jrEp*Tu&J7r%FQQpf=b&h@>p!}8}?Q|(; zQ#=m!cg8Y%DO}~QPT%ovO5_P<r`#Hga_LNC=cDbN6gl;}PU3-f$`<WkohqtKihzDe zXR>h%Mc%lev(BcOa`n>J&g2J;l!PmvI!~hwlz-N#orF*-g<_l7>6KJR+2$16Df6|4 z^4%?>6DzNxzz>5vqnm$GtZ@OIPW|N+^Zy^)4$c0g+yqeP?tdi|<Qcw`PqdiwBgm^W zRrUvEdxU%E>XGjhG}ftevqnCJFUhv^*Tq~)N}6@2>h*7w+g~ns-gV5T9LY87)bh%t z>?ktqY=k~jBr9|}cRv3}IbNsUDG~XBV&A0FS(%td`P6=_Q~6U0MWj!$(<uKv<>iQc z=g#sZirn;`&N%8@3UyJklgW&yyjm0Otm=D1@#5Rs=|29N;<9aXXT^Lp1uyxxqv>}f zB|&z%BVuy|rT5@`htRe#%DLl{9qN*yl#i+-9eZShDQ9)q9p4lJDV-Nt9e<C!phQ`< zcKkaXK=HUm@5onwO1b4&+aa&(PqFu?=+HCrr2t%U$1Zb>@*T<Vcz+q8Yz@fn=)VC` zaIZdgwB06Cc(ExRz@A8nOn%$Z=ZvSAe0kl$b@QR@DhTf=e(;#GRu<Hu@yLs^R{yNS z)5nvtlL<Pm6Fex!eUy%Qk~<}09M@q8xl(xChaHy?7mCkcw+=zfi6SWI)Bynp%6AFd zj`zNIDL~G;1NF0|I4E825cR)JxpT&><A(n&ikFUI$7O$ON}Q=q$439_l>Y1L9d3SC zDY_0S9sa(TDFqLXbzB9O6cdtSM+bU|!u6N$kU-2R`JsC{HbN$ph&aiPbkar2i*(VB z4Fp5V>m0!jF&}+O`Oi%qOs@-+jkL9P%Lh6XyAEDE>ZV0u49&GWI-jT5&vDv+-&Ln< z`8(WhdRvWBvz6U$apNrI-7Z%9mdh%XNQKsRyxA$ryHoV`*M=u3HQKf9k9Cew_{}TY z*UufH+`U!Yu6J6A(tIz!{rnL{iY+d?ef+>d%0|DB?dCEEDCMCk?an*oDX|G}+fNJc zr38L@-JZ8emJ(eU-afUun^II0)XtidqO3BXwIgFYDOZL-JF|BO<<~r=eXv!WVz~j| zo?5@1!WH#umn##Ye3Nr;H_H>IygcUAe*EKB3an|{Uj0^p^4!e2T_S>?^2O$I`_6#P zlu389b~<Gv#gJ^+uKDmEx!}1@yPd;dvi@uJcC~AN$m8iM?UhEW<cxx2?OW8B$$mA8 z?d!@*WJ0@q`xlu7vj6Cw_U$6`<c~bbcBS<hGH1*7cHYbs*+^<@JB7_5|2Vw4J*R1$ zY@+_R?OoX@dCp|H%`khIoNF`R_BL^l{K{jp?Q=*!`58RY_6X@C#{{$6#yxt-<!@PS zQZ`*=zU<bvKNma6PUZBr=(FwQZbog}@O~!w;ZQ}}glG$S_fm0N%AY23|CWL_iE%o) zT>5L<IVO!<eB@J`M0q{ASu3?I`ExD#pJig(SWGq9#4)yQ(65sG85hwO=~+Qm3J7jn zwJsx<#|E@X>XnjxKKr(f94RJi|Ag8+C4Z0)GVpB~e+$S5hrQb3M)SzJ%kFJ@O*v$| zkaJt&_pjtiIlH#6Nm=CMr*F2ApMN2LHN4Wc<n@Vcb<4a>$vT59;Azw*uANTq0=jM4 z3aRAUNR775LdoQ&4`<r8%_WiNi;uU_+TM~+G#_r$EsiHY9p2yO@$L<ow<6PK`s_8? zUu0Js`+hW8>3~?<5z9#Ogql#>5tVRql{sJA{{ug{$YGs%X*HNkC9E*LdIHHyfn26h z*$eXdcT-Hp`v7uW{wP!O=~MDfT0e7}t3UZue;2dJ*q3~p$7ISWW8{O|nwV-r2zl#) zdM5uQg}hb0lKGNGA}d&yGAln5$hTa+GjoG*<P7K=)8>IUSt9&1GtcZ1Irc*uvs(Ef z`P|PWX0YG`GMD*=DLCd%uA7Wxp09Q#7j6n=9!PN^SMGknEc10DPn_~+?zrnfRyIMH zvYL0v&+LiJZYf*xAL3)C)uIhKB-DdRX}(F;NOxi0{&Iu7;pbiE?DK2n!M0mWP3J3Q z_ViVzmW~yf$8W)0l(rx%?=@yRE|`%?YI@8kbQ5yFr6$w%!$q>Qn<|szYe?SYdy;wb zwm!KeR+*`M<^nnVn*x(1tV8yr$}x3@HOaw4Qq0>W8swZcapuvebL54c+n6~IRLNE+ zw=ie*&yZ_OHZTWwpC;Ql{ca7KJ3-!pEVgoLj**+9XIj@2j*xS+$6M=sl*l>tgRQ0} zie&mwPb-i+NZ!2O-ui&MpL|W4(JE5Ak6f-oYrPjQM>e*oZuNO2L*}@ZwW=BHCTIBn zX#KiNitP6`x0O91NhTI%wpNu$ke{`FXmxlcM*cSQzV(}<D0z8nLTllfZRFdBVp<9O zLgbDMVXYJG0_4ZGfvpl5{N#h=r>*nk&E&;MtTn)NBbl8|Ze{HLM;fL1w6;vFkp#y* zTVMY8O}fbE*2;UaLQ0Z%Y~6i}N7|u%r<JR?NJ_Q2(dxG}PqHFfwN_WolB6O{Tg$_z zNx!oVTD|QjNh=N7t*m1cBni&BR^I9;$wWY<wZ3MU^yc8P)~k_&q%Hc2t>O0lq*wOx zt^eP@kj|icT2+?2Negk3t&zW2q^3gA)=wcFq}q1DR`RVjQrF_9*0lqzq^&#twx~`w zldhj$ZaMV5kyK<g-$L_kAZd9`wj4I2lDdOOS~Palk)l7dTQ>GolRT)b7LZy=vYlvc z$@8or-4|?ZNjh6biacD`qPtc?>b&@?C8n~7q~Ti9k`h=*DtK1V;(DckWR>={g)Nmw z;;a7Dvc2ycscks5Who__^ouX4CG37CiFWW!%ixL6q&dUL7S2Kj>GZvjmXC$$q|j&2 zTjbDGl0dp&i-}$`DWL{#QRaU~vKS+@l-Io_$p}7f5e<$f@hN+>h+DlO37NUH(8OPp zjy|~CqREUR-4DLi;usS_s?5CFqH`;Zq|tP#rFnNKi8gn!Wq0@g!dpVGWp~0$lHpm+ zmPXs>q@&iVE$8K)kxr6Nw%GRilPu$uTU6irk^)N<S}JWZ(qNxli=Zq*vfe1&vbmc= z8dH#H$$vv4MP1z1B6o{Gvb(>fMROO9WDvZeWjpgR$te3bBQD&F<lM5z=(hAEB`(b{ zT7^AGOVSez-#RzaeXSwJ$kThIRr_AXzYET!^d}vR<~0Y>qYn(m&BD8+>ogi88fQzg zo~>rQJaL;u*ip_nGjWsj^;{7nD&+=A&^C|p+3p$%@y%k8rLK@f(lQup&6cF1`ecS$ z;3d-2nFPjR12fWt9j_UUe~d|9>fwxIc}ApAyCB8|PXiLw{~1H*pdLvf12BTRbx3dM z6vnRzEz+?C9OJ9$c~ZBu7vuT{b<zhNcSd%BDk;pxiBax(h7=uW%Xog^H0k?SYX*Pk z2@<dUGUI&6G1Aq)W{gAnM@TdUBSsDHFv-D8m$C2jA<_<S4aRYM1=8T_GYqc8ep2Jl z;|#Bwy`+wj!;E(nS<>3J1B@5P_K<YX$}$eKrASG4b}`WKog|qjVvLstJ4pGTgcz5W z#7GZX_!!mcq9onl>&;6yw~<aBSZ(eR6e4MxEi_Yp2$0--rkfk?^OLgU#+uuAZzk=i z9B6)Bzkw7z+1)HkStp*AY;V?5S|iSBH8=0?SS8lqqc)d3TPBu;RyB*BT_U!8|Jl52 zkW1V&P}tn|YL0kWD7V>MdxltaCbOBunI!7leQ3V@dV)9>@V<G`V2t?bYkafe+%WNF zS9G&^!XPn#FRWS6q@VciL|`+r&`a#N^|bjzVmI;F6Ri2ADT|nxNp9Z1*iJm&>C^l; zkxA^@^st#|(n3r*?$)frZ6XHUa%@hErxRa2xzpTaNF#pBy3zb~x{f%{vT8PtsUceO zn>9!4R1xb=88*Ketspws>NMX7EhFxHq264pT1p(tRcW5<DI#{Vk2N<xDI|^vA8vL% zQa}__-`||qoJ+KEk!da@ej}EJ?QHgu%_bU@Zf_p0_(B|>*xD@O_KBFXi?4Y~ID_bG zu-@eVHH`>8UTJE&o<fXx%WY~|c}J|JPBn!jBog<mj5e+7CJ=)T4KyhZy&>+o*4@M( z@S0fT+tw7X6is}U)zmajjUbYG>YFA#!-$AbRa3fXC^1R>XOq;|AY#93VUzBamqgv@ zoTk0p0Ag|Fm!`akr^FlF^rnqx{D~s_-#78K0^*QWd{dbZO6<U*n@&r>#EHyTO*Y@i zMCsm_P3o74#CzMGHZ{%Qhzw1vNjAirc>4jlN&e^~;>LKNrtUgVVhz=^$->ox`0=-E z6LGUU@zW8<ChK?ii1oMcG)-$c6SoB2Xwqak5FLxHnl2FT61yf%o7Ti^iQck?O^?3V z5EU(SnqrM_5`UxWO_agw#K|m`CUNvC@i+TelY`V{qLTRGCf9EkM7;j~CIxeIVjoVX z>GiM)(KT&n(=YTQaa+gsrqo@A#AZREChcrJV(xjqrVwLYVy@?UV-#D9*pj%?c$IXX zD8}G6)`_YUA8ej(Tuf6Xj;M|`c50m=qV5BYKCP#SXX3jXtL~p53O2Si(*GSL{@Kvn z7#yuk+;*1QxcTT|qLy1#<LUB)#K5?pjZ!xb5SQtNjky#1h<+P$8@FI`#G|U2jp7nA zM6UaX#?~}xVrRnp#!DKzh`r76jYz`|;s*YhMrV6*qP|90<3_G1G5KL&<IShri1Nu# z8(nq@5lh>#Mx_h^B1M?en4-Z?ymkTC*hbw%yhM1|SaxRv(fN~Gqr=o+!W*_@V<GZ~ zFfD1@Si5bN;9zFm7?QvvaKYt9;bV)0<b1Qn3&ryU?@7bPV`j4i`~A9&O`TH&&zl;J zN8C7s*CA&bwU)*R1C_@c`JapsOn)D43=kV4G@m-qSo*e~Kys69{Bop^pqaR<@!I!p z!oD`K#;*n}!v1Z-jnz%<1Oo&9#)vyi!V~Bpedid1F!*hie%YsqU_Y@y*Z<o<Sd*Wj zPd%p+3U7_mEhOp)5n+S$dkNKqpt>IVS;b01>V^(_adtVOTb)7wt^Sj6+M7n7ttcj> zf2^h_nEfD}A1tG9Y%L(n>?xwl-N_@AU(2J<4Spkh3(lg$9@&J7>I{16{1?L9dNRF$ z{E48e{+90c?*rkB_iK97vowOn=WzP0a0+2~IEY>o{*I8nH-LUaDv|K!mM=X%A)b&N z0nuakzadZ?@N|px7=pOKBl?dcQ3SHyeR^+JIAI-jrvFfRMMx>IqhBfrCb-Stq{nCk z63mWWrN@>$Cs?>$qF>Q{MtGEbk^ZZ~pYXN!0-a;vOAz0!NvBq$gz)RCbSGn&a4PI1 z{c9bWFhf(Oe>Wu(8Uzl~2~-?`YOt5iG4m#j0%^K5&5LlLM1sC%=1IU-w$a~E?-N$f z3ecxa-3XE18|f=`E(D9LKMlpkP6Wltr3Td+d%`}Yxdt~wJA(Q>PQ#U7xBtg6+_0c~ zi%`q%Yq(T;gP<+PYOp<jjljI!+HmOmWkO&qy&?6CCBeSEwxK86oNz<(R|D;+DdGOr zk_Mj-7YT`B1r5yy3<=!EuMJ~w^$7PwJ~!k^>k?MY(;Ci4Y7xE!CN+>m&J(cuHw~W8 z&k?)@qZ*_)suG?Uhc=*)3L!t>MZ=qglLUbp{{~Ob;{-B4+ORTwgs|0!)SzjnL@0Xd z-JsTXh!9lupke%y0s-c8ZMaq?PY5=2Xdr0rC6qk9-C&g?L)cb*y`f)eHv!?dYB-X# zi?HpYX~WT-l7x}~gNETiaY9h7cEj}z+X=9sdIL(>MhG-jX|UsLB@_i7YgoD~K-k)# z*kIJkN1%x8Z?G`lL=d!+X%H#?hc67<+3@tlU;Oiy?G3r_f8$A#LJe_}EBF9wz6Oow zOZbA=bz0ae7ti0lLQ8xwhbPD_(C+n4<M|z?X+xHi`26HC+Me=p{Iih(n&9bCJW;8K zmi2xJAMl`^woPIH|2?ajw%@-GFUY0RX6L){6xC`P!I6a*qLk5cn%nWkB|m5xx=eh? zhCJGhECwDJX3>geoABW;GH9KF4fv{tWLoA*Jzh%uE$zH(Ek5wZYnpFs6<#hboQ7Wb zg=h2y(Nr?a@M#JGw4~jo_&9f8TGg{6e0C;8dq4jjKg`9`)a>%{=hYw4p4R2yzoPeP zFVB3%8&^8hE+%H;*9Gs=iUmL8+bwU=#(gsIjZs%=^qw^QXxAm$gNrHnefy1R<=OA> z6gNE@T{;oJkf}+F^oz%bEUMDPIk9+C%~Q0CS7Y!8{g2Sj7DeLs*B_*H?GMN6i0z}P zzYN90H+R!4=7R97#2qx*n=kRVMn!1Jr2+Vr<ASt)g{SyJ!X{eBOF#UZ(lzR{SqvY? zzf7IFj^Ilz=BdI(6#RymN$TKU65ge6gzEhSj~`d;rxuQR<3k>GQ47r<;ja}ksgJWg z@#i--QP~n6czv^asvyw~{~)rGI^OAm&+93r`f57i_bL`r8E@_JA0OpVyZ+hYZ~XW| zjd#3_Kd|`&RkHFXUd-Y>_2R)B_`R>=si&S@#aj+UQ(H!?@JUC*sK+i^;Khi6RNZtl zd`kIKs?Zh_ytOc(hTJp4AGM}X>#Fqe^6zof5`_!+b5jqg?*7_%uXFCyhW_*TT7M_% zgpNA?LZdCUG(i>Lz56EB{I?4J^}Q?9u$!mw_;2Ra(7fY#pT9;_L-C{d2-6GH+=oi| zmgw`;uKGjxvx8@;KMpD23r?J*UiOp6--eZ`?|S6$@^uQ-kLP6YTXycH-j9&Ri#kbD z2d8%8&t*$cC5?CB{noZo*WZcZr%VN??|zHmDX%wD|6CKsA0GKrFPbTc=c(}OS^Qh@ zW4?3sc6T@9R~tF?aRnRj$K^)qUx}{c{2s9DwOs$;mVdD7lS@}{uLPO(<&r#{$<4<4 z*AEtO2h!{66@Sg)_AdRZ_mZB$X&IK*dwEUbpvdp_idEyd?!j;MuXm5)>{PzgS9lHK zHu$I4r&RXisu}O<b)@@npZCYthkJJ8a=oML-<EgcdMaMkJ4&|W4od{q_qny=qMV-A z?<j7@9mvJ%H;OjmS~gSaGaP8R)a$r<f!sRW>$HdU`}k{cUwCfyv(}ZkL1U+Saz;7s z{2SZ)AFHLfJdSmJu30heveuP)*Z4x5NT_)|V=^B%#Wt#6(#*w8pT1Df2>OZ>dwRa! ztveHUz5Q%`-jUC^BBhh{#gq)3KCE01)urL4=nD1RT`9Oi`MvcA?!CjM;iT(@bKm0f zYb5G3H^k#ccZ<{uSjOTs9tzaU#7E<@$~V@Jjz!>%B-iR5oDIXRyYcEWd_!=o;<>uS zhCp2V_Q^U6=@+;q=aIU6=Vv&zLUvtSmOt*zwywIYRe+OoWY%3UL~&L5jdg*c5H3Wh zzAmJbgd^Km)|nn4;GX4`*8P0ogUj1mST~jT2)D&Pr>^C%CytW$rOx}J2ToMzLtTBS z8?MIweO*_(3+`Qhd|m2ZCtQMXOr4^uJ+8<xtj;mh7WeymV4d}%4bD#VS>0yMo47$2 zQ0MM<9rv=BQun;}3ht@|zRq663a5SFt8Vt{C7gM=d!2f$DGru$t~=j*5!dKtSI66L zh|{mRS?A%ZhhxcIt&91jgNr0wszYWpabEO`buua%xQB=I>U;=lxDZsc?n&VpTyvXh zoyDJ1IMoxU>YB7q;7S9I)Cu_>#ksK$*8Qtc!kty$SNCPJB2FQEcb$Zh0#22)qfRA2 z9(UhBv`(m24p){SSQjrKgHz{isv9wu#xbq_){Z~liHrEST$@%afx~U)*6tAy`;VbB z|7XIF<9L?}B_V|rQKGx3l%qs+I<ouT?7o-MAyMfNsi<_w?%Bm`Gc#txSW<NAa3$fZ zTTvAC?Js!$@OeDmkN4;Kem|bCgNG3xtuZ@iAG}l1Z(W;dcd&16kM&XgjDvBscB}ss zn}c1A&#fWbrX0NF{m42a?JozDdf%E|HO>LOuvnW$$2hF@CaXE<Cr6%BX=QPSIktlu z>#LF>&V|Sl>+koUIV-uv*0yCI|5;M_Rtk2I(+(=MRuuMg1~fOUH7|QP^Bk{QPdN8* zh_r0$k9}R7_Iqco%g?uSI7>6E&UG(2D^4A^R{Va>nc02F>Js#nGc$x`UBP(73AjnI z{uV#vFn=UjPj}zvyf}ceHqO7t!IeR*wXqGHxpNY&htAb;-qQA4duvRbw)-(wyC2n@ zPs?{$->)=q{LY11Pva^$XZki-+iq$((<0Yfds@pl68=i7#HN%(pX_H{x>dmeqP?wf zmXw1vd06*}#GI>(T&+J}2{`XgI9g#2JPx$e&YBW&m-8*e#=7tDZBEIZzm1*J0#45O zSmV;pYn)v8aHF4N9;dweb7ODBWzNLH!A8)b91i(JZ)2t;i!<8U*+_eJjw26y**M!i zlapTbq>&hMigR?*!^UIulbmZ9Yh(1?RL--y+Qx@1M>(5(s~czkJ<Ks?>Kbj<By)%Z zszyDM&DpR^-sp3Q!SRuc8e@!9&ZgPiM!%0F4ug5C(d16x+<Tng=(r2V*%_GI=#hfv z3|zm^*eyhG<YT8B5l^6;Ja}4T{$CKM$aJJJb0vV&vgBan8b|`i>ny!7FEf^NeUR9w zD%;ChyARuVwsRNflM3GGY8%aY=mKg)2S#!pABk_op|*3zI`%YrWrcAfx9@DcuHDMf zh_*F0bZzGBwA<QPI&&juoDtL*zkUO!?dh7v64+YKv&{jGmNTn3BZa<=dCCCJmZ^&y z7q<Cv_$0SR)E{5Ynil6q*s>*@?hOu&bN4UisBg|}Oh2@cBl|n0QF_OX(}167$gX$g zOndONVflM!4srdrhBU{yoX-Ux8*(-}a0>qRH-O;w93r8o;Z?>=4&-5bgR8`b!whU| znDt-^M|%5FL-p6c>={#=8<x(SU|*m#H0%og#a{iy)UXuslRX-2XsAvfX7};54YPzp z?4P!!4X)PD?3Em8!|TBh?6a>04WL<r?5R8MHsr4EXN%+o4e4>cY>G=>LjdOuJMlzL zLvCIt8`FQTL8*Ps&Wk<Okoo)tdr+U+F#pFhHf~8u1J?Bkdr~&Lfw-}iT{l8)SO$E+ zmZAs^MTeT$nkIC^ll(^Z+YPV=lct^>co)!se`03KXT~)&d^NIn9^Bo~?NG(G>56R7 ztkkmyW5OG@?=EK#X@VQh6V+_b#eof3XG+=htkn%sJO#UFWO;+ZC}khR_%sZ@60<iw z^lbPCxY%yN3mOj1<*^rwoEo02yvyD-cXq>I^lkQy6Ehkru?1|q_mdkUPh4a3f#a47 zH?Fb~^*=1<%5vGEYlkf1&DrdT!Vebpy9;cpUB9Jk;w)RA^2TCuJ;M&@du_q5$zaze zJhzyl)7YuzR?Ab=aW-uAeG4z;2pe_BV)4&C#6D+dve3jF_Om0E7Lt+4-Z`MLEP6&` zcK}K(x$nvB8<t{A<s>5e@CJdU&^3vDj9+L8S&3l_<`!7;w<FnK)2~`2Ko}eQHQSO* z1+&Lc=PbWY0@&Kt49nL11a^LSs%2wwEW4oeu;oM5UUuDLwguX{i|u%sYB}?EC;Rjy zf`vG;gYCgaTWn@-XTRuyTHx+sY<&X2!dSVLT~i-x*%G#yJs7y#VvY@B2a6*ulQHYr z%K71z-|RK)=nKIX)v1+io8KEOi}U>1|It=kVg$?BU%QrDR%m?Kp7B1Gdv#uHX1%Ay z>xn13KWKqveUCf)RI!ug&zJe^2#?v8Gk;vz%P-BaG|X~hZ<svAVs@Xy#wL%~A6h<( zT`};Z{#}qQdmU`3-eJdd_M?^$_1|KrvhySQ>o3D6u}|pU)DMy-SQi7@>&KISv1;x< zuWw5K$#QjmR3Dc;%*s1=zy9itA=bumOZ_GOXVwJER3D}Mz<S?TSzli9A8Q<{t?#Mr zV+B4csc&q4%eovTttUM1W;s;}>djppEdAQM_0I=hu`<O4^}?@htiucQ>Q{|DW#wGW zsb^1q%xao>zMg5@!U{Nfs($(0W|nFswf^>kdn`CDrT*UH2G*)xcD-s@9m^L=t3R;H z#M<_lP_Noh&B}<u)LVlqS^t?}^*6(HtmI9=`lV4C*0R#Ldg^W!Ykb+BdU~vqHFh_u zetDvd<uQL-eGyo~!sc$NZ-on4tuun^4QL(<lfI@NophJwHs)V%Cf;WKWc${)QwmsP z?-$jV(XX+VB)QdZXI*8nI-Tn;a&lSkfDZMSle1YT9?h(eJA8o^vU_U%{gksTFUv&T z-y^440b!$cpO2=qp!#oh1;<XXO4om?n>coi6`&lbTX;N$)$I4S?#HoY))jt7UDh!+ zE6wvo-K(PvR&K%Ly51vHmeu(|og{_C^383mTXq=FlGxSOp_8$!xU*Gt01k?^c#5uW z8Vk;HNmJF)=@8cHU$VNZ6d;RnSX9R*9$-EDR#a!76vsj{Z`GmE`&dpN^Xr)K-K;@U zZe1`qnl;dOp|0jYB+CwWrfy>Yc2?q>w7St<VXS(@(K==1Ru;JZV4Y|9W|lLAQ5PK? z#2RTM)vemFo;99`t9!U|4a@&2qHg)Jm8{fwa9!A9f7VEALY>2cWvpxa_to8U^kG3C zM%TTW>BZW)H=?d*k|zt)98y;}>c-05y{XRSt1Ihc)4IBy1I{egu9bD`yBt~W|EAp6 z&t|jU$9UI;G~2Oy?s?QfYG<$}VqELiRZL@TYjUi!C?>O{yX@<n_<xw2@7vUQ-1yD> zw0lxr*Tqq0Q}eG{MEVHx{@#(=8_D08-~Z8!i=;2i?XmA`x57U%b^qv}D0Yw;pU_=< zKcb)M^z2owJgApB26$GxbNL(QjF&C7cicOfA<(AUl3A~rH#+KTkNs(5E<n}PPX79o zY3k9}M)o{n<|Juqw?BEv%otGC{;IpryhACjrE9Fru21~hI|2(cid|TnnODnvHhiNt z;B*c1{E@4*S)3{+ZalkIh|x3g>E~*X#g#L2rk$#_4_7lg&ZpLbR+TanW~bDmJQU2& zSJ<`Qwo<0bl~#NAhlqLeHlg-KFP|y(!qhfDDq?nvV6{h$cbK6ofVI8Sn@qDRu6E}2 z>rCp#J+*@wdCZXNsM<61%ghZC+iKgvIn0E6TWUY;$YS2uA5?2teU3Tx`I_1Yw=>LZ zuz=dLQ!|*cZ+&aed^*AW7qU|u_Tm_G<5#y@jX8yxl;TqRPMXZr|8b~oy~<_=p0%w# ze4N2-n?0@eC63B0y7tHXV-Ja$>p5m_*??z?Mc>U>4=l5H)n~JM3W^z{`_EiG2xC4A z>ouQx1ZJMKcABSF0GOz_m*#DS2~6~>C+5wkW0~i$56vG)dzoz?tY+x`UCcd)>ddD% z>|_r7sWy`r>|n~zSD0-l!kO2c)Mk872vdAVVLs6i%<Nt!F~>?bGQ-Mv=C14w%!aLZ z%zmu3%!7^B%_|SAV#dT@F@FjQU`BRaG?NziF^R;pX6`Q^X4$uN^VJS7rcc^&^Y$7~ zW|i$>v#iLSdHfp7+?qb0iS?$MRTvj0ONlq{jhM?62cyk`B@WD~jZm|0iaqmO0>GU4 zb_R2OcdU6%-87~RZMPY~o6P((8fgZm|6zEY4L3WXeltkUTg+LZql}l_Ky!`92!pwL zjafN1#8_SJZw9~k%y8N5Yc^>=FuY$bGWT5hj{zjOnQIt*4E3<H8MNmuW8-NDv%;^N z(KFZ9Ts^geq2W$7pYMLjP_Fr7a;$pJXsH=B9k~94F@OJeQv$n{alZ4DY4+X+3@`eB zrqj!s7~SJ<P34ms8I9SUCjRR>#`A?QO?$K^hQ0EMNtIL0AcsCQJtkB#K0maYl;JwY zZDgG(YJrBq`ciGW`L&cmORq2$-%~Iy&sCcaa;1z8zQXh?Ma+l|l$b(e1&sF%Jk!=? zT!sR4$22m2hjC-@x{3PqCPRAsim6a~ozXix+w{*K#E9gcH3b4LGg{VXm~IB-Fitic zH&y<<zyN~}o31}Q%Yb}fnbt^8GcwYsrqd_V8BgXCOnLDq7`sJi6Kd%(#{11M)4=Fq zhWY`(wBo@*1|J<~3MgVR48yxk{cJkp*ZC+DB9g+ucy2RYb|o@~%C?yPNnRMmJA+IC z1~lV*`x?`giwH(8E5M|MLm4KUrKY@9AcpJh#irGN4lu5-bvK=9iDQH|x|nX<-N%>> zpJO60b~9|g*_ytFMl*cRPcv<r9m$|A`dbs+v5nE89jh6Yg))-&4A*duZeawz{ahoB z-o#jVbg<_3yg<e($9FZmd)G4XlCB!9Y89g~>{U(si2z1y+tZqw-F}Qo|6UG0-<R>q zrm3c)*Nf3!SYOjp>dCO#SX0A0?#=+U=xf$S&u2U)Xljl-xiFIdDr-)4Ix@lwiff{Z zXEWBX6Vx<t>=^s+7uHM)ox!+*E2tTrK8^8b{A!Kj(Im#z>p3+GZ%xo+*PgG5!Tq8K z-9J^ccI8icMAC_xXT#s=NB*SL1R1~5Uled^5;8y0ksIhWEB3vo&u$^sm|X_weI#tn z+>Ty)|5QZHV!<2woFY)oAi0x{-jYzmT>F~-`uX0PvL9{qRCaVtY4uZj^z4Wl%Be^6 zt<sR1p6G{kP}HUxm)ZB}w|myrOncHuw@q7Fqq<&CFLL**SpYNB5f$DwA&ZUl$i#&; zp1qay#39!jgIGt;zBISSg`%N<S~07}f0c@!(lov1_ZI~{fH=7(OD(0_*o+&WCyVK} zf*;0?jRJbm_90`@Xc7Hl_Xp!*!<~P1`i-#TH|eT{J;ub4>-3XV?MB;)tMnt#Hsdj4 zF8$`%BV+N2Y<h1&voSC90v))y(HK0DN&oi3Y%HukMXyMyGFBfyNf*x784I?g(yJ;| z#&u&y=)XX6<JpQsbnK|ec;gU<{=I-}#BX5ImBF`-U%yi6S6*K;ZYm+s3y)tmZlU7o zPZnM@{_?}p*VbejQ{Exz6{vKhiVLF?Cm%P8;b8hU!674f0e~J6#WFTNji=KGD8_G> z_S0)G;Ek_$?V*RSL>tql#nAg&pvLZ+NP0ONV4OIzovw6=Grm|KMsKayZDf4hLU)Hn z8EZwG=$HP48y_PB=_~nLjCbd+qkoGEGWtDQMSnZE#>hAyK>wW+U}SCcqld0vYFs<& zLoaSyY*dtb(L;~B8{ZK;>Ax4xGrsn8r@yt#F=jt=rGF#a8GmOv(^t;1F)rKgNYB;& zt)BC97Tp8-t6HnDr9b{VTs;pvovspnsScb!m0r2$ef69Ne`z0v-c?J|$7wtNNioI) z$7s!Aud8Pdj?j+wJ*)1x^Nkjl-C9kI`$CJ~aKE~A>POn~*OqFn?w?69!&H5U+(+Bz zZ>S#jcuO08q^(}l(nYfzDXsQPZ>QCG$*Mcny`=Tr6ISo<eMVc&E~-w=e@qj(-Kr)< zwa}vK@~a(x+^5Z<=2jmTTWM2VvZ@P!7TRLtnd+43wKP28WVN%-NNbyOteS>5(3q9U z)u&u4Xd7_MYCye)<~5sA{f@1oZK_DBUgxExrC?CiTU(^GxAxHL$*E%6VO?T%roVs| zh>5LkY%8L9%-UUDfBFt>WkqCl+S;2mA~wAGN5?hVgV|fE11?;p!72l*7Y60hobhX_ zo8Mfd{csGZ-k5!!HpS>$joOq++e}_u9rZSYRxr=K`oHWnns=Q`b<C#YwC9XD)%czi zn#RMfI{acX&2Vp8^@~6@?c<@pRV%vav}m7SRiWo7v<HudtL)bjX{l*ns&2f*(Euyo zSG`F`)4;FaRrN1N&@#_=S4kg1Xq_8hR|Oma(vW@6s)&mZ(CqSCtFVo+wC;%eRqph? zwC*8GRj%_c+Dx9Qs<twU29GmTm7yYNum5VR5H{hoQ>CR<R@qisB1%^EC3Z6{ZjPwx z>2M$|#Z**v=;k__m3gb`S?Fq7gx9sIuRQ^@fk(Mj_cQ%yx{RzUw7)M+wLY^-`@oC# zwD)9HBh!=SeeGD)H79r4&7Fs;yvto_A*0MH3doseE2C7g$L7%f`6sJ_ZqK55JD{s{ zA+|KS8Co^cX+!Jf0IG)4rqE6=jjNI^{!88ea(9)t`8RdP#i%L(dX$O_+g24lX@sgA z+EVqSXo%`93aY|}f2NwjYpb%m-cz$?t*A;qF+e?GURt$a;XCS`WUs2@hBwrA%RQ=Y zfjg<7&UsZSKVDHst~gdX<+o9dJMF6|>z-0oziq10TUx0ts!3G`s1K;~2)_-zGn%O9 zJ$@M02^*+OAAdE3ZmXkyI{U#ey`zTO9nx>eNvWcae|uwi?4+mekhUA1Dz(&dRGZ;y zOc^!7^|4`2uafHiu-UNdgp4}tOrv4@d<oTmYpvm@N<d|Ot1?h_bE&;jo#A%x9cntJ z%#f9OlN#fuFl?N6ox0_**uYg@rGhW;4A!VzDtG%GgQD{y)%n+TL+qjR)H3xIL+Pwc z>QQR8;h`XdO7}fykZwt%UhK>;L_9u5eRe(7aFduqjfqP!luR6?4%l)G8F?&fSv}1# z*Pl)mpCB4gHDqeTCai&afIxNp1~&x$hozoXfDF~CDC#m|f?@Jp_`g%5eTJzbF!jHV zXoF=lfNEC|VTgDTPX!(bHJn84r?xn3HXQx7o65ew-mo?^ni_U?l|ei|lDa8kx#5*` z8x{6viJ^IGC{<?gG#q;vO!ZA!U>HDdq&97EHaLA<Prdndw&8dB8tOIWOapK3N@^8l zs$n{RId%5(Kb6bZFQsPnjaJUA^QNvBe6N(mFQQJze6F0@<4&Enc(BrwHJ`e=v$t~O zk2Cf3?XJp;IgZq+&{vh+9<!;X3!YUDNo}cTU$j)#ZkkR#f4!+PwqXiY2e4G$O8850 zm}jaKcK@d2J*%vw(MBl|`P#~Fqr()>#L~)*nL`vG7g^=zxt}S3XTr+SJMSs#{G!Uk z%Lgc10JkdDnqJE1dDkixVQ(l8+j1+DnmQ;iZ)8=rA9zJ^fMizA>3U9Kxu;i7Aw8i? zv>&Tf54BKqg@-D=k2h0pp;(psr`@B-ys4GJITlJ^FTV2ayjsd$F}iZsT_dH71gm5$ zGf?iV09GcH=qP7D$5jpoX(+eL_EaVsODP@6J1aSn3QEl8?UnnRiz#n@Z>=1R7g2<! zjg{M9@+f(y*Hwa%cPS;&D=SyOyG0qX_p7WW-=Gj5c~?4r$)ng@TUhCne3{Y;nO`|H znoVg~<Wza$#05%!@2tvulh0B%NM}?&&OAj?Fs4-Av^`0Q51i01$~jK){`FIjbv#1x zG=J0M^O7k$&wkP`b!Ag-?)^_METB`|oO|_e-6@oYSDpIKI|NE0_oe>EA{>QFe5!Zk zqA0DaTlCT1aLS4w_w*rrFhy;w*MC_8px`rW^cX=rC30V-{;JP@O6)wXK0~mZa<;QX zzuG67GANSjZ}E3fjxdCJi}yClhD}9!B{ziPKIxV|ad9wZRa3scvM`83znZJ>^jJ@M z3Cq%(Z?2{=muBiQ^H)&*DYo^s`F@lomB;kmbA2gcrw{3?E_qQh_p$UKdr!*Pe5zh` z&W&>OEna_bsw;({MC*%AI#G~EVEUln4wTb7fcguE?J3V3;`O(OW>Df^@6pp}(<r}0 zJN4uJlPIn1?fTuA3G(C65Iv}UjQrPjlimmLgA8d~r&qRoBfsIV)c=V7Le69Q>HnBN zkU1es^p@cN$Z58o`d#XGWL?{QeRe<(*<I+Q&*gWLW$fAdIQQ3NV)#sb>y<Wg{OqZE z2ivD)V*5meeR?ZdDjlt`{%9sIIP$$>JN+J6v-5Msv0e-L*u24tgOFM><6Uosf2)z4 ztLdsJiZqZrPQ9vlTB#!g5}s99S8K?>y<01e2ujH<LroQf^A+TWwU!FE>|*lh6;s8G zNg{GQ)=*J?kVkG?UtZxoP)I&ERaLPUdW(#ICaVZ~c%94=i7L9n^T?9J+=`v*T(W)4 z?FyQ2Hu<*O^@{lG=gHs?mn(kE$RtM?FIGT~W{@GdXDg1sKS92O$*6$Cj*({trdEtM zA0|tur&Pc~4w5;q*cC?<Ofp$Ps{kydk+V+{D~2wT$n6Q(ioL&+$lzs&3Oofvp8N|` z5%vl}e)%w=qAeOqZs+Z*SfvA!?GMLPMED*c6L;^Zn13~vJhUjRLjHFTS@L~z#UEM> z`Qp6|6^^eX$+rtvSM==MPJYSpuV8CJ$q_NW73RfT$geyXSF~K*NWS~st>X62_2f%U zE)~mhYsiYCITZ(6R*-)lva3i7UQTB2wW){^`jTCICRIFk@Fw?<{nEJ|^(6N_8qs<6 zx{;lVzv>3|x{|3UKj>&WC-M-mUstwx4q3RmM^}E{o}4+oLw96o2Kj1No9;Jc8u_8_ zu`Z-;5;-XMfi5&)f^?r~)lFO(BV})^)14R_A*H$*byetZq=Ii1x=QP3(%WXW?(pgl zB#20<`<_2Qnn)|wt^VChY5@y${+KtUhwJa^-ZgfR#%A5rAy&R3B@E>0u3vdhdR2Q# zm;2)}Dd)}w-A-5wiIH+fXEfa>r6!!zefP1F^sA2P2G7-#yloHZ?tU<l^zWED=h$je zs+ppTR_jUhJ9yoedF7<+BWT^HlrqxGM3@fsT1kpu2h?2-k&#Ac$Lligib)UO@6oNB zBp|g|cj|bUBGMn>cHQH;+a%bj5S_`VfYgWDq+^`PCl!XS*LA$ROgiPUN@p9DL%KHR zr~4tiKx%ujM8}_YmK3S;)Hx)bB6a64&;{40k)#Kmb-qiElZp}?bS>#AB+EKm-RACt zB-gpqbl6Z9>Dt#n<$G?^NIM>ll@E`SNQ+d%<!~ULwCwVi@-rF?3Bh__PIE+(YUBFK zo#{~0fpu@nk2V2GPEPIR+@%Ldf4@C1KbsLta)0)yd}Zez60W?t{L1DS((CJu<+{8` zQreN)^4p)ck>a4$<>9+RNpT?+<w8L)DQ%Iu+&U3NdNoN|E(fhAVS6Rz`^#37+#C7j zWp*n_e~SytpW*ySPT2+J71chZ1I)Z~$UHAnUBadED*8eaa^r>a&V~gffA=%xHJ<ZG zOa7#lqYup`#k@UQUi)A+sjxA*{H>22X|bGHUVm&l=}|7FJn8WiQb{tt+~W6_SOr0s z_nr7n90`M!H$44GMEU~D@&3ca5!?9khP1Cl!<RkfeNR6U70-8;H!L3{KCIeao^+y* zxR4)GZh728ym@|8dGFFLVia?IdHvDX#6`eW<=BU9#ATt&%WJ)!5@EhR<vj;mi6;9+ z<>tm_V*K|7<tVp%M5lJ=@*1jzIH}&DywhkVddh9fjdP4dQr@(3IHr==bNt^xsV*m8 zNcyFHJylIa?Hkb=5=)4<jbF78k&Jk4@dvGbL`?iKvtRo%N<hr~_C{NAt%w-W(XIvc z-6pPXY}4uj3y3kQ$J(~j`NYe&9%yw>E)!>DTD3s$9HNq0r`0hp5YwSXZJRNZcq&S- zt*}2u)U4HLL69_})4~$1UVMyLG()O=_5Cmr_FbSgY&%Gd>b|Rm<uHlJ=9^mMD=JZ3 zk+1DqN+K>4=4#FCB%=9pmKJM76Z1}FYArJn#A}pv?Z5#Du>o{kd;czg=o58VOCO9U zmaS)NA8puAq<hh{!zXqVK@LRiOY2S|YaF9Zox6jme-GEbfrb;;yaH)$gj<QvtO?rp zA2$(;b^ElJg93>%QH*xv#9Cr|{toTJ#+AgaXTr2o9Q=v*4+d+cfThH9xInFAp*Qj1 zfi>FA?>vc@b_8g>R=5*iZdj_l$95&g`+8~D>79t9E*@Iw9|vNKjjJ{?(*ED^wWId) zg&D*d|Ex~n)~Q7DtLfU)E|ZAA?@!h;A>)Lq>T!+7-BE(9<frD`+hIZz_nSt$Y>42R z_esN}d?H-U{7(~GGDrwL(yOT%>Lbjjc4<BZz9lS1zS4B0bP+)D&otSF*MyqLR?WnC z8zFJ?ea-6drv$f^4H~bER>CYVv*t-%GhvNOl_qN1JwnDzo#tqig)n78rJ<iS6VyX; zjkmR$aHU_YxiO=Xz-{Mg9!8fFIv?H9)SoLO>}tHBIc`-F`l_yKzE77CRAo7u6+6WQ zndH32H<M3zT6kL1RezVTB0o(7nR1(;&N`~Ow(SOioRO@#l9oq^J;K!Nug)bTF)5nn zv5SOa0$yXg={#W}60Mn;e1>2Gz%=(%>4Yo$fSO&OQVCb1;x$?RM+x<zdo|hkLj<3V z(VAEuo1k7Dp?TUtC$RlOH47F{2q>@38qWg+LaN&a&AS{dp~-2rhI$W4h??cE(M*96 zhHQK_RUsh4gTIS3nTHP$+Q!^8j;dIK<FJbcHn@jy^z$4IaY+opY0yp+1dAlR?zPd> z=WQcA>6)Z*dJsYwd-YqrWLhvG?)eY(_pL#Mwnszi%!BI)*$+OdU&>Yy*!Kq1AA9@> zXDx5lwF{OJ?wdQ+z}O{(Fyl+LGIJ5(ZRJySLzO$BT-Tz$JLF2xYns%X{hSH!RTgy~ zd=6o6iAi00#h%b5H>k54XAp{{<?4XnQwf(PD)ogmlL%!Zxmt=F$4?Q8)faD!;!p8; z>Q&9d`1QOy>MMV~;^%U2sLR)V!h088Ro_k;#G~)#s3UIl;adyOt7T0+czWS!_0!*- z_>jUhwQ<#J{FcI_YBH(~Pby4Sw_SdMZ!Bc0r`5IK6Yo;g-@o0*I~C#80v{{>ZxLEO zFR>o)$%Cn*&zSHOK2W_?TZJDG#H)vTEAaWEy=tPf7SEPMtBWF3_%vyRx`3^~SII-w z3EX1*@{-N!mKGttLA60`Kf%Rc)vQ+At|-Lk>ipGB;G6jBN?-Nvv)Ax$#>MJv%@w@Z z?5@szlY_@u=BX2BXW`@SIjY-(&*JG1?A1#Mr|^bH)72}krr|d|pRE32JcjRkHC}dV z@G!ov>t|W3%R&63-fv}H;Y_^4;HR<@3KgIF`M<L8Yec;JaBtaF6AnK()>S4MMBxYi zzA8K649B}pe_rMi3dSFr^{9+aNW@QbZZ12Q8;7rVYb?X*_u@5PwPllgV(?G>s>@Ke zQFxy<6=mt`w&R5x)n!;nC>{`|EVIc7#&6tNTt+Pp!bk5HlwE0Ehra;4TXyuvD*SKc z%`zX)0Q_BIep&90Wq39#x2&4H1fP5~t4x-=2w!|EvkX@5j-QpCUiR>{E1rApc-g-+ zKs>(aaM_6O96U_QE>rBX$ERy(WlI?|@Gp$SGSt<nc*H$y8BF&#Y2H&r*@D-<lO{UB zWd##IljaQ`D0}7gPuV`azpP`&*Q6JJca?DoACofdqso?D_%8`Ie_I(t{w|5=v$gEV z!#7F%H5<z!zjP!ygsdxj?D#549<#E{YyI=2qX56Mbq5|NrC^toO-p{5BxZV+734Q1 z*_>EV_C?!}bS=xdY{v82Bw&F<S<kRBDMoBtcEZJwMA1$wd%Z!IWUTwE`jwzg+V=RD zs)JpUG}1kyI(b=^^!oEx)w>dL($|R(s#y>DNt<R5s7Bu3O)6RV_MZakb`o%9r)u`H z8%b+IUaCTN<Rt~~eX3f8&P_^&x2WEvUQBvJYf=Gjo=ZwSVNqq5pHA9+$)w7Bd@?Df z$e;@UbUZ0VRj#U@c_isYtxEOVCpn4qRIVBiV<iRjiB%>DEy?pIPZgO=O4@E)sLH;S zlyu#rKy|Gco#e4PPlc|7Cq3DAN%g56oMbp~K@~cZnDmrzMz!A|K55~xld2_t`;u<t z98>ATcO~uM9a1@hqmsPJS*ifm_N3KKRMqtJp-J>kf=W~roYXahQBAK1N;)|mp<3Ru zE-BsvteV}oDhaUmfU5kre^OS|e$^tUWl3M5yHvZEFG)Jbid1b5Ta*-gHeB`J0r#X` zcebdYWY?sF>L68anp0Biy|t>dc@9bN?iH%=V!I@dAInsR>gh>#vzMqwA5BSG;p?dy zefI}<V(S7`)#xwW<O9yC@L4}`<rD|i*~Q;+cTU-=F0cQB)7+Y-f=7M8jjR8bz6TB9 z4mbZQ-9qWbdA}Pe-Iv;p`!oKvbjhW5+$`6RrG}yxxV>uzN?ppH;*2qGOV`!4;!xPm zQpcywxDBZ<OUrt#xXss}md+cl$1zncrCX<&aWC#SmHN6=<Jf(c(pDcmE@YCqG<1U& z7wl10N{>+Ch#PgK=y(Or8edjA4_%A{(-oyT3?a_rf~3@%%EiqQ@k=dPcW^<qg{5Z- z3UE1{1*Ox)`8d~Kd8NBGmvN6>b4%mR**N2dtWuAM=W*?EnWd6fXK*VR>7_sV(s7E5 z$4h5^OU0q2hf6yqj^K7!*`)_<58<GFw9*GIY#e_osdR8L9k*}^uGAVp##y%^OUXfa zoDc;meHf0xY0?r)hj$@x!-cV>od+N|f^m0g76O2??~E!PBgEr+#<!KOVC};VE(|GM zd~_FXN$951wu~s;CB*vDxUB8C6=|zVbFPNse%)POnsX}{_uJ%C8p{vDt?pS=`b@eG zmp8?|bYa;lT!8PqQa`;v?t7GD=}65|+$W-aX=Z~r&OK{->8oZ>+!4j((l3wQaJH@E zCHG#;!!-~6EJ^B|i!-}?FR6b!8`r<-bIH2_TU<D7u%zju4X!EeT?u<=GA@(fUD7@> zflY3FU1Ixd414YU^OCWN5$u4&;}XT>Z&=!f2PHl>pRqn*YYBYjd+e+ebtPc?eyktg zSTf(?EjHPzFS+H|h5i0fQ_}798Y^@vEg5iW!=B$PE77<<!QMrRO13X(!S<iwmRxna zk44LGmq^?jv9q3BFG=&L!+sdOQsTC-2K#$)PD#o_12!!Bd`ZDV9ahUYU2=S(8VkFg zR^qd;1RGRyv?RwvhTYzuTw-t+W78d2B{DZY_UlG!339<*Y#xeG^4#?nmV6#lGH2d( zEV~R|GRyfYR{k1P^1$&DcK);jC2<Z}Slz1qB{%HPV$XqgmGEYs!d}dXEMeHBVQb~# zC4VL#!}_;vDM|Qq2y2<Nv4s4KjlH^JUCE9gbnF%2%95TTGS-seR}%3FkM&nBDIxra z!J1!smhA6EV6&#Vm5g>muxHo0ln`D5u;uVMB?V97v91^FN^&0T!^+BSN}?KfVcBmd zm6%OYSjwDn<zM}F?DdeJ$|>ql>@fM8(kctaX5RRuj28r9V;cTb7T#Wm4g21!ROYS1 z61}^X85jMr^|7y&^G`3u#-}}3a*lapos^H1m)V|Jn~r8Bo8*RFX>V1!qUK>Ux6~=q z0CTa96r)nMXEwI=re1k-yDiq-q*3nOWP^P-R-&w1IT^b(K&Bk`p1@e3BITIt7$*H9 zS81>x!LX`tE5j!ZVXl6<t~@vV5!1iusxt4t|1gZW93{5n9mfCEdF4p!8;q;=v~q8K z2WDe`nv$S<iMg=gm@-oO3^QlXA!Xm~N0@sjSjs(@9$>U8sxmqK9_DH9KN7>SV3y9u zDjk!|7};)w@(iFFgGmD`t9R-#d({V&6`QmeO8<W4;pHlf!F{)KY=Ht378|A9W?PII zIlWD}drW}o)^AlV7%ak!f7+;&y}XUt<Fj5l>D~=Y3wV{%ts)PTd1<-wrzjW0u=pqo z@-Jet{)OgDoH~bjwbos^jC~pdC(ctkp-y6)?m8;#_a4XmerB)Sv?T>If7T2o%kLnD zuw#mn=EB7McWgqjaxxWjS3RmweIj7az5lKleTBscmV8l6ZA4<eLEkH$mO(Lx^7<6S zLLg?vgExwr^9dLin-0b6gZnXS!(J#1$laLqlqU+ruAP{vWe*h%8zL~3@9!zTdWK;H zz81xs8Cx)Bq)CxC6ohfSX;9d=ufya&EmuTaR$=xylqq&9{V_qi6bifROECw|NEBDo zyfH!(U(rSI#Q6U%RD9m=hUwXOQ&G2Z9_Aq@UjbV*7xS?sS5aXz8x!^+OY!pKOpL|v ztfKnqG)!7jh5~PxgrV|M6;HV1=!;z`imB&D(cK;g6=SqvG!ep3s1v@Tm)syL)^7fY zc6^?s;CTLr-r$T@9GUVCeIXvE*fG$JcDf8yJZ^4Bx3<J9d{r;d=GprcTl1fy-|dZ2 z%s<kK4$0o3sDL%2t<7Nyk8mscoc$KXjwSWzV|#)WYi&$u?!~o=o&f{;SMv%5@V*Xx zewLpiSD{Al-nT@NbEyQq?UJV=jwM5rTNfx^#Ea35jxLHN8~A8o!W_jqm%C_>d^?5B z$W63!n~kFI`89O-g2{?s<yX)}&~drP^&Iqu!k_ZVhcBRicYl-10h#C@OFqlpf-=xc z34`*zE+^3ErSIgC-;badf9jS`dXkKOzqVbTu417FliTDCmuTpPm5=4EG!pvs!~=QZ z?j*El#69_%<!JQBv-R?GHgNRk<{CNwEeO4Iu0ejvbO4<TESLM;iAArzqmo}avIjls ztz2$Oj7HB`E|Hh5-GScD;LFLg!qF;ip}cQk3p(L<fqZHGMznuKzC7UWdUW80T>0>k z)#!tdvgD@^1fcuppOv?*T87R+Wyn9zSb`==Qswu1Jkcp%QsmSsH+0pegYw7u^U&+l z8S-)ZTy)nxvi#%D+31?NcsX~mE&2%*Eq5E8hISXi<e*2B&^JB-<&ffWRLrIXxp(>~ zYE{NQxe_#t+Swc<|FillDsNt-+<E#()I4;!{By?us#&&0o}=kSm5&6;zg_4?J=?ZU z?tyMc`DL$^+irY;DtX~2ueN`RqWJj8H}tfipv*;bmhL_(t;$W#x!8z$F=L*5GrA6y zl;|k81lFLI^6cd<wg!~P=jn3)&T`bwtyARF%F0l}^Aj?`86|2{+o)^?Sc>}VGb~%T zLWKIw{vuoahl^TielL6S=nm?NL%(ddpa2zs=#ia2l#d#fcgV7$FQb0{dLfH<%SNr= z{Z!WV{v4|CW{Yf1^=VYtV3TZT&PkM0aD!|K@;GYY1+%PfbqWgds!F!}F9-F&ze0AP zm4P~VOf8EnqM%}%m9jAg0fky9l^qPjqJ(szOfwsaT4XAc={q2(2adO8r{n-sDfYT- z?y-23ReME-kJ*QEo{=Lv>ADM*4>>PGzKcZdR-BeiQEx*9{!NonPllilBpj37+PfK5 zEIK5+<Q9lpJI0bl_pd>H-b<4;s8^ugaEUV8lYXcfBUqW!9v>8L7gF}dbuntV5F$%{ z>y9#fOO!qM*U`1nak39boKU@Y_Q+axIG|#_?UbcB*r9r&BV_$AZBV6!p)z;DWK`Mr z%`#{D1afd!pzQI+F(i<?Mh2KPg8ca-KvvZB71^?HnXKgMN8~G^x9k+`KcxHkLfJy! zcgWlW3uMPXb|bgQon=MZcBI?XIkK$O7s%BxJ6Uk#Q)G(9MpkOyiu_?eSvL6OK2n-A zE*-qth`eh2DJ@0UAyuy5rCa@KkW*Nnr58U{BD0zXrF=~}GTNt4ns&4d8GPc6baA*6 ziFwg3JvU8?G_HFg)ieo_`?H@&#h19qc>@on$OCtfb0eCh9rFv25rr1%;*LCI@u*3< zoSTb`Ostar#9c(XsC3ece&>+xv((bQ_ot8ml2U3@l7?)s6ieT;jv=YuLg}>)hmkwe zilozju#v#_ThdTH9eI26b!qT1GSc<>73ug^JhJh7w)D&d8mWjsFMV7CM>dw8miC<l zBV8R%N^7<yBJa?SNfDFdkf7#6(z@C`$mjsJ^ixJOl6HY6?b^NrY5k8V&7T~O1jpc{ zj<s8mp2bM%f%J_?x0z5WEPOq37YQKs|Fa6Ix)&!kR{JCO`0tg@KfV;XFe_TRG1wdF z^C3dI@aIA#YHyhIo^}E9u`*a1%yB^;nG+;suW>{I*lVSXkM>C7(-qS7(izCoAU|mp zekyWh!4j$clD~-VU!GF0u3rc%!c97U^9Q2e>>|Aj_=X7fb(Gr7{fwwTXD{9GU=VTY z!*r?Nxjw|<*eTMU?L7#dW}+A~-hr6BV60f8dx^M_I$W$_Jwsr7z7%H$JVM}hekk^Q z*NnI&?=Ma*v?8X@eOt@})+3~cJBzdDm=GBqFN;I(84$S<&x*C_I>eLW*5aYfYQ&y7 z&BY^Mm5ASm8jDTEQpB5%+TvKW2=Qx&u~;;phu9<67uU7iK|FTS7K<_q5SNaX7Vi(q zN2I@%6&t_hB8qp5i@z6NL`>K4ioYPwA#QpU7MHo4M&QmA6bIi=L*PE;6`wzO3{e2g zEiMc^jF@K5Dn2^MMu_~+7JJ;KBU1A+iccqy5m^(d#p>yJ#6#kdVu=Bb*!kpOF_r;G z{0Lzdzw-to+9j0YRnHF~emD_|x1Nth#H3-09k=d5v<||H6`yt@asc3B2W|u+z4k!y zri3trY*lRWvT0iocDHsHx0eSYxYKtQgNW-8w1eA=Z!K7bV7v(_7BnqKi1%$S<{a}y zxKwQ@wq4<cs9e6L7~k%J$h#g;eBt7JgmBujV$N1)#0QRdvCjtw1i5En@vU2Sh=p+r zieK!Sj+kz8F76nej99jMPO(HX0Z%QoE8YwogU_5jz4-F95qNXz<l<8GSGeWlxa1o8 zBm6sjRI=USKRD#!cZs&D7d{gDMe>Q%4Y!uOmwcMn4u7<`Us7Jz2A^}KM-st&0zWgQ zQ*y(j1->Tvr9^FQg3s-LD#<_C01p7SN<tUc!jIm+FHzjDhW`m^l=L3b!z)W`C2zd6 zaK#d%MA%#ke|AkTS#wwp-(ahiq<cx=_fktGH}CV|`Cny{BguE+H<HAXMT>61AHC#B zE?Td_!}k?ROsp&LzS;tb!7T?~yD?vqT6Z4)Or9&Tp`L*+^1diZbWVrgy?$0gtvU_| z%sM5B!KJ_>PMwf^vO5STj2@AI)eN|qku13gp}^z&n36M-2yizzRT3q^z~?_DNFMA% z!1wLOO5BIRaD6R8vi4RY92N|b%-$9U4=hWRsQUK6clgIiJT6AVvv_+X`_}G&&v1{H zL_H6KS6z*e*c{se7uknNvKMWHTh9bb+UwTA7yk*Ad?l=cm!zzfJh1bJCl9TVuu6R4 zEUKTRJ>Cm0=vyM0J>mhMjb0>~UN9eC^4d+(xWyTM7BEk;ztaJJ?UAG8&PhA?>pin1 zYVYZAkadP6w|+9*ZpTzfAbtWiT=PfFojwMexAm9!iDVc?uNV<OjQI*1-!LS;H248l zr}`+KpEUrhS@oZo7|;v*ChHZSyx#>wEbkU0D6e6EM6bnD?b={3eA>ip>0{Uc@3B}M z^ANVm>!FxGa1SQGdr$liD#Fm77V%eKGi=WtlXypc6^!9gB}QN>VE1n6#9@EcFo?Ta zJaDH3=6y>k25phSyxjk_;TIwp@Rm@Vlgxuz+_~b!xrH#&?c3tF$^uyA!W-gEyYpe7 z!mHxM{#+Pu(Is*4sf(~B+zaA9&vUTX-kD-><tf;GLAp3EF%9;0X{z}8m!q)##VKNR z)*)DLz(MghUp7poWQg~gXfVxMikJ=|!9Hv7V!(GC47~{>o}Pn(*;T>CY0F@+4`E=j z(FBA|tv?{PfD>Q|(XryZp?$Dd&AY_`S-W62;&zJ9FNuO>Ki@7cF>HfXLPN!O5<*~} zZ#IkL-*19d;seDk85>|1KdccuyRCs;XRi=@sRCdxel8Oa?_36pOIsqo-02OQY_mu_ ze9#j%c+pMlW#<N)={!&D!gYb6ZaIougB)R>y=RFNn(bj)=?rlpdIqd)-Bht^WD2am z@{j0Z)*om>#4pk6#lN6G?vIEHG$YU_2ZluVqlch<9Unyv?H{3Q2>*%BG5>>>ed!g= zo%#++J=!h8UGIj%r?!hu__sqdbJ|3x>NaTq{3jyY*eB35{zK96o`=x=tC~dR2b-V( zy+u@E(*V7;!z{|UVTRhbR*9VatDy#Hg@|UTfO7lQqDy-;&>BvO=txHi)a9>Kw1O^! z@-B)*q6ra{uz)8T$l*b8qC27?&qC;p^#vk}vH&{GoF_tt=0l73<%((_<U-H1XNf+- zE<$gR&x*R=pN01R%n;o=dJ0N7dqU(nGY#rJ@2H4;{V3E$m@G>5Jp>Kkz!HJgEGWN@ zDjE-`K|>OVBJx8bbk19>s1S;Sx^a-ATLVZaYzkC_N`^w8Uj>LhP69&D`^1YPa^j&J z?OqYuZ67p0Dn_(Z6a)R-wnH?sHWGT06fUAxheLP$+9HxiZ-ws3-Y8N(*$B;Cv|e-y zu^#$UwMyjv-zq3?hrj4J#~*sS%~vEG_k|Xcy+qf}dO^R8dx&Bk7ebF-o-cZQb3Syd zud~R*#~Hd&?;u*OaDbBb+KKFf?4VEH*oaDuHqb+dCX3v6PKL(WO$cLK#v!<(QQ=<T zD5NBCSm@OC9kTBJ7a<S-1@aN~K{)#HJ><<uzi@tXKV(++TjAedJrLHCE}<%;6Edsv zmC%3YE6AJJ=R(S*XOK_*kA#O@9zoWpJrG9UYKD}~yC>{jY=tB#>xI#xI!Hp4Nthf^ z13A)Z5RywPA#I0rLchRr2;D&~EYYhVArhr<B18e%u}vzRS1W;>dL<P8h!jA6akxV6 zy}J<ktUE%dy|*BF;v2$!t=AyhZF$1Dgewq-_De#~=h+bMp)8>qbRI%+JS%j3eHucS zX9zdKPeO`!o)9kUItKB0dqmiUI{YtrBUy;*VM7#dETI%jhcuN_h1I<z$f5+IuplW3 zqWFvzhV-Ez2QMOpGCT~zSq>Gx=>tNf4FF*`J^|u}ix*1z_Ce|<?GtXs?}7;K#t5&z zi-Z_MB8BC+Z4k%ya3S~YR>-ZRTZI73CJ1uDCgIcW^$=*qdZ8O~H6$0fTIk>551AP8 z7fyjLg$S-K6$)N>K{A58g${s)kekmJ3L~D(hYTKCAPkFjhHzY6gwq~4KsHp&5$46% zK~{t9g&!<7kbkMs!dcrVLmu3kBK%Q34rYh^5lA<Wg7<fg36^QUgOk!n1k^QO!0IJK zf@8Ax;4KXw1qn<0!M}+A3BDKgfWO<l6Cm6>!AncJ1()+*f-lFn3$h)afdfa{1PSL` z!Hzed2zn+rgO`T>(@7j}1Sj`231Gvu;NO`If+)HXToq6&80*u6HLcZx!$>W-FIg`z zwv~e8+_i!S`{m&8rc!~ZQ4D^BmkVOT_~3qfi9l0c2o6;91%oSZf~&xH1p|V7aO&Ti zf>QU(;6vhTf^AnWf{XWE5!{+_4(vRVEvPwh3ar0#ULgN|0(>p<i~vhM3RZkPDR|qJ z4E~*eT(B~c1wIp+A_#Ayf_L_F1Rh~T@b+AWpjM3qAKFY2to1{J-}c}I<l7MN`HL6< zZ4LlT3_=JtpN<2kcY_5Ff9wH2zK|$bNr?u-1LFjVoe^NK?mdF&xG=D1R<vMBeK0sN zXouijP!PEOO_-ofvKCCs-Xi$owi3L5^G3nri+*78yLE!pi6!8g%c}%Kj78u*q5guk zZ`{Dn?|lWq@$<mWH@pP)b&lY@kqZTq^|QdHq4|Q@f*D|Hk+UGgc`7)5-yA{E=|3RW zZ#%*0w=vK?>2$#v><9<~o+5bt_$$bF#vlH#Z687Yx-tH{(g6?wKf=GUs29}fGQ^*k z(*?R@`N)U=eg#TN{*O;5KL_3ReaDY}@d)(uSvUV<<O9&9%yvFhZ3Q7VzTjV7Tn{1) zJmKeO*MOu2EqwG?C1~mH`}`mHa?tDF4Se7e6{tyB%TEtefWD!O{DU$HDAB2szs^+v z`fAbg^%-|T4Jj(V-KU$NrvVE7Qt&m<!Y&EluKqIU?iB&wuqqn_i7Mi6C^!e&KX!|M zc*<$e3FUSE8Cn{s4|A0dYdZ=$=X!}h9)1XfYreoINm-yH>6!dOCmP5al)*1NP6Wk% zNaYjXVL@|wNBF<?B0;l3$$W4*1hiu|i=XZZ0IBP#d`@N@2zHFfU-M}XC~O^$U!E8Z z`uDZtPp^&ul@&qxUOr)<?I0lECMy_ZKPQ1-{xuLZ$GVTd8nhO)_v9`<+qeQ$zbT4; z(#H>!{B;{2bYTeyB@N;K`s@ihgWb$0B)WlmJp%cKl`f#;&)4wpE^-9z%U!`IpSA}< zcKPxD4on9XP4(fU_D%sUu35y-QcVC~9dYNUxr_lHZgAy?9vKGy{ydj&ZT|wq%4YN3 z!#@D05p4Ov{C?mYZyUb1&0F9|=Oq3!Vka=_);KTz{!3sBaFlm*<ul+_=V6}wQY$d` z(HGwNulIr0oDaM;35~$bdj@zsO)c=<%wArnixGIgv5WWakRCXA<~6UpO#|Gqqm380 zsTBBP@)KUg4H-~me#jg6DFP}_Ht~8vJmA-`23~Q+9U$$GnHN020Jx;4nwNh#59pkx z=T$zx1Plw)@}z-TK*66<UQ%8rFv29~y&p;kdZbHuTjElIYqkq`i6tq()G0;0Ewc^+ zr&(_C-jf+XYvy$x-bx16M_=X1eDT0vwwHK@3^b7X-~#Vj7Yyi{o5|a{6$JbppTU#g zNC0}wJHhM!z7Kfl<q_V;*j+%|JITCic_i>9ip2xZ*aqzJq48?4TY<j+5qV#X8-aWU zj`v}~dLWdI;;9d=0`A)g<Lzi!4m|oB$SYdz3+yo`@T{j615cgZ&#UZk2O{_G<{jSX z3OwYrllLcgF0kR{c3#}zEMR<5D31{_6F8p`%mdw?3Y@<xh-Wwa2M|BJmUm(AFMzdb zCGUxF1aSEDa^ArBR{&;@FRwB2BOue+i^o<B0N%BG@cO6r0@8%@d48}iKmy&Fw_g1U zfY~^QXKVW$ApdK}lc62~)-+A$&DS*pK3$!{i=AZ!^uzyf<FR!BcfViU#d;&)!q5m; zKf4mJzIur3iPHk+o%_Vyt1krvCJb_S&XxnRJ^Q$`uwsDM;2UnCjtBUx?ck2t6#{;y zzvOzM3IJR8J>xoQ@&J`?kGPMf=K?tW&0H`v3qa6Vxk_aw;97b;_ratLz@L34uI@l8 zfbUVorHNAj8G}0Rr%?{zc7>W7yoUk!l3BuyFC+uf5@p=LFG+wHA2IjsHZ)-0Hy#&$ z6$Z$uE#&fgfq)rTZgR^469CodYuud7eE{X!E8M`g7{JR(Ib6xINI=-*^W1lb!vS5q zGu+ROTL1=5I=9YsBjE9lf6FSuI>3^7N4PEJD*>hb2e~#j%K?Y=OzzA?AHb0;Dz}Zl z2%v)#xy&Iq!0I(P?z8ZDfS$=HuFWM!K<hIocXEe4U`zt!Hu=v0AdV++;VDx9<NNn> z4VH<-7fW_?`{s@%e*6)|ZAT6#hBR;I-jRPvyjK*;^&fqocquuUdn&R&@$#-9uK03K z;=@JjxYs*65+jFKaub%nO#IojocrqF)5N|aU+zj%OQOSJFYX?@`-unlF60IQ8WNp+ z7H~flnG-*aIdkdns}iR?p2IZ+RwN!Mw&(uO(3yur!NhUA<S3#`R3wCQu63{7Wi7jQ z?P*uUOGSqyMW~QOviE)83W-!Yl#mipqD!P)rH~v&lBm4>KhHDs%*-D%-`~vV({cJn z*g%e6VfEuHVWknv3KQ0rg_QvoHN*cEhmGhhXk1D(VSC=sYT%RdFyp(^8f$NHm^Ob> z^DKuK21xv(K|E%LiGqeTwAIuwFN;Bqbm!TywR3%%)WXwY4zJ#7Hhs<r%dY6uumI^{ zD=9BEZO4<tx?<ZkZ|)_A^+29zu4>1JnQeHaA!6dfB;Oxs?(<^82+!*@zuxQ&3%FdZ zSz-|uc9e2U^J*_4?Csu4O-flv*lAdWre_in_Aj{6tn~;8%a||H7-vAjUcS+2KHl>V z+gqj3WNW#H0R<AxC!|XlI7Of_W!Q(EA#gM%&uzk%xG*#y)>?+ut)ys9hMR@m8q3r4 z$V|dUT2E{A`__c*D9_aBSQ>^c(vEA|<CcdFB^=YlmMja?4LPD|82L-y>u^XjVLwk^ z&_AFVO8h~7J{GGfzw(XT-xj0s{W?arzZ$8LxQ>u3IXg5DQU=MXDWRI%H~PpaWSr*Y z_il2zCt9QH@tVA4146SW?K%0yJWNw?tCf7O52DGKc|;z1;G+R~G?3B79-5}qI`SyR zRbzhh4*5}{lLj_@gWOBlt^oqCk^vqzn#rSO<a-+|H7BkXlc|5qH0|RWGJDWe^UXm) ze$lc{(|=G*#$Q>bkzM4G^#leQt4~bwpObo;bSo;^W%n|TXxCY?0<oyhQ=BFTIW4Fm z-5KQV>wc;q8mE)d^V4eM&}4GShe@?NE0OH?<coUClLO?n*N4?_wByLuvO#q;EQWj} zw_klFYbSX&;hp;FZ8Eur)TOSP!jtcUU#Sl}g^+*PJX3!=fFLIswW?v~VPwm>N9vd! zFnRT0gF1M<HyPY^PyGk)MlQO2SAB-+Oh%rsQom@}PPSuSSC7wcCBsi#QFpp;A<N>* z)QrQM$j<l@wNc4>@*mK7bxhxC@^U+sI%EAxGI6a;9f#8+zy2#!Z#bt#7LIY%^144H zZZ}gs`hAY{<PlY^<2XZt-pp4|#(pJD7M@WTOTUobu}`VDJs%=1%RZ?-uJeI(FfmQd z2ltXzL?x@UQr?h8P>0oSrLRa!d=9FsdfQ3i+xDro*FGVAHrb=L3T`6Z){RyhoVrhX zFdLzMSXo2T8zHNaLscaD8-iNA@j40p7^A+0s~`p44OVM%OG&571J&VG7f9a}{_393 zDpDZ}tadh+k(fE&YAiuWs!DNJ`{Z#*X8Qo@sateXUAUwA#K<|49KB85x-pk@3$j)H z1#^mI;JQWqCi?_Qz12+3zjln2WNND3^dX6qVX#hpWNiYeVbMs<4ctdU&giSpr0pi@ zjq0i)B~c{pel7K*&K)Gbj=w5%-B8l4$MY(f7nT%XKdS;B2quN!npS-i!AW`Lld7yo zP|}yeFRIrwJ|vE0ShcX#gOto3R85iqB=)&})wNs)(&(voDrluGDJQK<CF{2&kq^I8 zJzQx{+Pm+Ws@m6-bS=77m343}3ACeGwNh+I`ipz0I@GwFv^2P0CH}UI1cTM6IGYzk zYeBbF;UV*(sqQyaUylC>J?MN@g(><LD&O`GuK#>2bh-6K)$xVl&>Q9#RIqJ>p>k8T z>J6zcw0^Bz1v%Xvy2VJWI$G8ddT#|^b>#K4P>Bv(1zCI&dUYvX^~U~DsMg<eD*vzt zq5Sz=Rr=}L(A__?RT-CVhbGKqs6t*{53QU^SB)-IgnE2UQSGq36go4Wq~hR<LZ`kY zs3a%Up^l^bRY`@i&<i86s?|?~q2a?ZsxwoZP~=dg>i$MXXzHgOs;2=3p?x1iRV4|z zq31s0R9N1r(1Rb*s)yAlLTMinDxLSoLPtIZsMhNp3C;TiRn0gjghmd5RE1&tLXQl4 zsy1cq4!u7DRK=Z-3dM~&t4_A;2;DeluZo@^hFVS7stng*Ll1nlQZapkLr4FG0&ipB zp_gVhs%G+_p~XMftNKcPLi^^|sDy3qp<#awRU4;VLiM!tRf+2zLVxP$s+b_#P+NU1 zRaT5;=s)(6(mU5I)O+2$vZ2@{)O^FNa?|5Aq0r6KO4!)SP^I;x($i>psL%E<%Ac;w zLf5zqE2-qa#BCmf%Hi~1#4O)_<vQsM(IDWR(y-<$@ojLIva9C{@e}@)GU?9{(JB0y z@|DF0;`NwTr4Fo@n7Y4NsT=!-n40uZ*_r!_Seah0Ja(a-=y1A5+5hk{@k7CFrRm2; zVkhT@a?7&&L_OJ6<qzu`;_(aRN;$lWxV7S<a%Eg4apmm`N?h(`qWc52GP>|0QPL_` zg6^Luf;z>@Pkl<F>3hC%*KY~YV~nl5xJf`{&C-?keAq-AEsFAdIE^@GcvcyfmQU2* zl%wqA<q#w7vXr*hGKoWOCzOG0>BMXPsY<|TGVwO{sPc=>Vd8vbqB7Mwo_H!gUis87 zjtEKLtDK68A%f2CRt_ECNlfMar@X``6UQ_WO3+n2vG6KcDSLt@3hoh<EuVsjjcpiZ z)1p5S*c+_mYyuN|#{!l1o?gU93;s$T0Z1G(fG8g)I1vfvKFap9+lb$sJe22E*2L#f zS7qRx&BSrMlk(P!4MgOg?aG<ab;SM@8>QB=Rm7WTEtLai`ow0DxsvXoLo~UxL8*;f zLM*N^R^s+A5R%(hEB9s35;EVfRANL^gof|Sm5UYQ1caWB@@&%xVY%5-WoOSIVL9NB zVs^TZfDHVl7+Ud`&=B!MQEd5|ka>7Y0rY-ONXea0uyCz}i{eqmoxP6;8!Cnr*E1dv z9yfgW2dl3o+<w!iSY2|PFgWp6alGa_0i)fexct0=@O{%O#kEhRgl^z7MeeT)gn3k} z!rDkp_-{|MLSQW;%%6Cuc;zJ|baCnx??X6*Z>2Sgd%Nfa)PviKgk$Fj{oOYdpU#~j z+?cwmaF%5e8uc$LkQK)X>ugFDF85Oih0r3!(96Sw#0ZVz(5HApT8ct(e>RR#$B-yK zF5g86xF}F`ZHgplH*geEr!ay}FGFGPM<4|Kq$p^F5JJP+d`0yh1R=}ijN*0*jF1*` zN|B!jCR{#nQei3ZB3PbHQ!tBwgbq!zqUEL&p{ee$;$`DD!uPia73Ce)ggrm^DM%kT z6AaeJDxOSlAk4VMC{}A36U;*+6?SV@5ps|2P#9S16KvR_iU%$_1l=n*MIdwu!LALh z5MvhbXU7nV>d0CA76Z7VJbnuQ%fU~Pm_CkQgYi`?<c;7DCVDBNxP$oL3^xT$)rWsx z;i90FcjMo;J1BPC>A(ZN+9{?RpW*9PZ&mDi@dQtG+oF*5HsSeUW{Q%}_wnzJn<{c< zYVdnx>lF4&ZsTq0Rw*tRT*q%4Fi?z{RNxP4EmthFF2#Sg)mDr-UBH*1mne$7RrvEs zzvcFDDZYm{CqIJ~;KOdu$V<Z6c!QpAa>X7Re#w#v`JO}hcz4@T`AljKz9eKwzB4-$ zAD;X{&MZjB2Z{US98NMmrS7dfPMV1C8|;+poIil4tav3qQ5K6o>iSH6_j)v56wxYg zy&HjFINdD2+(5#sFFuq<Kf&S8Jgb-Yy+Gm5&(_GDy94oy7I);h0YAJd_@*2>48mt5 zUz7hH_rMEem*tG_0Q~sFQu&u(4*0YG?BW}j*x@5h3gxD{R`??@rF_`H9N%zAD$ieI ziYJML@@bQ`c<TpTxvRM$9yrF7`&li=pEjY%&Fq%p_2K8_PaOW@I+JqcNWd>#zbsoW zaG$~1HfP8yy}#lrrqksr@E2UlmSgfL{~=r&_K180{vLNb<B&WE*@N>gJs?j(cj11% zj*}n3zQp~|+ARkY+Hh{b|K#1FEx4<@Bjkv%hq!}mvOF*R9xnDCLC)D(jgyUI<cFhf z;#QfX<Qt=};vQjw<l>kz+}$jg{Nt`-+}LG^eCZwyE~eK<KDkGZGc@#+SHy~Nzo9_6 zZyXoraMW2Yjbq>>h4%91xB^_@D_eO}TrSR8+gdJ&JB17PvXBGgPT=YfZju+q9>eWd zn8*kAB;hd6*2=%`K8QQIbd~(suD!Sp4+Ht>m|eK^gL?A6|3%^=l*{CVs4(1#7mKpw zodjH$_HS8icnB^HG$*qTL*PP>%*bwq!f;7N-()5PFz#{pxD18$!o?Yl$}ngk4jeQj zvp_oG$eABx58>Nz<&}LhFMn&C|HxZeCU`T>*rH3u@!o*jzT=fF&3zp%i}_6E05HNC zHnqws9aiA_7n)^ycG|c}_ePnE)gl&p_`b}}d>;F>xK=i4@&haDyCb8l`Gzeqxh4B% zFoyj`sFXSA4r8-u6*7+{AFznVOR`n-Jy_hIVp;9?F09D=yv%#zCD#0yN|ry|hW%I} zlbs)E!G0bQ$vE8)vCdohGW^SX*z3F4vc4zP*fcp^27P!Fd%UYacBJMi_RiX~vZFW3 zus(zwSwML)c8-xH`*dD|{rUKWY^O|)_0&z1DY+u-jlg8tbqW`IH21Jfa+-lnynj%J zPcOih{@Ew%Ow7evLt<slai_4onK82PohPuJcOzv){4uQIuN^Y8pd{=$ACl}2=pYt) z5--~d*o$?q4w3D#*@aD*L&}bBjKmK31j_J6VOT+izwECT0ZXX?%W{6Av9$}{vX^5) zSQn^=Y-Ye8`~KhO=#dUz?5hV(vWgZ@?6PI{GI*^kHVt7bE4%85wV_(cKAgA1u6w#! zHY&8j!i_e`8Y$-3>!BvHBblby-J-R!FNfD+v2TrJ-n$L48Ji7c;i1d1L$P`?MBp+k z@8U9<j^|%Y_Sm9SV)qMk$@RDNm+1^<?eRIO^NO#Sy4o43+x#fz;?gPU^07~t=fM-w zYdr%PANHtpd)qtA``1I#V|AUF$7X|4R>cd<x43?3hVm&UqWqoIi`k6%JJlt9l+}Rw z1nQ95Ce~pL@}5h>qwZjmTc1jIqHkbK);*Rw`CP#){MRIXX?F=@S@J-NT3>|8pRAK| zm#Hz^eeO!jrlgpac~w%ufB@s#c3nznXJZ5=SEYS5G>lhlxfFaUAG5LIqBK#IgMs|K zAWh24#HisKDeP!E2F6xMKmC`Cv3@6!Mxhchfp$Xa1&;%ml4D$Htz|3*d7mjQSs9Jl zwvr}|oeRgHBF{-DKZat;F6K&c&#@TvOt$oNO)$m*o+&+30>^}Kk4wp1D5kvsnDkes z4<^L<s5E)MJI3KuqO_Xef(dyVFMS2F$CPc_C#~OVgFzqKBh510g4tdZE!F?I5%VuH zmnOb9#*{|wkgA@n!XPh)O3&ZW$JqVGNlz$rFbG_TbW8pcOtBg%l^$LQ3H%0^ehixp z*$VfUE`d&kz=dGxq|JE9`C)JAwUr|wFp!5dVCF-}7N)DTq^CE;f51ul?%|sdwVS<k zu<TU`w7^zc%WV(Y{LV@mf8ucn#Kl7TDW)+*nYT&m9#kI!eq$mHbGRE~=Cn?VTzxA9 zoV!YDFncvb(PbdL&{Gxyc3LhqXebUb%hQ%3E^0y`-Akk-hCD>+@<-yDEDC|1`z84h z#tpIP{UO;4Vubj+PfMyd7lahjCnfz$b3@>RUnFmavO>1{jz}&%IUa)Gf0X!LNeL<a zG9bCaJsg4#?3GNW#)mj5yCq8_;z9^NUQ32SF(EhbFC}X8ogq6ewM(`wl0raQt&+SC zxRB`RW=UluDx~4|LrG;xV95S8_a%80zmSl_wGz7oP{`4iI}%lhN62gITasZ%KuG54 zO36|~hmbw*DkPH=HX#(RGRc)^TS6xIB@+K@n?i(N&r6Cp>qAaq)slBdSBDgr$t8mX zgOHVa63IP)Zb;QWfh2L&(h&7Sj^xYa0{XEfQv!K5i+0PQN@A}}p?mxCB@xVVbPFU` zVwE_8o>pW_>d=GeT?-i!hwXi6{r`?j5_P-LKWmOjPJeoZwlO;@`A2?2^Rp5qW`&Q@ z0lo2(lI%t_0J=}2^<O=Dw|bAn&F3!q`C_!hef=$TPHd!P`SewEa^nukwP$7M^R^_( z_RGcSbyU10jiNy}e+iKY_R7(Balw*&e-V13GEjmt<D%n?VUmGaI@<IkM1p#C4sF=$ zBgwyV1|10Vl<=up=$c}nBz5m`bjk`B$u_?f^r0gTlB*jIql-H1BzoTupv^&BCGPF9 z=r`&u61UQ5^eb(1$+FxCv|-`~Nof=bO@C=DvGBm5gMHRW4jQ7+2#uj6e;AHFtE(?b zyAMS#JEAM`lKP+<JGCTFlikrx&_%I3+6Aq1aY1~<${tNMniFUJ+KR@Um=Q<6v_KQy ze-p1N+lUsTC&Z#N#^{YVN5zv7tI+Swhs9<9ee_7dpm>w64jM4kFCOn*L{<OSBj(+l zN98rY5wD>CK(T<Y#bJBCp}LhX#K*kGQ2Up+i{n-fqukP-h_?;CN5S4d64&49L2<AT z#oJk3s4aKv#rxx4qQ2SIiqpN@P+EdJ;>eXPs2xjgiC2ASK#e9<ie*)GsQR7?@ekS^ zR0q0DY`g0Q%AvYMyxr{z>Y?2Q@uJQpltQEyUwV51bxT_zw!NZ4txA)K)6Pgymp=-` z60!hAC3D4WJ2tAMktvS&MMY_Q(8M!O&!UQp&x!Y`PovJQ&l8s>XP`<8PK)c|X(;`< zOz|b-qo`{MC&c@Q6HvmQRPm2H`%pEwWN{>IH_H0KVKFx<3iZ@2L9B4xfx1<)U!3uq zfEw5kCw6ELL1CD?#Me{^)UU-T@$w@u)JSTC*xe6|GW|>zyBT?*sL@2RPQNP(^b#w+ ze8myvj6{oVvh7f@wFq$v)(Z8;6)xtRnWI=2{lo=dOi%^pU@`9Q8q|HhxA-$<B?`2{ zLmUyVhx(D@Dwf%3p{8e@#Mh>O2Lq4Ti)9af23HQ*io@B{!6`A;;^F@$g3~%J#3A;d zgYV$X#CfxW!H{NCF}txZSj*p7oW$)8)~{YIwv6crCIbz{rS{K)`^r~{m(D&8F0;`U z10FU8-&Sjhf$aKV?Tw2f-Ke|4LcxOQn(eLN{YG;lr>U#KCulRG?7Fhxr`l7Z3zXvE z*fSF%IY|@j^LtbjzgZqkJUJ}V`z#DDn;8^k-QWZxQU*j1Ptk*|CVNEv$aBH|iQS_1 z)n|e=pI?i3?=pj72VRP{7pDhr{nRcJBqaw2$F+(&yb^;e-ZzVe7xxE~cQ=aKAMXi< z^xqdzdH)3;h^Z5qMui8z>a7-KT89SbL|2Kff58MF>AfzhyMYYWL|+vtG6RCw^p%V7 za7b`dOsS}Ig?I4X{vr|ZB{2Bg?n2QXsZ(&m2c>B5?rp(a_sT?IJL_PJA(3cg+&nls zo-c~OX&T%!$`;jRtPNHiW{CcYz=PFaDWU<L<-vo;@<rF4Y6Zv7o)Lxd{vZLF*`oIF zIi%0u4ACa@@5r-x$3@@|6UbG1sUqOT&&WQ;QPIMokI0GDhea|#Khj@(P-HOEjeKRc zUqq_zKwdf@D@r=`40(UsE>Se>3DWdxl*m%M33<aKLR8&Sk7U=9MK+AP$O<@7v>$&9 zxv~{2%3N~|c{LO*+V{E)$$yIwSxbtMcVgk9Tag;1#TP%3nYkR<attgA?-wF(EO?6! z6mpOQ`5vN>I64w<1Qac^Ifwi!aTalha*$J24x;IcnMm6TJJI%o=}4v5R*}1XGBT`T zi)ihaLr6T<T-02?A4z|+L4;4-gIvCMz38&zf5^v^YeaoxJCLoJMxu|GiAW<o15te< z1}PNiiIN<V$Y@J#(exJ>^1ziPqL6Yh^1AOI;mHIqr2pez!p!YJ<f`ylVd#h>((2Q+ z@K1>yGX2<BVfH>Nq`}g0;VWx%<VVhD;qUh*$UhcC!g=)?WaQNk!e`Mdk#o>~;qgs+ z$nN%c!tZaEBIje?2oa*+h~1MN!bH+fgig*2Vf?CT#N?`Wp?BLjV&nNI!d~hKqQI?L z7>*c3cs4y0mMrZ<Yzx0Hd~)v%BI<Lk@L|R)#EZ;oA;+^FK{2cnx=pnpatp5u%PSrt z>fNsjXXEc7AT8y>4O^=bf1*l-M*TMsi<3pdchW0}ptFU-O!6f}o2g3p&9Dd|s*nl6 zk5!2C0b*fPo)q!5i!UTV1c;o&9O1@U7J{V36jooOBI2b~p;N+HM7_&7VY>BcM8u<9 zp`hm^!ae%5kRnV)gnrKy5^zTl*XbvO6FLcqFzYnop8CBAP))M%((zr0J)|UIvr8nR z^-F@VdYFtje)fRyj2e&FZ@yQ!IUJ1;SM3&Z4TBIX2+_hfO@4^`pLYspvOtJCc{_x| zZXSp!bCU4ts0-rA9lQ`zXpe9vVTAX>Z4iKoV4=3*7DOsFNNCl#5i!3tK)5c$7}0ni zD(rDtg?Rp-ukhrj6^PAqUcxb%HsXrVUFb$w3_1gJ6%w`QgT&9Agn@Twf+iE~g$75y z2GLg73Cpa%1RW@~7Mj2L6m$-;MYxYK5cK(@neeRtyC7!X2I2AVuY=O8)(c^!&x6zt z)(8ipT7&fW7zxQnkAm(l>I+p3_k%8L^n~})YJvv*wS_mfRRx8=Un0zYcP(gD?jONs zR(X(t^}ImfUlJ73I4c;K(gZ!-_g%20SRTaD{U-RiLlh*wG%je;;|2|cj0)oK(t{Ew zh6DqL&IS2$2L;aNXM(Wq0|H`uW>8^Qk09i9dXQIUw_qb6IcT*-r=Wh|P>|EZmjV!X ze-PuqGXV{@C&=00si5*(RM48r7C}Yfjv&yECc$YUF{o(%fxvDFCWugYPf&af5#*1o z5zOs^1*MGN7FZd9gNFGx1y=VwgVdl(!EB;yknDYh;KC-ype{<8VCxgxpa{T4LDmV& zpiOTs2rk*01zF~31eZJ32PJG%3i5MT2mN?16?gy)f*MbX1b2INf;z4E0%Q7;Ap56m z0n&RRupx~hz<v4=sIZ_49C_aYs~_hJ2B2es8&Y!x2fqvj)^0v6cr1P&c>Zyw;4i!< zur>9BK>urJpy!q}!G!!p;HTDPK?(9{VAt^^fyeZtK>e+U1Txiwz`W-N1g}xGfzVTX z1w-Gf0)6fG2-?(@fmvPA0y_F~;NsaxfyGQo;3HtTfTk`Cd_F)DJV7Y}&DjLO`)N_& zWe8T#uH*)u9zzLuNJgMgju1F}I~O>Ch6@z3Gl8^Ueu5A1tiXe1V8P$9^gw!~k6=oe z9QbX8r=S*^7$~g)3jQ13ADEx$B6!8#6L`biQLx4Pzd)O3+XV1|9f5CiYy=PrG4LhO zO0W`u30(PUv*2bIB9I~8Bmka<1!B>rf^)Xuz|i081lQU;17+7%3o27x18w#i3MiW# z1HYT-3p^Wa1GS&(3Toml10!>m3Dz5#1x~py5d_~_AK3Q!H=h`_I&e(&iw{_05Qrtu z@`p-v0;iUL=cizn1iq{P%76WB9<Gx<&NmYOfTuZ*@-4vM;C6#Ue4Y1S;O4SHeq;U+ zJd8NN-)a9I{z9*p-`M^R&b{Bw*E!Y+=bz~OhuD4re+ziUH>zoc$9;are;xA(4mkgm ze{AUkxPR1R{%~;(oWJf7ABd`g+qXCH$z#{x%P94H47(g|6;R7J1D3$^7VhvHIy7** z+qd}s$K`ONl<R!K1`*uX=_<dzh6BGeQqF%6O@~JnmGWhO^WntZ#e8&O4xDCop8p~s z6Rz8>;=6oIgEtH1{Jr@{;ZH&({G+yq;Hy^&`Q+w(a77!JZy3KDo<L{u)p}9zOcb53 zdnpY5T$jQ}pa}4TkIwR=KcnG6`DgfOY7l&HU^d@qyFa`|E0bT|0)i(!JkH-7?*ZSL zm&%XV1;7j7$$V;wJ^ZIu5<fS{242yaz$bpRfS2SS;Q!3s2>*cC%TKp7hR5pd;Xkc6 zg4;Za=KqXd0e56X@)zcp!H?s@`CZb#0XnP6e45v<fH$uQ{B^It2aJob{IrzG0AwVZ zUtu&F@X;K}ue<y)py@rFUxexp_+0GIj~(g`ASOWm_0tjX+Zn{)Z}BW(eA<&=R`WPu zOSK#SQFvp3I2*vfJAE%8G|-8k&#n$2tgz?XIou53J-6i-KDrWMDz@fN?YR{2A$kjc z)9(ubU$>g`%_OP-*w_aCcc3(&^TvAqg*JY`)y%bg`*>EsQ}`-AbtyH#$zUbFUXd5@ zqGLJ#sb_XTwNi(F{rQQ2Py4m_M-q+&gaH<LTFa6Gmj7Dd9aY8$ENPtM-SCVH0JDDZ zo;`~WsEwHBHN-~*oVNbTV=f^DoSPWq0c6;K7uBD6Wx(Ko-T6bjg(m?4Zp1;JZ5$*3 zZ9c%;Ht!Ws{JDp>gbxgWS9SBQ+dBo==5_LX8|(tGgjYPpPOE_1=FfQ@U(Evce|gIL zRA3SiQT>?Lws}neyWkOzdCMSR`HqJ?8;ov1%hvlm;fEywPo`^my(bo6s~=YL77S-$ za$Xhhd(k&oLhKD*BWMhE3V4lo==l)r)zS*ymwoSHNv|&P0_NYrutg=j6iz4XXxatd zNt+k2E`)}+^KL6F*Hp<{g?|J~9+mPmgZE)YwIZJGi5l1@HlK$ysDgFv;qZ28uE9Fp znLNCEIc%dIjc3wQ3_Jhs9Pf6N29|U+kLNNegJtLC@N#m6u$SSdc%{Z1*dfOZ-lb9+ zEO^OrUaoIGEWYy?&#nD5tgZYguWok+?D(0(yv@_8u)X04yomfGFp<*%UV=#i%wXAG zUf89*ut#rq^Gtkq!5S;0dDoxrgy~Wvc{VX*7;ASpFL@FN+v!c_33E`e_*Fz6cXc4F zb_C1Ye;x`WKS1-=y7|BWG9*v(&<#dB7RdWSa)wo5U_8f<+hDtFp*-JIYZzt`#Istq z8J7Lli}#*y3j2Q3op;iDE$k}Wl{b2QCG1LqGtVtR5B4p{fk%F^6n4^b8xObpw|~&@ zt-LLhv;JY-mb}(eQ~qVQHuLZX<Nk2oCSJL0*ni6rQ{KR~5B>odW8QdGkN>6ZYk02$ zyZp(zMm*lj7yj^1`n>JCpZXtvsK@(<j`yD|)aJd<c;H{2vy`W$SL=Uy*I({}pvr#= zy1;#AS?Qm(d5)WLrQAQ{&kXkusKh^}Z;Bh$tnt5fZ<5O;$^D7ye+A@zp+7L^Gxyvf zj(_-`AueK;=6^q6klUA=?|)?L0GD8x<G)9zmn)NI_)AB+xpiC9{MWU1a;vW%@qb<M zipv5e_`l^m=YpH|`dg>AaUbG$`Ckuz!nJz4)Bmh*GdF54*<WGN$W5HU`Tx{<z};~I z<u4hj<8E9U=%3woms>@L`d_?$o9kfg<G)^VlbfM(^M8D*l3Qr!?EfI{3itfAZT^2y z<=jjkYyZ=LQm#{jxqpyJF}E6R>W}|Z$ld&EjlcSn>fg0*rN3L7oSQPJ>#u)H!i_z& z)PK8D$aR=r@T2GRxNRA;e!wI)7qxWC&pe#LRZ_?NaQ;+o>#AYDhuhC_Uy9%Rom!j6 zy}r4}FZ1_lZenq#U;S_v_m9I1Kjh1k+=T0`e(UPexmUd(`Pr5p<F?<s?{|uSl-m?o z<7ayMFjw^Cmfu`L0vATO=C?Wg0JrgVnI8qdmunSO?B@yC!;KhF``MevaO3yM{Nh$b zafzb>zk%6s?%E@4zw@8S+^f@6zp__EuFZ+Fem@@IxTy=-eg)S;xQd*Ue$nb+u4-AT z-*HwD_ar6Buj^C**U8|ZU)EtiZY?{`Z(lT+YrQtcPk{B|?h{4$=|VlZIU7iR_nqCi zsS2!Lodtj!U=i%MxXOw9xiG-5V5vPfVJpOs^xc-*RO02gZ^)YaYa7t7=FJwaUb&;+ zj#hK-q@$gm*S(G0Yga7&{;M?Mh5*d`9u=+Q-mhHmmo8b&HFR6;m%uROdfn9bE6UO5 zdVA>jS*Pi7*HtY-hYo6UAA8P2Cu5d!BW}+?A>_ZD2VP&H&ru7UWp_rQrG9gqE#9A? zO&&iuCU^Rwc8=4WVXwE)XI5W1+}j<{nhoO|W3Ok>@l~Uol&Z(jy?Vo(GLJ^+mc>C% z&CPpI%bx?Bi*D7>17CYN|Dc4>>5;b_1J^6ihwr;M`BzJ!-ETWMZ=5bb-8)`zv@a{6 z9c}F#1A7VdZgVSV;vx_F@j(mck_{6|u5IE#FBCwR-)`VkY{`W#yI#+kRc1lq6}6m= zn~p<UN~<}hl4K~asERYWE)iO&xxp#q?uY(RT;n(y?tyZo6&yY-3VK9T#(AZ)11jKO z<c#MMpuagqoDYA{(95hsPGv?Aw2-0VME>xDzN5)Gy~!YGBvrxzjk`lPQ-qw9co*ol z0v;!Ca69z)IX35L%vPxWIR?kGdo%P?K9$o&+5la4?i?qyeJ%9hxjfFrU_<EIf*ej) zgC2A#<rL>VSPSY!&EPau{f1Q1{;3WDKOxDCRE~Ms6eOLM%;8#(L+*2uIQ@!Y2!Vfy zqqqJ8#8wo~S;6jsz@+;)Bf4FXLPab`lk)<C)a>E_=UO4aqW?JR<VTR$(w&^4&-WqS zmv?aVVrw9RN)ku=?JbC?iokhCyaws1!E%_7%OLR&(3}mhVhE@i$;r8+h7j8VIS*W9 zkSniXoZb=vg!mT9=`d$QeBS$ViUm~2{t<5uQU5HYd(wl`btW6an+0;bf1ZGF{<?5d zla4_;be%Z#kB1@AtL!;hk?|164R##V^H>PXYAa_lC>kPnu;e7yghPBiHgnnlp^z<p zn>gAf7zhSs$}!o5gw&FZIm<XO$g!9;oYrMv$dQ9aoTQVUkjhj8&X;ij#5-p>2OjGH z(Prpy(mQP+rV=gAS@af2a?v9D@V$)?qsrfGSD-Ouy6zYId9e}1ymgk1-mn6~c=MgD zW-NoihQ6`e|Na4kzfZ8cQ|7>@mX5LOKTU(RR)1!nj+g*HvlwD;Y8?T;cOGQ(AcJ5Z z=m7iU)n0HXwwJxy>J7L$`Yn5d;3fFO;V$-qb{m+O-NC+lycw*+e!(VxegIxnx3gO! zYr(L}R<`NWDsXc{3mXop1oJzZ*r+Sz;NqbMw!K9Oc;RO~dx)(8%k=Bm*^4spsm*uU z-;N5w7hP|&{ob>|>w|8x4-#qM)`&{>;Rk2Ij}ou2v971Vx@XGSdW9#!OkpWoy($$P zSys$mos$GUTz{S&GZ_zV>QJ)_V&cGiM-^;(TQoR$QOZsLM}Sk-i`h2iq2NJB0lQ`c z7A%Ex*-jKBSQyD-XZ(PH-z3x7=l6oayQvg*$xBbLb74L^-`^D+P@T*6zv2K^yg1G7 z+GGocjApS>)Gc6Ztqiv4$3`&T>^QqV&KTU_k;-m-ZUoN2C$oznE5IiXCb4&wEd$r( z9b(U}|LYs9if1R}&H0*E?_=MYoc49;h-H6>n($>z?qZKLkNCRiN3)-}4f>keMY0Rj zy}n3zID3o!8{gVpWVRsvrSI`9BKza}r@kkpIQA-Rv+w<?5Vq-^2fnzDVD^Glt*_No z5W9w1<qKW~XYcrV%~#><|Bnt(?i+xGur1n(eO(ekY@C<IH<s?jjwqD*zAJNQ`{@gP zWlvn$OVZfB>QQI5s*mbBy26od8hq9_%V9e^sWRJ_jIm{FHlFlNIc&|Y$UElS#oEFa zj~(_sbIqLnUs$|v%FB)HKXtLbW#3KMnYPisb|%K`*Q{{gFFtG7D`ttlGyfT}&30jY zgK`Ym%bO9tJr|a<Upd2k?=<VMPl$Ydd%kF~fBp9G4O+E?9kCDKJLCR`#d~V+`(@`m ztJKZLciZWoESb#0x2$M}b#Tc>-_$2lthMp$eDl6evSjUszTNA`S-;(v`=&ugSzx)A zZ^YhVR``-XpfmK3Ec}6=ps&~8v#i>tKqYVcSTBL&pz}+6SiAmJB0kx7vzmXu2PKg@ zSq5=EAV}6LmQzb7h*bQX<>2%JbgjLOrOj&vh5mfPs`=3b0$a7Pa8dU`hcHd7y864I z_vsBRy{)(YJr1g80Vr2Ng->f)?qiogUuLUW>j*`lOzYdM7dKQOBK{`pkckwOlv&Ao zpTP&cym*D>*2e^8{j)H~!YH7_OD?gJigH0k&LylJx~D)^|6O2hOgIi2qH0(*Pm@94 zZYx<n{}wM`NXFuG_k-T9ldzsl?*>)Fg{;1?C{SMtkJWxV41_w*X2~`XKnpJztiVh( z==%~H>q$=_$Q@X~a)SDS?#7;FC8~Wu1^hEC%Ay-c)|kyYv&R|qeI}E&qhT9JXm^se z+}awHwKJV1$ukF)(T}l~eKY}WzIT*`30wnu`}Hs@rN{u(V||E~x=a_eH9Ve0+PegF zleUj#)Hv@$yBEv4X#K;dWNH`7JnyT|3cG05kq@IjH=`n1YS<^AVoo@#T+{E<(?n(o z{&xFB&J$UYF&#czT=A^mwe3C*2QaKtn;!dQD^aYsCm;HlbRbyk-qiWbt_Wl~c;4~Z z8~|fk2(J4uPC{8<zg_n6uk>Z9@E3i2KYO$6D++zGEj?Mh6$&4nNH<p30g+E955Ssh z<oJxYI<e*~={{&}2UcfxzRv`78;kw!v`_a58<wqihL8RYE0#=<>T`D7f;ILv$p^m8 zoV5{q&<7p2k!5=+&PS{=VHs-2_&B^>$9lax!bfNQntvGMP@ip~My#JE{|+rI1J;gX zNFP}1au&B8=HsoW%PQLr_DKs`#?qYi^!b&$gmtVR;L~*f57Qdt;Pd9sJhM_@<KqgM zW13A`_`Jyc!Q6-1=u>@nnn}N~&S!Y;E0gux(C0s&3FeXT<vtrueqlOQYWbK|k1!vv z_~X5Q?h`X$?@#YppFyUiZp!;v#sKr_`f=~cnqFq#kzwz!-*1_1E$_XT`n_SwEPK3X zb6zt8GdjJ24_`8$zI^U|Q~Np7)uGipJGhORn%Csbraxf{-qm{#wKp^QZg;)&*EBJc z=r_F&?Py?b8@%Ezmen)sL6^Ms`)iqQybIpV)_0lbJ}bQ+$KPgF`AfXlT)D|?6!W~# zPggRpPB8w>=vC&4paO5{>B~$D#ToBEO_!KOQ<>i71{aySsB~`)sfdYGAN77GD`f7Q zN$`&TpknR`+2?I%uVDHX?(+6dkus-$?DXc`6)`CovbT$tfH_}?^ESqCnb_H2?|<8# znT3IS-|3?>sf7^l)NNE|>JKmP<0<EukPx8vgW5diN429jN%ssh{=2QWV`w(BHQ3S{ zqs(L)C^vcE967;s_-5=K=bp~o7PQKHXU;LEmUM-;=;={r?btH!O&gM!B>%r&L;DUf zTljNczplqKHw{mFkr($dF`x;rAJ{nNf6NiDe)(=DYT$#H(P%W&*sa&Az&narpWo$$ zD2QMp-@Nd`c7`!4?4NqcY)H&+S&zH`X$0oFXZO7fny^e`%Nj3$aR~E!$}KPXzF=l$ z<5e$QRS*-qzRW9F7tU-xP~=68@Ml_7tGw2fL6~7Hq+TE9LCl0GzSl3TH#7P&(`%>3 zgX!|Sz-w^|$n3}FdQAiYn1@waUe;14=G%#MFU^=e)5b5^Yp?${CXRi`>!`qnxubud z*TWGjCfH@SR}5qebIIvQFMpmnv#6cyl`y=KX}cNk^$u*xEI5qv;`5A|O|@_@{>U2U zpgz>=9ds45KhoPPLAa7xeF^9V8(YCl`RVAj3$Djpfwc2#l4&z@gqB|a*hkEc!A)KV z(2EQ`;Cip3^S>Dzb5?n6oBzeoZ(rdxP5Q}ryGh$ir(%XdIr!IekIpp1`1YKq*6y#2 zoMqoV$E(K~Plywq7VEw+rd1=JlB5yF{Fe`&5syDH-h20Y?%6uXxR~GNS$TSZ5%Thd zXYjjTM%(76o_1dE7%qn%dE)qQ7)Ng3_pF<I&0uTQcpk&NVleTyJd-ayXC%n4dRFPQ zGi--1c?RxnWjp{Dd73t~FhJQVPme8+82rZ)Pigi;#*=k?&!FD>jQ6{ko<87vjLxzG z&s2F0qkQI!=j^XLj2Qnc&xXh<#y48J=j*#S7_qO9db(_?WZbYg<oP1=3S;o#KF^w- za>lnCyFAAsml*xOcY5wumoP3P$)0vgiWm`GoF^czkTLi+*z-)2ih<n<_uQ~e!4MsW zc+SzJjQT1sPpb(Lqxr9^CzmK-TnTpcMBU;tQn|LCs10n!hPPWhIj0y5rPU@+iw{)B zl0(LxKM)0sz#B%M#+T1B4$Lq2%vznxh=(upv_F2DfujHMu<XrZEPwUWV;4MwacSeU z$EQoj8QWsVJ#MZ_W1PD*?D6<`3gh9|_a1irM;HTMJsxd{!;IeSPLG-^35+{U&pp1b zk7uMBw0b0+-p4Q`HF>y?#4;$-dJh<JH{(NpwTI|#G-H$PO%M02Q4F`lD;^tI5e$dx zr5=c%VGOOG=RIm-NsPM?rN@aj0)v<*_BiE@V>GvLJz6h>Fw6}Z9=nZDj8M`!4@f40 zaX^ye5jzZL{MVb|@r(px0IbqH&fbSIKJGu_amESEI9`6xqgm#|7@dssAnSQCAnq|9 z+tb|{M^8j}AU?V>a%)39E{D1>QWr5EJ0CbQ5P?V!JiwkYTLAN5sO=b(R$q@bMmCH& zLr;%^Y%2yj6yPy4VZq20+Ix_8nKS5bwtCDx+sMe;WZ^OFZ_2>LZ1C88-I%fP??;2e zdM$%7xY8p|xQel0qvw&VYsd(RU+U3vQlF7ow%~qXOpieu`{9n;rNhW@{^ow_r4|Eq z^o#rVpe2mC%1`b$tN+j`)BWzXP7Cy(?r+@<&d<@2=^gGx>u2f5Z@0UjrGKZN``O}N zvG|Ri?(@*yJbjWLlu_s2`DKhgbN9A;*Pc;&{(Pmo<(pypjPGUl>$p$!psW&iM$-p+ zTCK+Y3V48iZb9b0;bt#A9V~Qjb$CZdX0hELU3f$PSwnSSZq`Ys&gZ!c1+VD8K-uo` zD__tt87JJ&o@=LPSEsmt{@Y6D{7iHgo_tKt^E%*8n|?$OOW)((e7KRWcPq*rJMw_8 zm=1H_7*|g>0utO^`)cWNN73%=op<SyD}nBwuW!>Yk3rpSh_~qH?S0&%+pg1($Gf@r zpsvxKN}Sw_A63vB2kqQ%!OQ6&ODlK72c>jgjG6m2XbJtXe7(D@_5%IGn^o>lL51{p z#`^AvY8CxvsJ8oZZw39I%)`z2wv?{-bj~f_Q%uj(`|hS)C7|~QPPl#c;L$go8F4eI zV$;3qKDaSFnRJi29yj!D8hx!tmmATWLVt1eg`2uMpMLamtDBc^9{uZZlbcCh4xMOy z-_6f2``<Y3x?OvaNxvz*>2@&iB>i^B6}Lmp>2#UVCAVAXRC)~hf?IHVGF>ZQ>9#fW z2%TClb_?!Iq<@{`y4{RSpnJJ9+z$31pzlgL=eB?EK6-p<j@#wWvGmXn8E(EucGJzx z(%ja}MAKWs{%LA5qv&DmgKn}VJLwHAac&`$9rV>pW84Cb$aH_-2)A=0BAt*L>b80_ zo(`+PxP2_f(AN$j+!meC^ybYlw|!MedQ`Zt+Zw+h`g692n{G=0-L}QW4MFs$M=x%7 zYkLc!r+aU8Q|$GnA33_&?dF6x9aU=TW_;3<u062EtzOHWe%@rITP4etZh_Zz8{6PQ z&px-r?MSgB{a)QX5bSDCANW24B-Yu{KRA2^UP0N=AH|LW!#b_#H0eiRVB8kE>+?Qf z=2vt29i49A!l_O4Es$5hCjAZcgkx>MF6nx@@KQ4nxNRN%eE$RB>#Egsj&UvUL68xB zS4b7`M~4C3=*%@>>fROf!m2VL=({d`-B=M2byk}mZ>a_ruG6Bcc1VE%#f!9CbUx70 z^AGLHeI_ubd7eg@DFAjy%+UhvbAigyAGG%|S-`Ta@3dX~bl{@l6s^AbC{R^6Nn5gz z0L*tEr)>r71Kw&LrETB43+Nm*LNk)=1b&_PMC)uN0q1iEX*qwfK%B{Y+6uQ|;AnXt z?fCux;B)^TT9XtE{PVh-HuKaIn0Ba(rn3kDx-WT6n*%xkA>x;`_I)-$w$pRkS&0R3 zdt)2TtaSr$WmGFo^m{GPXR3wv&BYLSmHLS05~~M1Y}rV|36=s=s~^yCj}}}TiS;zs zpFdoqM{8*_+rPQ`<=v&pqrSK%nBAdSGe5cZ-MU3%-s^Xj<8RQqC%at>KVPHiT6Va8 z&AUQdAKLCp*?gI%o7ducu=*11-HirU9{D1T^Rd=d`)d)+&iJ<L1NwQICaBW&k)4J% zpH}X=u2D(zE-7{`-z}$wcdA|Kza_K?9hvJ*nTY1=DR8y*7SI;=v0UH3=F%<-DXyQ6 zv1x#&Tvy;4CQb1@%k}X!8tt?7ao18jg=R`hc6~mPPqWWG<Ql-rqiwF-=la{}4DEaW zF4x7jY}#eRNLOr977YO*yAB#=&>9ZmTpO+)r&-E^T}KINwAhva*Qlu!TK*5P>w3Xa z8f~kW>juvxT1u#^>;5-~XudfPt_v9lX~PvZuJ6nb(9+*oxN1GvOB>eP=$g7WmIm@% z=jx)jn|375&=q(&npVKkb3ILnqMfN*>S{a_L5mq(0DPD3pqZP@0?hr$w0rPx0QN^B zE#T-E0E&jEl`1{~umCLWTT4Gc-ifA}&2$4?PX*JqTXq0eZ$;2HV%q_rRyb|!L<`_@ z3XE1*)BuRz=tuK;UJFQU0Ml;FR{`!OfM~1iDgnf`-ZVmJIRIGeK}$JR4ES%K8!f$5 z4R~hgN{e|V1<>y})9n860o+(eTE{jPV8Fnh7Du80PTaPmy*`x-h>W$N*_UPk@>g2Z z_Pk67%-z{S%Un1LsM@=kcEa`$pl+2JEu63qu(ECgZDYnRz{P_mwE7D>0krkTG-MkI zQ1x&Pt?~yJ;F!FM_SYg9@MW_h%>@+zn0~5H3rGP2f-;xWyyc#Nf$h4q)r|l^)0<_q zwlRCabiq<uqOlDi!E2E^0kHr$4F9Hv?%x3L5YJO(thE3Na*o<qwGv?S^9S{1pDw`Q z(sycu&Qbt4a*8T)UU0dk|CNf_@x$dr-8gmV)K{0YN4`*Fi$`7FT7ITBJpJf$vSWy< z{k_j+Pr*m3{ibdgKIjA0E#Q@l(L_Jh;$WLgM`15@g5B)$A?zKsu<C(}?}~1!XK#&5 z&%I9SRjn$Q=3^aHefw)J3)@~&(S$OWjJ{{o#PlK;n4pcC{7+60if*MwHb`757F(#c zBRm)4?MKwtE152SNsZKaw*r@Rn+9rM#2J^_o_eZRcBab%K^^tjg*2Dfm>Md-<%o-$ zb~RNre$eG@T^04zn!PTq={KoJuNW6?z;){Ps0bJ8=d0BGoKTk?7b>W8MHrXE(dAU) zV}wh)$t5ad+~4KI%Mxn&>VL5cvxr*Z;o(vie4fhN>EhzLOhb)2wcTaueI-?|(Avc; zOHP$En!B9wmQoEyOkA92MASI_H7>T-1yq%@fy;p-JZddb$7RZqL%o-}==^AuNi7op za{gFKryjoh-5GO$O5NBy;rz#@fLi`{#Ch)HS*nHApfj{6mwG&~*ZFB&4)w<VF6UzF zZ0bwO3+Lv+OloIYtFv3tNow8GCg*=-S!%&Vz4M4o8r5^vU1!(f6l#m>P3MQDN2yTK z6=zLC5>=8~>ioztk=iLd@9a5wkUCqXaQ<3(fcm>j<UF0ak2>^|;|%kTqu$&=cYZU! zo4OB_?_6CUL;V$b+WBMNf7D}{C!HgLBdO2j$DB=8Mo?GR9(J~P8AkQ&IpCZuCQ<zs z_Bb0v6RD17|2a=t;i<oUcQ{*p#!#<E5uD{$(bSMkv~x^)FttS%=zIu*pl-hlb#7P| zNKNYYc8+}tqe^}Pov{KxYO#rvbMAi-s@T)cd2y>RH8IT6xn<m&YMZ*r`E8XawTWl! z?3v?EMOGR)_n?5(if7B6pRNT^e~xQ8Yxg-(?F{}nQA-`DAp4(A;m5X9fx%NwNl-hg z;r=nFXUlD<?Ri5^nXj#=DTM=0M+>)5=kL9Bx_WpsHNL0A$s1%wt)6Rl(%0Qcom~Ie zX<LU0b-DXPCr+U;RhL-j1Us^pI(qoF6Bx3ZdYxA3l%sD%jlNj!Wc1d6I`OdBX{2-o zHFiMlq<=z>TC*T^I)T)o&Y23FTuhcxH+is}0K-eDRz!+Z=Iy_fm4|bkR#ARadMQ~> zlM(Y2Zc)0Esr?+q{{B%X#{3V;#dir#sJ8Ev6|;Mt2<j;cVa+b5!sM_2TJLm%1Wr)s z!6YXulQBw89M*|A`kAsb8|l<qH%u{+!kpOLPn5eie4P~g1}U(152x=w?<rSCT%1IP z{ggjT?VWN5dnoSaTb=IQc}u~2ZFcfuy`kWUrcPRWJ1M|JYn)6#9hCXA22Sa#UQ$X` zI!+eD&nUik79H2zYolC$@yih}Y^4}}`R>@6_?UuUHtBdVu$hu({@L-NSraA4bI@_y zOatX8zSr?h+kHw<e3#?Pl6#cDIWHVda%w3mX{%%L&bt)L>rIZ?Zg(iOC-sh+l~t5C zAF3T!j@+Q=&);xtYN(`Gt-a#-NO_fF;ZW+h=41tB3H-d{MRGZ%B~sx?0bHV_ridJ` z8(gI5&^V5^pNlA2g*3-cP3I|Z?woa;IIp3YKF@Xx$Wc+C!zUd3qZJg?pA^StUm3;6 z_^{*L1_{N;@qlC6Pa)+=z#d0%7oT!)XOtthic6V166RPcWK(vY!#m>An3PKjlp{2Z zPU*W2cRb-irTlDxI4&3$P=5A#Ikx^dOX>aY>iDrMmvV81qa(69hZ4TU)^SFbO&Rmp z;y9j_ML7_%(GjpKgVMZvontNR1jQiT(2>72o#I8;bG)USN<nItI$C~7ra*2jICQli zp_o4T;m~#cFr~ZitAmyJ5asmrsKcGigA{$ePY&YU2Pmh^`W+gA_EBB|x*a?n;wY<v zUOCLH+Cu^EY;*YYBj#V&*z6G6`ya*b%mat74<jkR1T_xbWf7F?rMDamcsnS>+N%!K zlVnQAvr7)dJ)son;01>`6oJD0p>(+Kila0vmpB~Qh@teF@f>z)p((vC3<u#DlF}G( z&cUoRh{6ubaTu?MQ(*BK4tixUN_R%8Lz2LcvV)oAU~w8ksaD53Y)tT_EWIA<uq(`m z;@bG1!=%3#1<|>~;fbRM1vWx(m^5{xSpGsg#Av%xM)ZRmOsAYF0&_nH^L|H)t&5LC z;!}Hy+TYDV=k7MjZ=#dK=tWx!EY8khgJ>(|P^zT^@2nLiD}R&2j$>OWDI#Nsy|J4q zxQj*(bwo4D>O0FF_P{n$s#~-i@UEtm;O^h{6wCFL+RwB0`fJuvOn!Z{?_Row60u^; zerno?a(d&Ceb}d!6o&nPy;he#B?t7@e!gWnWhc7B9$KSI*$~-o-&&zfsXy3aU!>8Z zgq&=!f5cxxsiV}|yBGW|STCuvAItb%5O(pJ{bbU7K}J=XJveTz;9OIYeMk6g!HEu) z{cX%l0bx*L{}DD_U^K<EkM{ajaAT2SZ{ajq;Ad1|?_@n*aLxRTeg1|o1$vH|_S;r{ zE<l3P?2UDY3lf8m*kk{EEI7X7p#Afi4+RJJ#@VaJ2MS;*(e_oJ`U)0Lhud%H>-nFd zE02cq3&S&G%oxlt!x&>MW1T@silRc<qNu2(@FUtRi8d|V`)yO%l1h{<Y0)N9l*m$v z5-qfmY$0V&w)pwuIq&(tbMHCddGB}6d(V5H_kAQeaqA6VG=7$tUUV^h`sSk~`?|d$ z<z=H}s?gdnvEseNs&c8}o|3l`zj`ynxCix;(B^rDlX-O#zg`2w`!`=ntj2_fMOSMi zlN5m=?Q*r`vI5sIGwr!#fx3brmQp3TB4!vCoU4$`E|xN!cjl?YcI7|uV0@`$kLzDC zDYirs=`|$Ydh(HE?~Xq4EKwv`6W%56#~w&nQLSP_^uDC{<Y)0+m@jcT_g)+g@+1|R zb>h_MI}+ioYVmB;Ey>P@72<nQxss%c67j95>yoRlABcyeu1c~#=83WBD-xtVSNtEi zEOGvQMJ$1tlJURk;&CKhl0Zoj7h;zrvdkp$6Czczmlr2iIC(+xNLwPl9dlmNXAH&X z;?GK`7DvU^iDx8K+XG^UbEhRgo%f1aDe;nGkKJOOv{*@~&vr4AbxI<;%Uf)G^@JpT z-)6D!CL#HIWWD&mJWS$@xrl!jA`&FdUi|bikX$}*E&g5}CApEYRJ^A8m?ZhSnV9_M zh$Q6RJh4>cVTt&Wfp}f>LCNb1q4-C~eu-zTn)v0M)P2o6W%1ZZm_+w0OT2eFR1(-B zBVH&SB8lsxh;3LwlC+U&Q3`LjBx!0)v|M|qBwT7pG|xCtVj<Tj+G)OB(!uEx_1XAI z4y(0_N?d#;Bif%uA2)eRHXFVdt?=I}xolb|>JQl>dB3Du)EBu~^2@qHWJYY1{B|f2 zJv-wrX<GY0l%26&a?K-8^y-$I#Aj=+$okP*$+X`U(Z3fi5^Ptxh~BtbG8B42<lW&Y zaXN5DG(BW5!H>p@x=D7DTu6vC6jw?fNPs9q%SLiD?uaOO!3qhHv|ohUER#5=go?V> zSxQFJcZrhxmPk%q@fXF0n@h;K+eG!~B1z!gO(NfO3nh2&uM;i3wm|Z|*jeOTJYO<V zYA1SAYb=?pTp@~SF_d&vFA<#?6iEtSFBEl18Aw9k8jB!LPr_=_7wtCIktBc75~bM) zCA3z)NNuC0<i8FjQRi-TNoF@wG!dmHsqUkTyw0jhJ`RvY(zz;<HzQL9Q)SAMyMO-} ztZ3v&4owXh)b=S#3}$-`u22*trIb#C7ks8<1?`)G`9e9#RmLZSfz=GjANh9%qkeSB ze8txW_D7^8u1YTqzMqkjY*HyVsJ=;-IPf1EOjOMh8tR1xhg$v-Et+=?Z2nFW673rX z9_&d%MK9Z+z<8WEZIEW*?KnmZijxi8{YME)<3xkA$A^jF`7s8<ltBVtfE)b1-%m&u zMjNO#{37-(iZnR+>nCAn9$~PG(M!x&gcvv(bQ71C?liby*G0^;@-r~=?;tXlZ8hM7 zHezb|MuRo!t%R+$n?X%U3lU<o+ThHWuLNql%HZn6X9BETZXnJ7MC@2)VQ_D06S2t7 z)Zp5d55!M9BZKb9cf=`sJ%a<O4Fuak)4=ud8v=2d6Egq$n)u?tF=(BxB?ON01{XDJ z2nR=+L6&Vb;ps>+7~1}vaCe;4k0+`KbH`ErgEuM&vSYt~?yIN7Lx&!H@jxlz;n1!> z%y~k5wr|#_FL_Kj**EF$-d0Rx+BN8N;X~rvs#<;K)k1>4>Y4uf+5$p#Wto2Kz&(Ov zTde<xn@9YyF3@jXc84fmaa(`=_FKe(<=6C?r*aAQvMhb>z3apU%ZvKEKVBthOV8=k zXRi=G776;p2H8aV;*<K~by>s<GgLqKa0c<o^tk?^tTf`i$szsIH5ZAZdHeK7hEoX4 zC`dnB{Q_Yr4%AO~JWqVo_to#*cb521cZ>d^OJ|6Bp}W4vi_?TybFKdIp?D%#;HW>O z9!Dgr+Un=8jv;Pxt@KL|oFr~=7V9ftmJkUFCi+EnIN>WV*1tN55-JQG{Z<h|6ich? z2W|p{9Ysao9E&1~W)$?@^N$hAQw;sbtw)Hhf2H*IvLcC7BmeY5=a?4R1Aq03Lk<u* zeM5R~X%WQf?mj)!*ZYWojxIgl>Ai%owN>xqyilV0%V)hbpJ2kX>Al{aggr#V+d4f) z`7UBnUA5l5p&bNxS)rGs8%PvZmFRVB+D^PHd!QG3(vSG^C{NG6#Fuzgn5(z5-<!CW zcSY~L_BJBuX8PPEf)~NNdO`2ZDNo{V<{7>A(oMvIi?Mpq!yZJ+IYMuz$es9=0Q6G5 z*AwDXNA&nfZp1olzg|c6TEaIfRB!U13$f+!E<NwX&V*ICzn*Nc6G0E&rbo?oAW8!_ z={bM4BfNdr>3vmPMRa*O>lLlGCAMs^)B6ssi9(kZdK(`tCnoKd=qV3d5k@N(>Isc4 z3404;y~BY^2&V=5dTJTw#3Hel9^=y@LROovXU{PsYWYffwQEg@101Ga`Uw+4Mvksm zQaO(xq{w=DGe*R(sY%^0mWG7&=&0`3gCZjGSHG^fK%YqO?9r|0*ClQ@x9eUt)**5} zH0w6)77{03H|e@x(<D5eHR!5!suPSSwYnxc0wVYRGu?PUKC$RlnXY9fkGP&)tZVv> zOGsZV(A}@0Ot_x8txNIY5a8rB-Ig>(;v&e>m1<!TS%)s_9@JnGiJ|9o7yHT)L4gUn z)|m{#WZOyIbKmJi%LY`}T!%&+Tzy<uH;_vFwLYZleT_l{n(xzX?;#P7je~UW8_(iX z+JU+ad;j4Es=m6G1ylGk))w9VKNI*es=IE#<v6Z4xkh(c^cX%q;Gp}Vauk2qWuse6 zAHjXUTI%+!9m0ni7U}Lt8o)ze%-5a&={Me3BGO%{`3pD5*Verp_!HlLO`z*~s|P=M ziK}Zr`~y!(RM0(X*@d4a7`m!R2OfD;N_XUC8@@X1pN@e29j65T)j93;4Zpr+NXIR^ z8Mkol)7jeh1<zaArIWw-Gp=OOs<ScrBkphXS;zimBYsiyy$;}fz)LxGIy9em_$ykq z&X?;A__N7!or&Q$`1StBI)T=8_<{C99YM@1d|uOC9j&GsyuRj!&R(6DxKC-e4kPRZ z-gqxfXRzcMz9c7EM~_j36BiP7u57NrOJibm_U1grzenLZlHpSP_r7SIUfU;lS3smr z%IU}W%gqrw=e`x=$<84<U*{L$Zp(M-ghf5ThfMr**45p|f%aCNqZ$SHxY9<Q;m~`y zH_c7wVcA{$=D3s23xzv)$Iq2II{vqCnU-ZbwFS92uijjzjCuoSS1iz(*?bKjD=^fF z$<4u^=jiHepU%c%vWCuyb(e92lRTZj*_n9J5w=d@SUPSNET>cIl7^>xOY3klFXBI4 zXSMH-q~Ic(3GJ-a7w~nabCEs$JicA~x3=%dS=^7)t!?U@ggZ;MX}e`6;u@o0w2S^E z;LV*Mv_sd%<C4ZV+F@5>arGB3waX??;b{*mwYRvR#AU8O(YC%R!T-DPP&=GL;FnL_ z(;nQ4;q`}aYM0zc@a|nX+VA8c-shR2ZLu>N|KgOQ-SzZ1{$NRxb{qc~epD2vZFt}a zZp@Qtm%cfSzobF!48ueCy0IhLwfF(NvTMJ#-S-GwyD?N-W_dV1CxfTWPYJ`b?)z&W z9u39Iv$tsrH-zA?&ur3Gxf_H(gX^@n$nC+ehdFC^@7{$U^tIEjc(DUt;JQM)M=ucn zuxyF;Hf%e-!)T$lTDu?KrfRHhFvmc4rt51*XZhgie>AmqNZxpJhpINmZ!1oF$I)I_ zz6IAPm)HIz^u#sq(zNd&n{fFwl6HN&2i|pRQn<q29lv>aRQUJmdVK4Se&Ij*I(*E% zN4P%76_2)U7Y@8$gAdPd7Jgaaf^QHs3E5{?<7qMt!t-N}`1?OK!kt?k@IUQULbS{d zmue^#_UWv`XPy)ZvrgFJow@nK>|fUSgXCMn!3`_${n%AuOwlr2eP5<<pN17);GHVG zf-S`tIiD5k{<Ofe%;Saq>&@{A?Gr*;@gm%sg$RSS%<!<uqe8(6Q#|g+0io)E34Z?F zUg37n`FKp(ZsGV-V?6lgcHtMX5xz9pTgXTf<3q@1AwFe*Cx@;VuGyiFi@aQf|JCc_ zIrjF#r<OW6)6`lRk|V?e1WScG6twUhnwjwCQ4Rdvkg;(24*~wGSzoB;u7(d+YY837 zRPoLNzVL|=53fvD62c2yJpLq8m`GK|?f27#zrs0qw+~r(zEu%Fw0cS_##I3yHv6OX zx`c^)X$)v>HIm2g(tEYcQ)Th~p>{2A83rzBZq{lzOve|$XwpjTmd35_HE5-KQgP#p zwOV7<6kHa6rgdp48UGMkrWKz%i^X~tYrR&R!4|A6(DIC%#-1A8)-suy#Fi;v({kT8 zf#v+m)T(O#i%tGW)q<PGu;mSBwNAYp#eyEiYqc&L!HDb=T4>%779Wdfh3gJt$bn;8 zcP{i}{yqn_%;kS$3mn6=WRX6s*JO{D@mMc*nirsz8r*~FkbJZ}+J0c!J)5<*dUj!~ zx9hd;)OBFHAGv6`+P7nOF57Eam3+s(pR(54Z`O*<hA-8c$Zf&owwh_Z(r(76tLAC_ zO#OoWG&IoK#QBUpVGFgGu^+Kx6MQWWwFwioDQN{neZb0JGPTHm-(e2-=vsaI-eScU z$XYsm^%xIKX<pm$1`FKzNAuA4*I2sifack4udu4cy_(ZaHCU6NL$kpBCDue~(R}dc z1y<GDq)A=#97}I#(9Ei?!UBqFHBZ`CV!VuJnq?K`STSCvX=C#ga||xlWR;d;6&ngP z4VOK^442;4JoD%=7Oi<r(_!f&tb&@Q=~z^R4gO5kJZJF`lYe_w)8t_xrcxBIsbX;- zW2T?bbbgqR4WWo;t;Id8a`!RK3q^M^=yp&uf9V};-r_J#-s4-?GyWdU+GRH}m)Y%_ zwWT+(vQBSJp6zu^vv#xQy~?XtNZxwQWQQE=`Z*WPnwQyF{SkZ3)oU+fUB1?u$_<%V zkKIzu`5QB^&tfypv!Bwihs=4J4nCJKbXZ^0zC9JQ`lzLuxGM$wUc%Qj{GE&)$Wqc| z?LUwG!I_%26KAo2J#@{dAPIZwO4f{_oxx<xrZlqSPh&PJe>CXa1T0{#5$B_{IPBoJ z9*ttXSnObByN1-AQ`q)v%^H`?Ph!hsnlxaU1f%Y4&?s;su*Vx}HB8@Pn6LRWjj63D zHlSLjq0|Mj(CH$L$S{C?Y0cL#nvB90SKZPO6UVXR*RE<DP&|g^pUTvbPdkEr2ual# z6h&fP>&|NE6duAl&EhpK+aAOkxF<A1>h@!|#-RqjH3HlJ^{7T~_dd+9?109lgJIa~ zti2i+rS@XJ*lvxkGa*>Zj_n#z!eDI8YHy8zygk@8qs<!Ut#@Ms^6NE}-|WN;2Anlo zeRg1L-r8x5{|>->@2}ACiQbO+o?oJ&sNj#e9$u)Sko6yC<Yla}ZJ{sr$4Xygw9*^9 ztFEQ-W#cw%<BX~Xv)c>nZRKdh9od3~RLE<1$$Mfg*))xa%uUz|oTTx|Y$F!4V^Tft zg**1#aa28S>jrE<)UW=ze;r0+^r-L0+%Wl{ZR%T9T`|(Duj&bR)?gjC8r4~LF4+CJ zdiCZ{tFegC8uhWgPMDTkmAbFABlg&&RGpJ%kJ%{{sVgtC!%7D3sc)}ciD@_7RR8C1 ziygd|qyA&U8Y@oBP!}Yvz<T$ksHYn(#~2%u)I+MQFqMUI>L<M|F(tM{edx~;?B5_z zzkb>RYic;6e$&t#OTV{YeX?p1=8+hxe!<ra8xPy1j!c+hvFrTRi_a~<<QHsHUv6rG z9iMBE%zQl$`|{gO-FUY#CaPPlo=i2uw%=Z*?s{2_#l<dHcefT{SA#6nbH3_hx1CMZ z?T+YS*<vI01w36$Lf2E@{XiS@`k|rT?;*ql&w1(<!<yKuD{S?)Ga6VBI@kDSp*lA1 zC#}wStA-u4nH4C8^Re$56M{gtDrPl3ERZfx!LTpC1f%X;>~ZlA!GcjG?0fQeLD6{* zHg@2PAbtrOn{fXi$os5-{W5(c&^pe--mqQ@nl+hN#;*#&j|w@=x3)yEe1|M1f8&AR zy9@)%CGrGsZ__dJfLwv%1{!wH_KM)rA1bD%nJ(CWQ3~5XHK)R7L%~WuB?{!aNZ9a$ z7{RNUS(JAc7ko6GLFes@7A*WYjhebf3O+?mp}Iy9g4cSJs5Cu9z<fQ9e(cyGNZbDx zy-@z2;ILo}bxijXWL1r#T}M0w+>jA8VzaB@lkzY+VCE#~DIG+&DXbJY>>5BH_E`xA z6#G%~%f*83$G=dk920@@jy^O1iUp+#Kha~}I)b#vJt($RUGQ>8H+n=_MX-|n1O0DM zK|n9<L>Iqi2n2gN(246(g5%tF^bYn<&8YG_y8gevYWiWVXouC1TB!Orbcafx8l|=w zZ5rxS>pJ`uT~hZ=jcf1)J#picTGEG4Xf^gu%^UlOj`_Y;J7Cs?DqFo!`_%RU)!~+_ z#hiJM3I`vlom%-0Wxl$v);QFF_FlcC7M5L)K7`lRHha85!?#^li=oz`8Wxw-<Q~34 zixkhRecn}zuIfur`@^q6pFBUQ=KH!DHONBMl)(!W96heaGkcD{+;~WBcUKikUa(J% zmRgA#GJ@2G)>fb^I|9@U$>peXsgGLTgEDmG1y40Js1y~4Z&1tDdV<cntWi^Fe2l)( zb5N^|d4wLHu~vI$Ta4;{TB;`c`w)GUZ>Cmz=K*RHJ5TL#U?KV-&_M04;65s_7OEld z^U-jgnwnSaJ@nzAl3KJ~9{Q_>sWv!u2W4NOtKBQSjf#$w)k;Heq4PFP@p+<~sE)}W z{?qR_P&$2pfB)ijw7Ip1Keq82nqAz^Cls!to@bi*+iS0&(|eluaYQznw5owmU3nSh z32ON-r!&#`kt+Vz;tX`;RVm-<U^?oYQ^fCHn1-G{p3iUVzlc8Hc#Cg%I~DDlca>kZ zCk3TQXY#W|7f?n^3ctSVJUUyL#9y0p4(*PM<MRT}qGf>+zKKo}Dp?Ns=h_lcYvrSS z$IGYDAAS4zj{XT~bX6$-tady~zqE^Q(jJS#2!FoHl^FD=^EUpPz*DHb_9p%t{gY_I zxEnvCTY|o<U(GMcCD4&;tM~>XII0l6oZo7Kp@K~o{LUc+<;^qYFMR+}s+1A`QzSs! zKI`&dERRO>?rHET<R~=s1dku{{1~e4%jWyX97RhO%klAbN6<BL()=;yNc2_PjB4SR zL+JA2an<sygJ?#=u&Uaw1L$DjFV*t-`_V<qeyBbe4M%sezpMT&*@wn<e^!-XVQ5zA zd)4i0_M%tM)~UuTg`$`CRI5^(gHhC`LbWC*2;IspQEd+0gX;7ZsxDu$8~s{-SGAY4 z3nh|osCL%wK!qXMs`JkWqPJG2saF0MfQos^s@IIRqvwB}R{i+L4;`sErMju&KXlav zOjSGH7ezy&RIR*x(1MkRRWIv%qaRelRW}W9o%3sus^?QL^k;dX>h-uS=(qE}s`gtw z(W;;=swU#i=tUcM)tzG-QGeyNs(sHqP=2q2YS~$Lw5rrbwRQUj)F;VO)zxf0+Pia+ zstjcvx?|aVRrWhq^u2;eb$9k!bYZ7ZRet{(6e(6yow0L4E8~<^S8$!tQ9qVy?GGnZ z)m%n3r`Qpl$DpXbj&VTETBdj_ee6-g{69RZsU6BD26$YlRp`$xy}bQRw&;U-9X!pO zHt0T53r{uL8rAsF#PfAufj+t3z#B1Gj;=jc%WIsnLhIa~@u+VtQ5&5y9&&9ddgV_M zZ|(6V==jTgUZA@LYMpkAS1UG04~1RjMb9omGgoEuK$98zn48Lbb7vv?q9=(LM3|z_ zO5%7MeHNhi6C}J?a})HOKjbO0=A%0oALVuYFh&jO2YA$SBlO$nP+oYdAxhld#hbrh zjA{UXo`tIjy}n@^FJ5GT>ga9anNjr7(_?Nty%t@x|K)04K(P*LeQ6bM=&UvhLznYj zhY8U_8w=i_HCpIrwka=ItcebG81fcKYoHSkba}Sz0(9hr1~2QW8rtf`<GH5u(NbeJ z&*_*d8vjq0m*~Yq*VR*b21`{?%H<gq29Jwgj~G|68dE~8?T1w!HFD5u?k^R|12(#{ zyGteOydrwHxK%~wkOC?n^I4^AGYj?J_Fko82@}nnSEs_^%cJlAy->-Yltm}rl&fSk zGf;NcW0g;3GN>TDP{r>u9p$aMt74ARP-&$bDqD6*qg@@BRcc(QXi?!Mm6Ik?=rQ8F z$~g`NHQRhzWnheiela+ulKgcRIq(-%i7TH$$S;qp)L;FFfRsZjezDWY;O>1YHsMo< zhh>mTi0347gAu6mW5onA_}NG0uHHCec+*p*O71VR>F5R((a0ZUzsnkxPhUn6OwB>% zW90}U`Dv}9cWW3q`go~I+1Vk)KgLYu+VMeT+17a~%{vAVnvsEu-@1O}*@TvgndNWf zU=3eogYGXxHC0KajM<0W+09frGTw_UUP@O%I(m@1bh65)H{FQf<0SX^;~&V8Yopw~ z*Se5b2m85qk~$HVLl1Wu=s+Bl+qo(s?MP_HSFVj$8*;Lsk^9i`JCcmlbB`@<MJ}wb z;l}HHLr!T`ar+fpkg)zI+_c%Rh*Rl9Zc6_bgcEm<+t%_Kso#2&d!+6Y0t|DwzNH@# z?eTPO(w!!x=*0!DQbr?Uef|u$E#U+5C?J+Q1KuMBW(0Rn_&Y>G0$lBYx5(!=kzCQH z21F}8f_u!V9`Otg;c8gDK~T$`T>1HRNEY3X>mq!O<TrY88<k!mg;zYddGuQ3X1FW2 zY3e0%&c=zmX0RIB$6U!}cD+DszFKiLzdT3Cxr@0`_0Nz8hfKJJ&#Mq0dofqHq!Jlt z>u`hfD-cPmfJ?qnj?nIKxm_7gk)uZyxV+>tq|J%Jy%1lDSaGGefy5K!MEkTdh$=y< z^Tw2W4?adFjt?ql?R|u3x%^bVx~mvj%Ij1f_bWmiI$M-ew?0Jd^FJz|-1q>oh<>a5 z%&ibnU-L?No%4NUl=oa&WM6=kca|x;+2kW2zgW4_>K<YiU7(Cx<RKqjZY!TNyNm4O zT~i)5xr0o1WGZJE-$st#OI1!0-$MG2pH=>@e-qj09It#xHy63fJ)!KSeFK?ngUYd5 z*Ac6`N0sF?uOXpF4=8_9zlxl5+^ak)$U*LMb}Rb`t{_jo`70|6vXRo8+mzJ=my!HK zo0LNZSxD-tb;>ODOymH|S^1xO24eSRm9mv)IwE~-x$<+ZG^8ZlLODbG60&23sq%B( ziwK2bq-<r7iX?r|RsJVVK~%Cdlv(pGAPK=d<<JGm$b<!3S#8mIWD7-3nY-j1a<h)A z?7QqNGL$l-G-{KC7zK_ieYQV?Y*{d@B=3@l9GUD>ieGmcNqF9+<h>~YxsceZ6uB)P zIlJw%Qip#Wf*HJ5O4}Wa>>7HlbR{eXv3c@BY5dSBMD|3v(#7bLNbQElO0kj?NR)b^ zQf-0+(d)jW<avQWp5|XyGRwjd*W;I!w&h|-gX1Now*@HT$Ud)>`~*Srza}W<zJQ3r zwUbJ+ZvnD>AFA}|Ycz7h>bTOwA5lm<^^g*I_&B0iAEtEa-!WuS>K-MOaSU+|2v92G z9z{In`zTrI96{XwZdQ_85Q*4VtXDE#ei$*1bx}%jK7>ebvRB&Zc@X)aX|3e5;{bA@ zXNl5-{reHOf`v+3(FkPXn6Z-EnQ$c4L0>5`Yu_B%LQ6?_FAORCq^dOYbT49>%~3LH z2t~4j<&|>2hal4CG^LQCV8mx;mV;7)k(<>MoF7U-$gji^POAPMM0@LRPU@1~$Qs>l zPLK00B<R<74(`1ZIsV`aCoF6Sf<=Ge+&}{n;Pi&GAUOaDQ+&yx-rSCOe6HY#%KQ=I z>=I7OJ3nM1=mBR-_kYOaMR}YZ)4s^Q=^LC1wl5-hp3Mmo`5=$u(>U8#cq6WxlQ}m$ zwjqt0iJav@TM_r}Qyfji3#rP(IBqFh5W~nQPF<cSg4rJCq*iZ6-pPb>3R^cJDsO{0 z8si%gx70w+2gQxZF@ImqXG0Gp&2S56o}D{#e`o`z&U*t=_Gk^K^w4^w6mj58C9XpX zoNYLgTW-iDwk5~!g)4I8vl-`P+gfDJ<$0Xh={1Pb9s^F5>KdfpRLE&s?1I2?KF4B% zGh$G##OVrMjZ~aqa@u2@koD{69FrT4NTUjwQ~lflar-vOzT07slwKWWcarT9VQ4>l zx6lrWT-?L9vRQ>ZpK4=o^<9b3tG=>pqHGcCm`1iF-3AHTP|r>*wMJr9YuLRlE0FBg zO7@wV<;b0DPuLQzIUD+rU2D4x$zFVqy=}V{5<iv8UWQvDA(dCyLD!ZdD^I1ff4p3R z$gaP@&hN88YE;g!YgiUYR7(uo)XW?a<>2fen-(L_gQD5JM;0Mo3nSUfGR%<nzv1jT zEi=TgESO!>ZHm0dcd(_IrpWR&|FILz79bbdUhLglOpxCn-Pxz3=OgABYuVCQ=OOz8 z9oa8m86($>Y}sFijgb05OSYAo5%T-tB6iP8LxdDHpWV4fj4<p(>;*|8gwD`rzkF<f zOubQK=XK~Ko#&L<o$~rf<yIDZw}l>(ER<ne`ROA6{UEcq#^@lLx2F_e7HT8!!~ZA} ztwJPf$$(;_tPoNE*Q3~Lu7wm-wkw|T*F=_|Y*v)SYaperO^UUT)R9F>4T?TL1W3lG z8pRb#0%SJ5N-@M%4cQz}s@NOKN3MvA6bmn@B3-}lDZZ)UAsY8@Dq4)IAZsFX6n`10 zAbVG2C=PAnA}1&*iuQyul3aa8v8zxCxfB<x_@R@7oZmnwa=08sq5>2%9N0+6*GR?4 zLyE}itO&)d>k5e4ju1uOXBN_CxKpuNmW5>Y|ED;(oQb&K_fm8ZlSifxdni(}<&aCu zT@^`<vWO|!NzqkC7AbjdtJt%QfvkwJQhXaGgA}?gR%GPR5p5+C#nYc?2>wx|7{H_< zEtj+vC99;7`F;Y$zlW(vgdSJ%;T<XDP7h1*SqBAay)C0CRHGpDaEfB>dNQJCKCMu7 zf`lxc_@f~EbQZ2E9Z<*|n}K#nuL5Q=11%jo6dvsS2Mri43g(&9P^PX)f%<6*wk0+w zXtSqa{>EB`IG0IyNcEY5BR&DmnoAYj%En=5=A5X~_+J<wkgwpp=r1%D-BMV-cMO*Q z%u$HW{R7wD%}`)<jlwtKDGI-YqtMYjNrCS(0t?4u70#s&L;e#&!KY~m9t1$)pwbYm zUUfv_>-s^cK-;g7m@oj{YC;swzV3%u+)jl~*?yR}&QAe%{te%9wkkxN`~`nDdMMnj z?t>F4t_qg)J~+G0NrB_^6HaNaRIobH3;Wxw6z)~`z)v}g6%NSsz~Wsd3MZVq;b|kW zLQl*O=<{1!A?0-!)V?QBNM?1x<_NAr`}$6pV6LDLb+!XqjLRtOY-)#%k0}Zn{B{@| zHO<oVX@h@l##rN7-{CRJAWP8I3jaLoWyKk`!ks5NSZnuwgKwN$SX=M6z<Kf?Sw-W` z5Pj3Y+HTzp-z3(uw&7nP+v6GQO6?c8hFiw6WPgFjKNhhxw|s^<srjr8nV(?Qwp*<C zKR&`w8dq6YOg_S{)(lp~p(faSIfZ5Nv=Md$B(Zwwjj&Najy1CW11#$%SZgl6hZ(tm zHQezI9tb|d>Yo1&uA0A}Wfb`q(g#9VPbwQ=)xDjpbY=rQ9O1`$y`>&%FW$;>&UphX z|9G&tgLQCQkt=J#@;cZT>BLGo`5H!8tz@lhd<6%mtXP|LUcsHEi&?p0weVfE32SX> z4YaTovsTE}z%yhW)^V?wu(MLYlD$z4O>i!&d+Y@ab5LM$9ACieGzKg7{B!uRn!@tv zdIo1sPBVkdpFwq(F=jnc1<m9JnP^ibw0+&n#6^|RKE8wbA+iG6y0tL(*OtS@3Llw% z>g7<Yp@EsU_bH^Dsbz{P%3$+`XUtipGI)nm#uV);g@@i3G1DJEflJQiGyPdl;K0UP z%mdp?U?%q}v+?0$=-8COB;+2$uH+OZ=JyC5^GsqkJSc_?)i`E|TroWTiC}K_FM{%^ zfSFMA5Tag3m|WIF*w5e3{2BNFdVC3CvYr&eyo)=Th*BYx+UCbx6?`AA6KrK}s49R- zUp<(4f&y4~$(8ASARm&votU0=_n@)*O6FyQd(f@fifIY+;O?}=OyQTi@R+v=bJOCx zkWd#hKPTLQCz`dHw|Z|wByBG3WPcm(_vSK}W!-{4>I%$>shiNIc~0GP%T1`7Mq&CF z=E9%brsd_>xv)?$CNH)320Zk2K;HS)b-3VCuY9ZcI&9n8AzvxE24mG)<o|SDh4a5O z$se-23ae8a<Q=kepqE#zd;mEIcBnp+Z}7VUcYG?9k1fxJ-!Bx&pBH9Bch7wJ-|#Xl zRk<a9t}P1+n{wn&t;&MO&S%KiUCD&?8&l-{q%)zKa+3VYT^Z2#eXM+VO**`oM92>q zr^EUUK>kTW8k|x*BL8vd5)?K>$gkXV30j{Hk)JHS2tC|(%9AxNLVu>8yeE<hcfa<M zpXy41J7YcM`(09?kBh6k>AefkmEj~`&b<K5U)suN9!rMY6ISxC+s?y&hsE-%SD%OF zR1<lnymRnWl}LV`$~m|e)s{aSbr#ZB3glh7lHlW6W%+fkNpNQwOFsL-87LbiBX6&H z23}uAkvBh)2v<x_$sHIx4PO=ik)v!q4c!k9$hB4_z<1`oa<V1~&}Fn;4o``PB?ZlL zYo+3$UU-vSz}`4`VnKsk&F5Iy{<}sl${`k-->#B_`7v-VmnHX3BL-HBi{v6=PC@DJ zdvgANPr_waZ^~r^o`k^xIdVqtPQbI;8FJFLC*XtD3vwoRC9ppIjNCPK32fUID;E+= zz~6jA4xPl|@W*Jmu01#$JRd2S@)?6aJR;;$oiW(R4w379gu=4=9daj(P?#D2pWJ~< z2t2yROYWXLGUqoBIjd+0`PFOXlm-E8#U16AZ3i%Ym91R<hiJHoWF>dVDH=+bEs{I+ zI11(+n=kikeiU@K6v?GsJ`VfGgmP)z;}9!Ullyt<7-UB%%bl1x3R4!a<o54B3i-e3 za(8-;z@(dGISbz-P<r>2?A*qM;rf4M7r8{jkL~@kH_8t~i>w~mkR^v<yidDq^xZ?S zRjpaJRsRq){M0C$kbV$`p0AgU;~s=J-D_k&#~*-g3RSWPX$K&??uqPwU_Z2qc_^DQ z9s#|b?#XIJM8HVuP1&)&aF|eWMOJNRI82XDmreM-4_;k%L3aJNeen8tqO5;Y7|ebU zBU`Z{3|@%9Wy9+BLSjL*Y>4w-82an5Z1M9@xGpzb)?-yDG};*~yZmVgoYC1KdtiA8 zeBJ6RJNYOWo=e*zTfZb2Zt`-M9eEH06;;;C?pz!MpTBdE72V$h_n)?rU1GKe3f5Z6 zCg<;lr80|T?H2BaTb|F8waMQFe<KF6F$;IW<JLl1y@H*PI>ncjU$hgRC{mKOE8GF4 z4lreF%y+;ergYi#qCnX5izHia83^5TCmCi>0^oxkql^*j0H~zX&!ALphe6-E8Ga7i zVadfdhJ1}bq<Ma2$hi8$HA;;P_qTrVRQ(%9-zGm;8T*p)?#qAhk5eUs;rAcZraoaL zbos*7<qsI!gMHzi<9Q5x$Oqy}a~XdQ`@odZY{r8bZ+QJ)8siz^4ex~{GqjoB@V+>a z@#fq%c(3ym<E8pGcs&baaIS8JsoqhHoAb9qT=g&`>7f@4`mm2tZQ})<6N4CQYqmh$ zwSf#Rk1cSV?#oy?SFG@&%9ByB%M+dk8yFG8o1y!%H4GTF87lm>XSB#{hA#@N8K=%| zf{}ZdGH~H0sApuxsJp!p)^-^)c35tN!I$+JYhQRknvWI(@$i6Ys(c2m%^lABz+rse z>kc2DmS;>&Z-7>7XpG?44e&9I#4uLd02ftG$XMpChu5P<WRjPzhbor8Wmdji2f^qM zndMv7!S=lGGDMFXv<?0uqjAIyrW$;Zp~||!Uu|_VmX}<iReH5d={#46ZmEz-EL#ho zE0xF;tX~T$^@TFJ9c$+P%eyk|2iL$*hZ{13GHc*PQnrk1nhUHdxg;~Ozy)?4IxjO) z<qW0FPRp#=;tU19Ps%j@S`AHZpfV-MYPdY$xXh5sYG|){Nao;eC+PG!OlI{eC+Ki) zk4(@fM`*n+K<4XSM`$MNBXddG5elDgmdQ<XfU<DC3~jLk?6-1}DR^ZM>;Blu+zGIs zTfY@DV^enUc<>ULgmZRqt-(T>gY)emugys2){9lJ<&vI^soyG?zFAX7YH}s?VynvN zpIZqPU$bSBO;*B6iJZ*l8e6z`rL@eBKwGFXJwvaYwSkWwj?=>~*+9?mVS3OK8#rkE zi+=yTH6(s?(bw*?hRT;)>5G}xFk{<ideF@kP*3GOeZXl2yxQ=ZUe&c6YRA5ycVWw6 zibFZwQ)fApBR!_`Dwe^>$M@+5e#>Cnfje}3)(Sc-xK3Y~X$7zLX3^){Si!melk~%_ zmT<H0Il3aWgx6IQ=;K05IQs4ceL>|?xI7-AKL}U~q0=$?De0xKjC_!O`}z_%QL>k= z<+22t9NJC){>uXXXS$s}aM}XK{oF=(TVw$Xb2ibZn#|!x-*xoyqvmi-)tPRmWe(Zz zSJ7Ll7DG||a{BY#i{Vly3;I9iV(36NrDOLOLAMe^x|i1?=zdU_9yMzQ-4|%k2d<hy zw_X)`{#rBWa7B?`IkFHg@sXu#Us?zacvO1*s)bPT?LS)GPg6J+^OvT2))aoUAEMn~ zW(o^ue$vuA7r^-9PFiF90=PZm8_j3Q0%$hx6KzqO37qMAOWP7_0$*glqP;RVfiYgs zX$jxwLuaL@w5-_qP`a*|Mp`l-K9LmA^4jOYFx%U-I|=ik=Hyk{q}4oFb3c=o+-(f^ zg{IQrIb*0KI!k-J(ij$ekE5*`FoJ6@N@)CaBiJ#wwQSZH!9&cWw89BPNUh#ai@ae7 zPs31JtfwJVvD!uZMKgpcBYw2BhhoUPvz2yfrx+&f+(_$H5krR1jV7rU!Q-EuXc3Vj z*q5}DcE?ZzJ=ZRyS$s8sWpjxJR=fe!d1^wlurYvWq?mSlNFRP%s6z|S(T5g&0vhhA z4`Xw<v~F2_*zBV~OD)rbhCBu>H9`+YG*D<g270jg)U>qZt1g^eH7313Q5P<q9+1v+ z(1j5XdZn$VbYSk@4r%3l9oQmjkzT$_2g<iKN#EDdflDtoNYB;3K!1-~>C>^=P$K_K zdSsP0y#BmY`r4!rK94SvzFr`NtxNKy$Ag4$Z1AS^1zjOz+{}@dG;2YAK!$YHc`az5 zo+7<&ofcfsct+ZYp#>Kw#7b|h(u5XHgmhh$CNw7j>GMl9q3NSY>02WjP#h5<&Cb(+ z>c%0`&x14|vvY^^O9Kr!mG+;sT8BFPvB^uiBvT#Mu{@-2d8@<x>b258`RXtUI!Yh@ zD1c#>w$dBV3!w9`r8MvmK;f-L(vxff91WN+UDcolpQ($azn)fuNsU73RqNECcY>Pq zI7<!cIw?#4d&7s_vrOsrr}^+kF<p9O9UtxpCrfuK@}baZimLxk6@F?Tr52u5g>jeq zsY#nuq4mZd>QkO7{3YK`UG{|sFFgNBrKR(rZFD15=*NRy7WLGVx;%Jt;3d_zTLp@4 zR8k#pslaD`PpD~OD$s}jkZQYF1&+SWqb{1@!jmz%)PrSQ$hW&frQuw7eJYLm!<h@s z3X`c^MJ_A}Nu-`_QiitrG1ULkm0?v2Mm-j&4DFJms9na&u)^&yHDg2xE~AH2ua_vn z`%i<YGnf(-M+Q=J)+)h^roPlvt`d~(^`y3Z<G{n0H&DZFaA2F)8tR4!4s_-?P|@Wa zc(>M?N~Uw5BDR#;@RkktEi<G3PGiHm5o4;?ZZ;I()~6~jW<waLMb#p)VWT>q8u?lg ziW@mptyD#LBwn7XvQrUOJJ6`!ixeSeW>#v5qzJb?oRIqPMgeB;9g(7^E5IKHzokwF zD?oAU4=FDz1-R?Ncd1B*0?b+WMe4^V7Hp+|kh*e%1$pIlQhAXq=o(oqCF{(B(6mCT zkk5hzJ&&dC{A9xKS%p%6N|=!8byq4jo(Y$*Z%Bpz$Ao@0m!+;QWI_VDBxOit!d%Pq zQd3Rxuy!axO8urh{F!@FDlSSM(zl~hYd6S49kt_9TlM9k)w_dIMH6yxLrj=dz#BQZ zW7QrhpDS`Oa&o)W^+-8*vcOx))=ds32W^%T>B+%to%K?_ld|yk7iX!C23c5`WG7X8 zT^5$OtdRN|EeoGgmPom6mW36MO{Ek}=4^zKlooC7y^)?&^fv}9nWLM`D`LP07kN^K zi41txoh@~64+G}N%1J5OF<@#XRcb<=0ppI&P|W_yz$mkE%Hy{(Fy!YDCGoZl^vdp| zJUk%-?YDMOi~?k!38$6v+gb*y)PACn1Tt_Mc}Ma8ONVWiuPN*gbXYa?oT8XdhdH@V zDZUAGi2FaHOa;@SKmR_Z+nEkmyuD4)5z`^>)HTX&8XXSWW>JVP8mt~qrQCi-gK789 zQgm}@aNq8DN)JYZcES^se*rY8^a)b@>}jz5^ihhEJ`LV;IzUmT(ctmfP)cC8G;}K3 zMWNP6L&Y$E%J5BT_|ae+WkI|&%=osEQW7Q&w<o(%lHH}Df!k_Ixw$m#kzPfy<V(XF zrOPO!e^j{ZpgD!tMuqzG7f_;}Q(=3jm|}L53e(ecDCVcB&~u|Y<>Ub>RFGGp7;dG` zoud>eYPM8({1}7cWk7`s7fDgN<*Bgq=QR2Gh!nh#Jw_h-A_Z4(9VCZTO2NPEpJa=h zQt(<$2YFqR6x@ikkc*B=L6YT1^4<U`cx$kMd|<5<+;pRsTw^W;Xa0Le4%M0)&nqMQ zF{GeteG&Qc2nCKv^2ui3DDZ;yEi$Ku0+)^DkZlVou;oq$xh#_c!HyJiOe_ToHIm5J z4pZRM53yv$KnnDYBgi%DDR9~jkl(GKz|^TovY{~rn%|Eg*Q-)sLr@6$8I1yibas+u z{*a;6m;cC@I><2Xj2AiT9T_fm_8{M_Aj4XcE7>HU4E>57$^Wv*a4gK0EI31kF(NDS z34{zaTNjb-BFHd5c|O@KfDG;2MC9um$*@sco4nqUJeRjnBRegfn|DB&oM1$T#5@*R zSAz_dJLzN@MKa93L?$njB12P;DN^Ye36{$KAtn4ILFdYTQr<TbY&_CK(tJ;Xehb@4 z&DA8>*YlOs`-B7!W;K!=3P^C)vz|0~odi!R)R2CrlOVgglC<a?38qFrA=So^pti+B zQa(b0H-6`lx+6(&!PQ(+$UYK$;C+Q;vzr8$DW{XR`;lP9t7OuLEhOlOC6dl<Ai-Bw zF{B(95?nuwktp^g_#ronl(&Kez5EZ8ZZ9FhX4P=g--UDE)euBFGmiv2P6U#Uib!y$ zjW6lG4hi;-d6KL&Nig{C29ly03HI+;Lo!z(!F?JIq+AXO4u7yFg(#5Vfw-llXn7L+ zV`oNcWsu<EDPvLsodm}V^hxp3BzQDPi}Y2B1gEt5q(};BF3xjE0b~-KNt7pLkVp`$ zrjbN*c5HSQT%QAD4(c<rplx~<Y@V70$0ufi$KP4dJUR=w!?S=iFbgjA&4QlpS<uxn z3(mF9f{`z?fZ8+*UNp=C%U83&`}r(b_;eN&70-hH{8`X+a~6bMnFWt7&4R+Sv%o)g z7L=j0;PsJN5W8;{Oz)fp8a}gNz<n0%Up)(Mte6GyX0t#~Gz)?Svmj7m7O<pd!Je@h zaIkj<ENq?unQvx5S@{e|D3}2XIWu6@`5B-unE{Uu&48JmGvLqW8Ia~U14hl~p7mxx zvC<6Cqs#!?!GD1D?H`DL^$$EL{s+>p{sRk={(*?-e_&_OKOndHAMjuK5A2@z4+wex zz$x-SkkdB}!WySRU)eO^-<Sq}6Q{w6Bh#STe;Pb;o(9_%PJ=wvX;3&j1%iG|fri&p zpr>F8<flvl<LD`{IbaGbT0I5I=S_hb#VPQ6bP^<dngp#Slb}6g5}ZdS!DPTBP;i_C zO`=KQ#+U?$eolb(uO`6PTN8j6Hvy<26Ciud1Q;@!0K<$4aHVG)NLP;o{?&2Nf{p_Z zzj1J6#W>iYHV(dw{RK+z|ALvjf5F9=zo2`^U(jXy7n~FP1*3n)fONwcc$qr}mSJPy zKkqSMZaxM|m}6k1>knuy{R0l2{{zZH{(vWTf50BKKcISW6g0gU1<7fnKqg`o7&(oC ze}Ykf4vv7LXCojZWdvA+jDTpH5fI540lHno02B>_xKqQxW!o@#G;bJu{x<|}y%_@Y zGlzhG*bs2A83JEfLqO--AmHB_1eM3;h;c51K%_bdI=cqIhWi5`3=RNm*8%X9KL7^1 z`oa7Bey}U5AKYHu4=yY9gVo=DgRC3B!Oe)@AaMC_P%r%({Ce{XJi71;EcN>Zc8Pz1 z)q{QDOHm)t0DXY%*asfU_W}9$KY?=UPw?9JC$P}_3D)=a0`<GSAT^>FR9p0dYm+^| zqO1oTMtZ;=`yRlg_W=KzZm=u18)&+A1A^5JGU|VT-HAUy$NC>Yk^KYwZs-EX=0qh* z)^&l~tS;bM*9o#>J3)?fC-9<of~U_qz(=G5WLkFs{!}}#D{2Q?``f{7lXmd4w+(#G zX#+?8YXh(NZJ_S`cYwry2VIWe!PIOks4Q*;R^hE6z_1lqw|xUODc=BX{WmZ|`v#Iq zTR{8%7SLhX0?xNKgNbv^fa%f<-p_mm&iB89@LgYli{LBx@a79pK)-<L#a}>b_h-<P zI)^o%LCU{RVDj!K!1DV9-YI?pP8A=)-tdpWQTrpPZ)gGx*aSvRnm}SpBWQ_j1kIL> zAg=oZ_<imJm|gh+RQA6I7MI=wZ>RUbY~&qyobe8fIKKleqi;cE=3DUG`7Nj%X#nBr z4dAU~185(p2RSMAfVZ+9Ebn~-IA`8~l%;P#Lu(y)B&h=%=huPE_pd?Pk=MXQ>ov%I z_6j`O^9megy#l`rYJs9>E$Eu80l^tHAbVvEi0ym{^iI44VTLb3@T+Q|8d?nwv8sV2 z?*(vN{{j>bJ_lbDpMyNp=fJ$-83+!020U2Lz^~g?z+z1mSlC+$zDX*<GQCRRQc(e9 zeJjAR$#Rg9Tn@s`%E4IOQ!sDOQ=m+J3T|hVfw84!VDMcjNDC<ilhUO?Ci4k+x#S73 zY$yTSc9#GXQVA%y@EG)%JO=g8AAw!okHEd*VsPtZG4RwZ28H*FK;`NpfV4aW!{HBs zGVLMgPJIA^=RW|K%L~B?k3yjJ<389Ec^?GG-UkY41t4U80XSNg4=mT^gPX1Qz^kx( zAY(QU=$_64TQu{4&7Hg8<FdOzSbGNuw%h?Px^4sA{kOqF(rqvlcMELi-vap6o4|A7 zP4M+eE?}?D1>^5-fJC1g;B)tN@FDy<fK%5%3w{m!VqOEcldl2|t*gN1dJf<$$N?7~ zUIBG0u7C&6vVrTGY;fW2Wsu}~8Q8RBfwb*e;8u4g2oA{vErS_=bT9*a7*7XY$J0UL zY#N9}(*RpK4QxAc33xIt0cz|;uwDKlh=@-Gi&&}PYC;Nl$w~p~2^WAa>jKyopA78e zlR-<&d0@ym5A;u*1MjKlfEjug*v%#Z>hUBHIerGD9XJCb1{1+Va3WaPeHsY1p9T+` z69C0C0n9eUgS#&AK;c;&5Uz*=9S>rGr%5b`x)uXAYQ})(^QQopc?yv5lOS#K1ehyl z2faTeAk|v}Cf^c(=|q6HB{*<0#lhYj3^=M{pgtA_va<*n3rE1YP6#?S&*3Ei=dA!3 zzZDJSHKM`0_$c5!a~y>4Jr34<I|iCuj{&99qkuf`D9E~a1Psa?0mFwP!L`oAK-T>* z(0+Od^cWuk{wW7REcGDRweJ8J{kk8RIqe5J1reZ1Jpyn~gaiK2KJaGiK4AVV47kn@ z1H9zD;LJ=YDBBqdF1-l>MiwC;I6WBnP=f&}I0$Tfy9aDtvIlU|cY|Y;-QfJLUBLhK zPVmiiCy+nC1N<5f1X13Bp!{h7DAWl6TZ!!;zuSK<midGIxBNh>ydRhf{SVZ<@deHc ze8JJvKEQjx8w|R61B<)cz&z$Q&=|ZGnACa!OR*OiBesCR4o`sDdV-DVn?cjWCLp_c z6Bx+f2qesn;LUCi@UqGs?ALS$^^qGu%e(a;ecpP&lB@%!t!{w2)D4_UbOq%-YrzfM zwP01s8W2C=0%9Cpz|wSQkTS9w<T|egA(>9#`=}$Jxj2HB3<t1d#2#ci*@M_ic0fF^ z3LIWFhx03exW^X6TG@iEI2*9@n>F~hz#7nz6`=j?a<ErtIk*+E3|y+T0?Rm7Ai>`f zoVm9YIL$5rcU+f%7ndwRVy`)nTVf8D;){XG+eP4-#v;(O#|(UZun++9LeR9<6trAe z0Fv8H0C~O%&^$aJ^p(#8!Lsu}nujrnPBQ|WE<><oz9Cq5Pz=VOh=4m)1bo*R0QDq& z5c^3F+|two(Sf>vd{YN38PEori?zYSNFgAVYJq8z7Ra^N1TrTzfa)uC@P(-k+|~=g z{v<Wv@_`TDarr>rQx%L~;DPg>R6wWd9JX*la*8sT{HO#JcuJsY69=q2#|B5<DT0lP zilE(90cgjv0Q)5q+@~@DeWg5LM#+I^#j;@jC<8bxU;w2c8E__t4$8mLz-3h$SmZ7Z zB4eo_^qCak{-c1s<`i%wlnl(Tl0Z)LY;={<Z1j1TnP^qyU$kq{bo8R%Q_-(<rlPr9 zCZpN$6VYWA<Ix&pf1~Fc{f!>*8H)}$^C$Y$v(ae3za!DTh9l8>-ow#~2}99$pAJUP z3=TxkY7aygxc5i%u;0=1@BfM(YwwHR$LWi{wDM>4k$t_<GMPQm&UM|<%cg!rw~Bv6 zn{V!lUV(K+kL7hl`!}~oN6WNFyDn~vuKVwM^#2T<Sv1vc6vk5$Qi+tQq)4R@Nu?6f zjB_04IP*Nu^YkA`X;6qzNy-q4N=k(Yi4;X>Fq90Hl9Eypec#1<v9I2>*IsL{z1Mp7 z^ZOV37Vax~1M7Oa;VRQ^xO9CN%p`ZhRYyADr$w*f_}8!CY13c9POD$Se~>TWC|x^T zda(`8u5X364?l<F7ySP=x4?eXW;i?M8GQH3Q#kTj6a0I$5ng892><ha0>@Dv!%fi* z@cm1VVEUta_|B&~xYDc+*0?={dqZpCDd_{aDX|6)F0TH+IaI-n@Au)~^Y6oc>nh<* zhzhu0d=K6pR}P03l)>f=rEv87yKwG|yD+%)4s5vfHoO&A0<Titg6mG+gslpT;f3`# z;D&cau-o73aL~f*@Enh8@R2=N;hXeAI1wm-9h0xXjKa%sL~TC&w=)k$jpo86(_Glv z@e&;4lLO}jXTwTH7W_+_3A@H$ge|f!z*p{Mz(1axhrhi|hciac!Ba-(U~}6vxNXf@ zc#q#1ScW_e?`5RIFO(^;<>6#_`sq_}c3u)Zb|(>@s6Po8zf6GbKE%Tt#^T`VGvnX` zmM7pFj>q9-_gHx4)?=__?@^c&aRi>vJPeDZF)#=og0~z!2wyuD4L{E~0Owzhf<10U z!u(1Y#yo=HA1xrfqZ5F4_Uqw4Lpqr9QwwV*HL(9o4P0TShCf-V;K#N~nCPg0FS^L# z)U`5rtCti$y-@;ZZxzF|01@1>QwV?GD}d`l`0)NP9()MRg~eD7Y)W9mSP~1yQkbwQ zjRA}4boda12JdH5;d&+o9%Yi@7A6U%F^O;vg8-kQ<6%D<4n9r6!davUm_oq7PqAqD zD>@vm4nx5^L&IQr9}?#5Lcr4lL*dYEA#muXV0ha4{V>yQAFN-w7xrJa2QIhU4S!g$ z3w}6fCyX%)g3n9^!Y9T8V6S0+_{6|=_;i;ajB4EmKWNwr_ut<F-zo8hw-juKl@~X` z<dlu@_%R=NH@pGfE%%1US?ggk&I?xU_k_3kt%FO~dBE?NyTcEy++fVCwQ$;RSNP=M zYItMEDmdx!N;sp;1twit0XLm>hQA$kf*)#@!~5CG;KSjLuq<FHJkP@crYv3p(@Yn` z3xC<cV4p3V^2`RNmRrN!xr^XANekg&&<c*`EPxx3mT<MN1uS%)4?mh~4!`_07e3oF z2R3UkgFSD|hE30!!YNTEa0|y6t_qn2)4Yw~TXr+yG6O?c{$V=Y)o1|!xiJl{PyGk& z2Bsi@G6~TF|3ZIOOhAFA<B;E%-_Y>0Ur=cA7(`G039VHBfG$RiLXS6ohw^MjAn)<7 zkfvi8;+K7a%+rP-uI@7=$9{s`eFmWntB+9C=zHi?%K&71y&noY(Fbki^+Fv%@1Rx7 zdLY-SH&D;(ZfIvo7eqPP39S}%K>0zhp;r#Cpt3(NpwQNKD7CN+IvLXnZ6QC0(l)d} z1#_Dr@%yJxUqur%JEakNFL(mU10F*+tQ(;0;d*FSZ5?#}>_aG5T>F0>51?m@YM`&5 ztDx%p_o1DqDj|qn0dY3ngJzhOLt$@9Aym;_Xjb$cNQAl##W<Bfh@Us1riaDQWXcWb zEvpDpdS8di4X;5Zt%VRRs{pE!UV&P+U4~N3@}b!sxsX@hC1|cP2TI?b4Rx7iLC;=Y zgp@fKpeK?H=*8yqP}0nF$e=k5TAp?m`o%Z{!D~-Lcg9kn?EA@(|It%W5+VsowN8Zg z_as2K^5UUq;<*1^W=}wi|HeXynq!dn(W6jP@DXT{<zZ;St3yz2`ax&~EgIVFd;pq0 z7zu%eFqAKWpd+3jWb;!Gk?!cAaGe&K+^m6ce^n5-LJ6&c70~%@a;SDn3Z1W%{2z-U z&Q=kG{rkTHr;HDU>39&qhYKzG$%bNzSx~No3F+1_pno4}(8fzt$caXQYV667<trkz z@Du@R48}v=#yALB69GAZ80exm8fqOzL1lSi5Q>U~GOQ8M`Q{KP<Y+K-!*4(IXlyT( zcy$jnhq)WtV!I1k+#Cemh}i-C-W&*xeD#Mia<)U0SU+g)oNZ8R)fQ;4(ic*?Y=)2> z8=;;PKG1T%4UqN7dgy+Z7i1gm3AxN%2Yo1UhwyASC~Dza2v_F{^{ZAx%bZt1mTfN3 z?dTQIoORC7!nezz=O>my{+k^k`UeNdCwU1}xqUJ8d&mwNIAaUN?684eeO&}~r!9n1 zf~+8eZ<dflng#SVa6Y8?G7q|vIu|<SHwSY6I2(dbnnF<<O(5@{S<v|-M$lcinNUpo z3}_}e9on?a0CITr54<ay0u3!E!5Mcaz+1#|(8k~oxbD&~aANlus2lnLUQQSVPk4R@ zm$iQb1)8rQ$7UE@SUv=5iJ!r^$w3gE@eyp^_5qxHGXTDU`$74VKJaeEJFuA81Cl4+ zfR$&v!DgQ>Ftxn{oU3>ZZZ>}fT3>wuUfI(Q4iB_~0|%aiCl|MXU+z8wr%+G9>fuJv z=hzdFw)`=;wc-)jgsBJ3zdZzJ9;*efIX(bQ%Bn%@uqv=)uo4VCPyuSJ?}5ncW#HSO zQgCV49neaC8@xBG1YCIjCb-<Q80@br0wW05LHO%c5PPr?>|a;_I$gO8F4~$8Ry@lE z7t$|*%YS5py)juJdQm2*&%XeoHf4Zs8`8lg_;aB7r?cQ~-5GGM$!XB`ObYmFMKZXz zBngxRCW5<L62Mk+JZLd=0-T{c4(88{1*at)1<jTm0UuwE0k?P`0;yHe;HG^Cz}ogm za5@zRe++`)Sp@)&{MCVfW3*t|Tn)J9v<gHzD8W^Ea<Ifr29B0Uz=17dF!q5E?As#% zhoAAlt7tCh(8&gas4Q^F00X?jql1HAs9>jz0v`BD0z0%s@cjfH%!P6P*ANT(9mIe( zGtpq~VHEg&Rv7sDC<2T!2?aZj1%n?=_JjGy_JZ~%d%zt>cYzMGc7j)9c7Ve(0>Qom z{^0R{e&7di8~F3j7Vxgx7hF5K3B*V?f?l6DfHiDyaAvO;_?PGj=Dzd*XN0+f3mVsg zuY%Tqq4!sV`i-kV><t(2|GQw&J<ADPX}cV3OLPRiOqPN>qn3bXzwE)oB0KPApADFd zvj$f_TL?;aSb_XfOVGy60tB+m!IKN;fw<T?VEg23aHh-@{Mcs<Mq*}x4UcAm^_vYr zZQ*pVd$9rdGyWe?{cjTRm;MD5J>vi#@dx;E{}<rzJ_ZD2`~b$xMgcM~0_X?70(&Bc zfyUY)V7ljLU?^h{I5ztu(4lz`yzT1;PKWjZ)63rhjw^bAA1B`cz(f~N!s-OFp1lTk zY<dNp$$9}~&Ta=t%2uGUs|EPBqZz0#d<q~JGyw^~6W~B^1K_gf5fF8~4me@;5C{ey z0M$L!z+g}nP<y2kK+UTFQWfREiI=6o2H(3ta>i}ol0gZ;XWj(*>TUq$tBQbcvDbh@ zLxn(NZ~;(%?J}UAmk+#_<N{xx<p5>w*}%qxOn^6h0SFDw06rF^1Ky_RfDPQUz^8|& zfw1MNfH*1{*w&o{)ND!w{-h)T{UdR}!Qd0Xi~LxiYsN7km3#y+ER6wHSRMlY3ZsF; zby2_rhe+U-76NcB08qS24^$q|0+FxPz^I1`usf;%e!Y<aN4%xLljCBb=A94_`v`!h z6Fi{j9S6wUzy_8bX9CE#bYQ&~4S0N*0$6pB0L!&Rpe_;*ta*+Fb~;4>3)E;JwH^gD zT89C*c?bYi76M!{4F(GE`vB&ZJ;3unyMWQ%JAsDNJAknF0l>-i{=k7~KVU`kRv>Ee z79ftl83?(v5qL1e2lx`|4Lm;Q1rR>011@@c0BMjLu<OwpAm73js3fiej^w%khToil z4V#<*yXa*=$>XKKbV~=okgymi&9VcQf3gAm*I5IW+J(T`$^}5Hp(RkgcRs*4IS(jp zp99p|ngP)iQ{ZQ|F<|%62pDsn3B*Wd0FR0dfcroH>G>O{^pEv__1*Wz^_Ql8>m9fM z(jyQ2)O**A>YJv2*V_hu)7u^#)<3Hm(r=vpS&#M~)USy8pf9W((7*rNr*GTbt5<7# z^o=EN^e?`5=~LW0^~S>2diVU7dei=PeVRj?{tf=Q{zXc&KDzm-zR$Et|9i(1eKp*m z-*&fNFCBTPN3X8cf2G&xx1Fof`?pr=f0<V383E<`XkDouQ*=lF=6#9YZt*SsY*ewn z=xCANwDOwXdbCjA;ZmSS5HIV2lX-f4?Irz}U)g$Z*DU=y@<si-lNtJ*57PCNpK1EF zE@$=Ev8VL|$5QmoWvBF#&x!gPyOa9I`{MOSfD`&(S7P<{9Y^(J#z*vrd}8!<tb_WB zQwQ|i>PY>gVMyO)2kLWn>-CN*tsa%B)^BW5>RW%y^~;=OdgoAyzFQ~K@5vG9`A>Oz zzuz2vgCko%YadfTuAu8P)2aHuwPgLAFGPLI0)l?u7Mxzkh|m*a(faR2DE-!#Nd4By zQ2qC1A$r2@{d%ovuYO<BZhgz`o%%VQJM@!N0s72k{`&DCKfN(;tN!tEU%mgeO?pwY zk3RUjx4zeMz24Q^Q@;x1q3=?==>t!%(NpfM*1Nx4slPS0LO*QftnXgGTpxvU)W4KD z==&2E>n~lk)7w3<(Fc88q<5ORP=D8Pf!<)7g?<WWt}jx})h|jk(|Z=0>ZjKm>yNxM z(pOIy>aSbP(1*Dj=&$Vlr@Kv?)M@k+x-Ut8bk+sGbiZqU>P~cw>e@#}bgjnUbcY>= zbzi)PbSC>h={jg1byUrJ-MJI}y4cKKo!jjm-I2#{bSZDTblC45y5^a$b$_j2>bhOq zb&74Rx=KWgu9E&tr%*QOx?-N_CQ=)8PxI<^n7a>kryf1f#k{K4t@(Igcl1|<?u^kr z9oec(_iFiF-7L@Bx*@+?x|rZ%T`Rsw_kwdx7q2YTO+;PMEso3A{Wz1Wi^|E-RbS82 z-7dSRqdm;fRX<DDwZBf&UFbWbTk<7Uha5}RZT^>}do?Rjx7s{G=V=|M`|5C9M{_x* zJK}ak$MBBP{qQ}g+Z=E}=e;{pHxLZz_M!kC6RXpCku<uyG?i|IrO>_Q$#e%r5?z;6 zq#INSbcJf3&Pm77Apw@o3u5RV!!+HZD2mSd07>`k072*bzaW<w9ij7#M(ZjLpmbAF zNZn{;s4fEz)=h!?be6y#UAK0Zj-(FKB`N}SfYe`SEArDxcw2Q^maoo-wn<k&^wG6N zc<YM8ymUK**Xa^=x$ENm*Xp+Wy6P^kU!|*G>!M3r;jCNcuv|x7<fuc<bI?r~E!N?t zY<0q)*1GjW3w6c43v~UjEOd=e&2{|Rxw?u{Gu^{$rn=~CW8KfwM!Ll(40Yp?({*u* zX}Wgyl(rT3S9^H>xORBkZ>`z7G40!BKeU_$-?f)!e$$>A`=a&#@L7A|<)F5>{(}~{ zGobyG+oxTd`cAtr=B>6w(XBP1cWNyVueB{(Uut){wri!<t=iC;E!zH(r&_l+jas+I zkF|XzkF+6~bz1R>TI~)^jrJL>N^8EqQfsu~p0?PbOlxU!SG)RqiS}2=O|9<14Q)}u zb?y06SGC)L0__?4Wo_=BJT1@TlD2nYws!vHMeWbt3~j=rbnTm~Y1+P|Gurc-RP7vM zves*Rl6J1sN$tg1@!I#Fj%$0Hj%kyNj%fcT#b|9*2em_(16tL_NbOA<NSimV*CIN# z+M;r`_Tf3DHUW@p%?VQN&dp-2r;SkC`irMs{eq+Qxy90sCo;5h2~C^1pQ1gqilkj) zM9_+Rv06nXM(dUquFX(|X&)jG+Dz9Ft(Vb$Ezq+^t1H{3U6UN7O%(-dOLqEeV;%go zR=>As(aoE+`|~$ye@AZ6;v?2;<!+wZ?K3^JwVi9VlQ&$oUyiKOCXrmUUp$?)Q?r(9 zA9gL(2HaSpRmIqANjO{W-!;}+<g|raLaU|LE_c2*Njpzlxp$8CqWx^`#$jXa;rm9~ z*pr6ZAlh_o$+~IUPJ=1U?Uo76?#w@$lhR+Bc)y>TfVrcZ!me+c#w){`EbWlSZRaPA z)bgW-+cTh9bhS?d=-z2kg5GLa^Sd=eT^*X0`L8s#iWiy(TiZ0tjGt>ZJb$J!NN>_a zvz};5-5NBxzv?u5t7<jbM{6|2h$@ZNx>EDwZMkMeUa4lT_>QJ<eTin;M6qUeb&;m= z@HI`~{z8p({uK@CMV@9T?UH5-C0i4)JX16EK0_nDlCC)`O4DdO&S=a=Q#27Jr!+WC zqQ-nvf(9Nxp~)(b)j;4;&77@=HQ|#7HDMJ8G&7+{jbsa?Ntn=USY=wxcdc6E?W5GV z{gi3m6iYNaq#_M<tw7`TiL1Gn%hpWLnVOL$bj|q=isoMmNn?#9Xg<uwX@qqcO@36k z=G^8mP2i7EP1e<5&0Y3B%^`<98lzV`HGU^|Xxw%OXxjeyX*}+1)dYxrHM5;JX^wYp z&{QR^*IeD@sX<J-Yc3bB)s%8vHIeqKG=G~{Xxw9*G&Y--X-bD2H0Bo<YZjyIH1B5G zXb5)~YEJMMXq5IA8jGj%H0;PZ8o9@8jn_M4O~L<U)DC|`P1T5jhM4(JeGM_GzWZ-n zt-bbJJxm-^+s*!={&#moeU|-I{mJr+`g_$Ub&=?!+S&HK8d2A$_L9F-KUw@%ZP(DH zc2st#-z<5h4r^#vgNjx)X>p7CXWdhEptMoF+vc&_u%=$E;XhPgw0NM7Dyvd2qF1U} zCim35qEfX}#2t0&<Sq66>|%Aso+9=7uUFLvk_*%^n=Y%jzsXhKh|W=WtjJQAKE9w1 z6`xn1n}1Gy;no>7E+SR^_|GZz=($Ao^Q{SL&f62}TT!v<yN*ZI@|qZRKmDNEY{mig z=PX!#AOKW9eWz1DkJ6~)98~J53c1>aC{@oI7pc#m7N|e3=c&K8u+;@(rh4&gx_Vb0 zMePzuQdhpg|1S%~sx7QA>ZjLH>VUmSwXi=#9SrYRzhAUh?R{gHdduD*^`G7VwHVm0 zPP5#m1`B-E3j;T)IUO6+64`pSo3W=lC&OLcvVN`l_T$xRBz2`a`=_(|YV2~g*uhc# z{^k<3)oy$BM5m4VjAW7e%XBOCpA-vq`AT!OcljJOCwR6x^sTXaSZbsWnr^5@oib2c zJN;9o-1@6}v}0U#v+b7(&HSmlHu_z4Kl+>M$oyfI(Z$cIEpCIV)%V`3p6=;axxRd- z+QxjVG8ySo9fvwpwI;7rw^G_wM8{Uuy~1YIbDyWGi#1PF&cO{T`pY^MhE}UG_*|`G zD(|b{$$P5M!)2;hbMLCGPnW3XIowoL<`$`(-L9zuN(xlgTP~||YI0Q{cju`3pJu93 z!!M`|I?`3kC~2y{eWz6?xGAc(p(ItC^rY(ek2ux1=D2F<#8K5GbXaw2+9B23=mV-g z!${SI!=TE-Sg+c8OrvrzRjEp2<to$JQkCU#k*d{HpxPJ9RRJb!75ykfWiX4Tiijbr zSTl$!*8@0J{uD;l4TP&2ej`<4Rj8_AWWTCgv{#isxJ$K~6{KSI1gIhi+f~zEY*o<^ zzAE7HM%BI@8&qu-UaAE?>r`e%ZmRN?YgEfJSE>AMTvXPHPO3cPWvVZc4yw;%_Nt2_ zTh-kDMJg}6mCC%?LUk#~T=l(dj%v((wyHSCShd2&NEH@0L$$%cK-Hq0QaXQ}P<jyl zC`X&dl%nlFloyLfl*gUED%YkCDUZzhq)gL(P%;PmmG5!A%B7FrD(7$RR+eAxP%gH4 zrQC3|U1|L5xiXQ}tbE?uq-^wmqJ*wLQogsZQ_hNgpzIl|Qpy>X%JOIB%6q=0N>$!% z<$H@;%6ae&<+qR5l*dqo%Fc?*%6^wT<)y?N<&ud^C4zZDxv42#+3B68T%U1Txzi{` zX)aDuCbcIhpKOU!R%XX4xu!>zkEJon{uj~8J6ocZ0a=jp&@4b1Dby-GTU5#nZ-uh@ ztW<e^Qlwl*6DYx2uJV8jTe&rsp)4MvD&K^Vl@E#tN}2^ud0T-|*0!OPvEE3facYQi z+t@zkTFf40TggtPm(>pCKBd3Xu63I-d)*dgU&1D3*Ov{-xP9xDWBKcp)<*8i@ANgw zL-$uHpV_%6pKF|y@vV+Z19u1I+E{y~#ej|Sy5AzDVcG(v#gF;QSHbg?m^?FO+%!`q zgqx*wDmGNcm`+!or~gxk%l;~U&mUK8=KWHvulk|*u<*MACHkt+JQz}-Y(6PIia#j4 zYx@<xHob~JqPGfZO_$>0!Vbkz{!7Km$~Hyx{O5{O%x4PHokm5E$zz2*v0h<*tyXdG zU$w#!d0*j|bx&b6TB^tiyrUR8bxSeScSCW>>$+m$!9vCM=a&`B9rG0TB{>Sq%1p&l zvkQs=T)KjhcUF=1BUN$CKUv`!pQt$15w9p*aY7L(Kc+CPJgf*YIi%Pden2tp0;~`Z z0*Vx`|HoWNt(a4<P>{`K3NB8paLp1ZazAkuot|vPBRxYQuAwTLOvs9U1VK@98mrj$ z2CX>Ygi?Tfgu<gJSaJU6UPaC3-HOb}AjQVo0L3xm?TV!RTNU9aH!GT3eH4a^ycHuj zPsQnUcg5J7HHtZos}*nPE(&grlcHe2QIWU8K|$cyD;n}_6yH89R6KK8px|=mE6Q@` zDjp8ZRvdFOQ5Z3d6uy}=6szA(Q#`kylCQ^4$U{#5mOHlnlwUO;m5=WKCLcNaMSi*V zliYUNNBIul0lAC1SN@>rt=#%^mwbhDhkTg)QZ6~uCcoU$B2PDcCf^;{D8C9c$Qy6g z$#XtGkb5t$mPg_%<p&eX<$jOu%1fq7<o)Y!%A5E_a>>Q3@`m;+@;9dW@&dn0a!+NJ zT%LbHF6c^^FELM(#|EC3Usor~<1Z)5S9Hb8HFHnMqqiTE2gwi1A7met|7nYo56*<; zr#1lcF}7B2aatw+P$!qG$0YLm&LVkrIA0DP<jB9>V9J;D(BwvQDe^pDqTGOolUt=? z<b5?LIsYp{e#I_Cp0jJ8JVLQsUYijl|I`p5fBeHw&T!Z&zrAO({DHzpe(d~uxpDnE zx&Jpe`Ffi*@*e+H@}2w@a(2>kxnJ2*dCR-S^2H{0^5q`Z@;;1}oCsOS<Fn_<fd(_V z<5v@T)Ph;^v`vO`5!pcgCwfY@J#Rv`{mF0H`0!7e$YNBM=KW1}0Q*I@4EQ7i&wr4e zyx%V)zk4SenEqDg;?yNu7W7*7g7rccbhuR}%59b*>Y8Lj1CM0^Gat#2P7h^vfi<!W z+I?AP<UQH*^QE$b<+o*DUfq;gj1|egSzMDH`=6lFxi4S#fpbZA^<b84&4mjxc3HX% z-+oqR{4G@`F-?}8bV`&7x5dj0u*YRc*-;rjHb%BMJ6e`j9w~d?3d$aQ*2%;(G_qD( zrEGMaOxCztETd2ava2et?ACFXOqEHOjohZlRx}c23*X^nRX;H@C)03Qz!Id))-yy_ z7_?9J8@pRJB?yw;hXZ7r68&W2>@6}<$tIam{RSEDm6z<upofe+zE<|l)K#{{Zlx^1 z)mb*(cbN>@>mVz}*~>DyHnP2%g|e$Lma-?O%w^e`b7bDvO=Z#dX335<7|Qm(Fpxd$ zosv!rPe?zH|CXj0jY-Gnk4kM8f0KT9`64~+`AOQg<%6_qXTS6U;+@nU|3->pbV`GS zucRZ&b}1HmF4e_6lZMANN<SqxNH?d~NrSQ<NKLO)Nn?vDq_wxpq<8P#k#cHoNn7e~ zNPjk7leV@LNQE!*rBxl5q)*>uNmF|-NNwJyOCtu)N<)WIr3PP5NyQ^4rKzKFQr*v3 zsnxF|Qqk{2(#Sstr2EHVY3n#3wVKdM=TE4l4dZg@=5dLX^G7HR`OTAl8)HlN|71uR zqg1K)2uWH!jF%b@MMzBt!=?2DNa?oT5UKRdJ}IeVx76@Okd)mLAU)XVCneNxk$$P( zB=x<wK^l15OFFa2LwfM?T4{OKYU%ZJE>cpmleF%Hqjd1l66qt*PD)c+OG^Y+(ptKO zG#)okYKbtDqIQ}{L$(-6XL!z#DqW^YFW65?V&;!aRv7(~M30Y3&JKT*2ztLrez$*; zY;X7=3B1=YF}VItqR)IIxpt~kaz5sjWT&QGQowyKd4hW;$=%y1+2Y$EiF2)!oV9x( zq0X+7^p4+?Ed5j}v3`A9@}&NzWb^GJ2{Y%aWOvdP$;Ze%NuVf4g2QJ@Tz6$i?s%P( z{Bt-XF)&M!-2as*+1M8^5k5UGA(kGM%*u(82;-wAaoR`;pAJe4_Uk0!-f9Vbi9+H! zODZY)DwKSC$&-A(&z5B7F(j4=RLOQVNwSiJmploKkgQ%EF4<v@l-Q01OA0#oN`6)C zlKi{0LsAp#FWD~HCefpOB@&;F5(hhP$?>UmlHzx6l9XCkiC5lAN!&4KNtR%lL>}xQ znR2t21ex1Nc8^#|{`WnVL>14I+)gr+<jPGXs4yc**}55$H|EnM6<_~~BcA>dUo9LH zSH_Ntk8{6?7wr5Z#xDOP#!mYnw(RZ`$CmbpD^j|}1+oq?I{2lyY-O9cb4H8!?wckt zqV%yi=TyD;mZ(<zzkp3_<X9=*GhQa%)p|!fz3`S;bLfWnGVz)?b$x-@&n#b@)0ZQz zEz1;VCT55?anFh4ww)2DFGvw{J|&93-j5f1rW_Z0@Q;c=Z;KJrEThHAA7F8GIUrt> zpcN<5RpOi8a`8!HiFkFVKpc6MD?S;?5|fa0@%v>IvFi_lc+EqscrXPmrnAGusT)GY zM~wE1y<Y4Vr(X&ZS1SX=nSp-dZ5CU^sXZIT1=qaA0O%<;-s>*@A88^+_pcON-EbBs zLCeHX_Be>Etn9_Ich=%Jg;wHOS_|<|z&vsM95eCfm&W3mSu@2Qg6U$R_dikD)P$(E z{<rA($)BP*xbLF<j$cK)20x3Yiav^D+5yo;zh2P^qqm~<O`W1Mr(TIlaqXfMhvy=< zfv2K_SDuJcB#%V&^$$fuzpF)F6_q0I1LdM0JMM}k#wDV>CpSdr;;)JJAqzxzE%Qb1 z+H*v8r!z%dT!yI5_ME7v^R(zrda`IQDN&TRI9`<79V-%_KO!0>9uj%m9}szULZUBc z^&%!#BRaiMDLT?76?r9zMCqY?QMDOIl-<A(`5&f=(gR4Mn^QQ^kur>Eks2jpu0x2# zL;FSR^Y)1D&~}Q(>;gsK+x<iZ30p)<_xz7Zp1wh}z1&muS>Y~1tyv?|^sf|Q)15_Q zsAVF=YzGmi#!lp`vlcbFTZwGm&lfFEpDP+f&K8Mg8H=voGZbBs8HgAwri2|G<H9)! zzl4(kKZIAtz6mX_d=YLYeG)D<{~)ZW=@Z(jdW2ps-9ppXuZ8DhUkJbWwhG6Go(XHu zHwyRtZ*-6_^-y^7T8%K6d|&uz&OPD3dv}FD1SP^tw#7orhHJuYngXGVbH1?gd5+L6 zDpMG|Hbc0oBTZOy__Wa4D_OYa?MdO^*f`;_4Y9)3cZY?YCk_fP_(Tbp_JTsn37wFz zK`pfGkqeI<lL+s53WbH8Tp{idOIW*xF8tg^7B+(fq1*{8Y<Utc{31sR>uf@Vq^iBb zBKEHTZ8CQV6N<MB?XX*gjLA(xUe*TTnq6MPtDoJ4pAyyx2fS7Z&%bmQS^~?2K}#Hj z8>;PueGF@1h>?|0oj+el*gID^_1RQNj+-R}+zf@7XVZkkl1af1^Kk*DXiVUO7!{O! z{VJH2FeET`8x%Zk91w&FdIhIU-U<@)It9T&uLO0yZGy?j7Qu-9Q$cp=V}T{6Uf}or zfnarfmEifR3W3kVQURWNTi`oUEO?!AU9jGxP!RGsU*OEVBq*KC6wE%IA+Yl}C;0g2 zv_L^i7To)DQc#l^Cpf$+RxqpjuwW<lpdj#Tq+lur6sYZWg2G~z;Not%0Qp8Ns8R?7 zUyQkeSLd06C{LQ;eH}?)O27+-hB1QU(I~;76+&QmdB33BcaK2vG)VA>8X)NSzD-bY z$XBpw;YLAB-g-g&#&rTr!&*TXZnePS(+Yv3ez~B>WT}93)?RRCrHvr*j+J2dP76Ur z+g!mn`fS0wZ?gm^VMD>>Yy-i{vy*)D732In#bbPXzfr#5ldt@x5kvg@y&w7Z;sL(T zpLcxoLvQ%`b36HyXI}E>FK^@b7B=(c8=Cl4_Z#?6cGvM!T59-9@b~%5-f}*df0yt2 z{T9DacZ2_V+BN>iBUku2bMyF%Q?mJJyNmpNnd$s#E@%1j!W4e?x+H$m?RdVQ?{R*? z{UiMLz(f3s`Y1kgAH;w3RL37es`*WAa=s`+!hiBw!2d|#@@u-8d?JO$zu80LH_-6> zj9v_X9RtOW?hEB7GWPSSeY^QX^dP=ZuRnh~Z5!XXXEQ&6?89&C^5Vb5d+^V`TEn-& ztm0!^o%v|QGXDIgCHxb6?D!8KF5=(wU%;1FnDakuoWr-hWx_XeH{##BJe}|A^pA(j znBWCj|K@#5{K3P|9^pkF`oiN)4)W%y-}4m1y}VTRTb|}kC(j!5il=F6<0bEC;mOLI zcxIlDdF=c;UgVMoJWTR^-jK;Xo`2+B9{R^E-b&sLUTODLo=Mmho^@R=@BOB1p5p2S zUggqsUc;#~yd<L(o~<sCNBbPd<B(!`Yn~nEUEh9?_x(mBZ*&>RyLC#-^D<QN<VqP& z(l6q<hVyy357@kSo(x_`CWV(|PUM+HVtL!Yg!8-!NZ#P1U><S9US2}>PF|FGAa5Py z$GbS_%X^02$g8Mc&*QIM$9r*REziJkHSe={1uy0GGTvmM1JC)I9nZ|#npb>a0dLV~ zbDnSb9G*jk39rW4i02ePofkAV#dRi6a34PW#dTWygBy_ijk|PWh+9D$<k~zM;I4P; z<<3if!@c~cgFBt_l51Dn%Kg5wnHw9|$n6<<#2rIF<TjR8b7_k!xg}9$+(&)4x#xD? z<hotH&W)U1$W0XGb7{|VxFa5!T>qr=T*T;Eu0wb#_v)=A?)ZWP?kv@DZd=<CE_MAO zZo#Q2?(Od&_W)AIon55jqUXxFWTBYr@|e#pa^Y})A7XH)^-{R?+lbtdb69T5uW;@; z6p~B39?X4jx|h3#y_37HI)MAr&X228`*JIqeYn-D*K=bId2o&2tl{qSS;Y-L>C81B zbmS)aFX6VGwdKATS;#%T$C7KEIgg9~J)4UUHRjso8gkSBPUCikO>(;P|8UMv{p2hT z|IQ&@9_EmyK5^_(A2{iGeVnd|x17$<E>2qZD~|22HV$rY3kQ3?iL>Zi1Lt%=9p^=I z4X6EmB`0}fIma^g4hPkFlM}Y4h%+B5<RmubbD9@l;ye>&auO=ebLP!S<Ae}XIUxl} zoVnxiocP_xIZsoMaGv!a<Ro}Tam=GY4x&lRLE5S~mK+%;`KE}|{*T9bxsS~`dz#L% z>mzf>ZUhch7r|Lxi{j+XMQ}c0_j87`c5{k{c5pUq@aF)LTRBmWHgSUHZ{R$}d2-CN z+&GIqt>$#EbKx*`%Q=Nr4xC%F>^WeFHODYz0VlZAoD<<VhvUdG;S?0k<cy6Na14B= z*iFDV8&@^P&NUom-`xF`9d+U}dtUPgHp#M|EyVP&x18-_H+8;ZFJ98lcBi(m4YHcp zr}`S$ADrsg<E$F?v)oEH^FtZC%;gTdnRAn!mv^1*_o0A&dPP3Fh?T=WmUEHq)R)eV zbUe#GM@eC8&L^@LbjGpe*0JnF^kKF%DVjb18O)Z?2H2-|Y1rz63bxIC2^$(0u(Q0m z?4wd9+r5CwP8}e!?>pew`8YH?>=cq+(HP7goVl0%V%tvkAx!}L`}J+?Wgj=QEtdMQ zYa_ha8xq{v{5n@QdD4Y#x!#E#%U{Z_zG%-bePPX3m|3yE_|Ipr(#&By7n-p9dS<d| zR@2#;d!|@f&^U{EV~q8o|2xao`YX$0-)Ghs^nnEz^|9)D-?Ew)bg|Cue8qCnwy{)~ zn^^}t8d<2>4Xl3OhpY|4YSy0g3f7XwQr4}B64q?jV%Bo}HP+<OE37kja#=qHvRD=i zF0e-Y&#@9Er&*)vr&v~x6IkP8$5|JaA7vRK4zb+9D3*0T$f|ACvONANS>)9+Rxnz` znu_GHWLH?MoHiQk>=cQGSczvnLSk6cfiTu&b_lDiaW8A*&z&sI;y{)z$dBbK@?}*e z`>>4ed9mj7xwBrFtYOhSR<f>QoLJ@HQdVr1J<INqHB0<u0qdZ}d=}1U4(l7igta$n z=Kr4<u>2oQGJ8J%VQ!x{#-w|GXYND~Ge2uSF~ic{Gl6@(O!k{MOp9rq%qYi~%%Xr+ zW)|Za6LaVZ^GQxUbNa&v%)kBjnYRt^F}<AbGUb7{m<oCk)B8Xnv+P1Xb6RB%)41y* z^ZB22CVA0WX5so2<~?L0GhQ6WTz32zQ=1pVJo(@Nlm8ZC8cpb!%!O*^p>=X5d%u`z z%HcDm2iVLr=jhDn5;D{68J>CmBZk>zfMQnJhB8%N`<O%fb}^lqfy||#AG7<EFOyW@ z!@N-A#ms)~&g6Y_WsVrFWNumP#N6$*l(}e+J@Yczn)z3;fN62eocZaz8S_xFG4oxW zA#+;iH0HbEzl@mae;A*weljdqjW8yCzc8+Z3^HtK1B`u&cMQLnZpK*ZYlbAhopGhK zg^~ZbiNWn`V0`}kkm2#Sn&D$s$uO`lW5m1OW^`{ZW(@DS#;CzwVc<BqjAB(5qv>D< zqcAazvHwCU<9cBdqrEhqQCSzu;I$rRym%YUm^B15#((J<*Jo%LF7p%&x~+skbrvv| zdT<!on;DFcJ1C5AAp}M_HiEH-j$)h@gfgxw_c5ZOT@1U!fedxLA0s8zm!Zz^Vc6t) zF`}-zGcMn9Wu%t7FoJ59GwwWcV0?aR$LMQY#K`EdWZ3o0V-N;rGsvH2F`U27U|bvh zM<4$+K{x*Ui{9~XlrEX^jb3jwMDI2ENWX8^Pp8i7q1VpuqJOr0MQ^ieqaRq*Odqjs zq&wL@qTAZl(p&AS=%M!a=n3|B>52BY=n?is^tX0}bXU84`exf4x|z*Idgh{Z`nc5@ zy48YY`j7c1>8bNh&?n4}(wCbaqR*QZMX#Iz(mkeW>8!sBI{CMRZu>((Kl_zK@A|}` zzZ;;?3wj82pUw#Sk#-b4?O7;Y+OUsq`d}BGdoPfFw8W1tyyi<^kmo~(FL=?fo_42a zB)HPUkGRkq;pKE=wFBK)WJiC(SVTwSE$QbF^XQj%&Zg_P%%acroIz*1{G&zNPta)d zf6)w$MrnfaVOq-YCt7sxdz#maURr*`8(Po34qEs17qs-O=d`6MPidSZk7+Vp9c{ay zhW4CTNn06GM)UW(O<UqoOuN7I8qI0`6<V-CE^X6DCT+0iJdM<RmX>@!g?92<A}#cM z9PRn>V>BCm49$sqfc6~?(P9F1v`!Bd?dM_{t<_XSQ~l!7I{TQknaxz%XgQIVlZU0* zo(iXh!w4FZx1VMizMFPt+YVaq%I&mo7F%f5e|=~X@4aY6&)jKG@4C{8GF@nx*yXe; zxdUw&Z%6A1SVT)*ZAmk?m`4l!V@gBz7}2cjr_-{pPEo&~8mG?Gk5RiR->JHwVd|^Z zpQw}b-ctvDyrU+*?xs$!d`<PqYNxI{+(I4ZHBqI(4b<Bn52@9b)zp(?_o&NX-=*ry zZ&6dv7g5!bg;YCQKJ`#Q4)w<Ji_~nxbSiG(G`02NDXK+o0(Ifx<J4}}5h_3EAhpaX zlG;22pkD4(Q+HR(saGzDsm~!kwSvH=iZ{`zy$i`y`yV)}Z3~*(brnfv#0FEZv-eQ% z2Lw@*m-tiN#<x&Uv~Q$dFIrDMecXc@$X-LewS6UZ(AJ6C_rrmj_0*2KB7YH8e87?l z;O9|;y=GJUXV0Sg^-rf#?oCm4B#%>u1!ELa;CISN+hNMlkwHq(qX9~N#yiSfRX4?E z-)qXJrR|i+v1ZEaCykWf7avi&RJD|ty;YRaCHE*RM(<FT)Ze6Zrd_9y#08XW|2)d| z1=*CS4;hq&WoZ=YiB!r-auP-55l?ZL9!rUDjiJ=!9H5k|Ac}6MjxxDWMG5*KrJ(Kz zDJza}DU}!|#cl<avh^o{;#3<!X-Ps+0%@TXz570jdU7Yl=Scvi^2|1hDSI=;&T9i@ z<lj0<T;p2G@R?N<E0!~5+B!$djlcF3mq*qV#;FAqCfS^_dX*XF_UJ6itjZab#Yg{< z{~#yG=j?uw4F<lG*IpYYJ7_+UU--Qzql|mW$<5v5^fRx?JZd}nf37hZ^|g^KyZwk9 z4%L$X23C>zruWDh&3DMBQ*V+n#Ove^#{#m`hg|ad!Ys0hEQ6fmokpHHo<er5Oe8Og zjw3$`I!5+0i6MiHQRK)3ki08QOKxAFB(HiYAqSonke3lS<a&Ds+4BvVOuv99<ER+2 zm17wB^t)j4+sr-WFSH<Xm7_ly|85Jp^x{VH8_Ife!x9g&zT1^Na?XY9hF?zhuy!E- zX}2XGJ++YBg|Hz1nlqRD^05gSJ~EU1DbRqtc-kb%>fUcso8|`z=k<-0J^Yz;>+%QE zab_QBrNdj&@z))sTc=)-vV)(KaI>D0+Nv5z3-u34i@mBzpFiItMdjQjbr5fn#upTk z-Zd7G;t%DK47OyGd`B}#o3EsirqNPKaf^~jZ=2#szYiWGz1$Q-0>4I)KIVWVTfCNJ zF;7Wqc_1NSv;tDr8V>1J51n)(nM_)}8&5hpfhLt-Lz1r2f=R3edr19tJ4mZ_+ezN8 zTS&&;KBSBBUZiQ;-AT?Pt4S7FD@YH+mXWs2SVDqt+K^%xR-`b?`J{mwGtzdsF^T4A zNZQ@}kN69oAPUz0BIb2|Ctf}_OqBb4A{q<~5V1+`h$_EsB6jE%amLv;qB5wNSTOR0 zc;$ROQL^U&@$ZjHV(7&(B6r_y;-0Y^#BUd`63P266O(`D5ECw3B!=xtCw6^5O<a?H zint>vfw*iqmRO&9n7H0An#lP85orlJ;xZo<@yZ)1@lTA9IBzYN__>uqOwdz^<4Xy| zHT4*xvnY%>U=czT-Q7bhCj}9kr~4DH=4~M&_HQJXfAb;^CA$*`Ho6iob*&(-iCRVk zmM<Zmtg|7Ccvi&uX7h>QH8bKhgfTJy`wSv4`5$4#dxEh2<rpDC`<>uzGfe0x9VG0; z4-oX@Jp@%+7r|%qD?;__R)U4@8Nt>131Rk~IzlnJhT!<4f)J5ZN(lETA=o@EBIF7R z34e_930Aq;gkJ#{2w88_2$o<fA=o;J5PU0+un=*Kko)-%Ve)Vk!G0M?m|meF6h$Zq zE53^fj1znUcLkf^T}2}_;7A0gQ7mEiiEx6KGlKBGVjqEu*+s}24j>dB-bR3zY$hza z?M=`IuOnpkuOTD?D+zlnoCpp14utuBb_B=vg@oUH3&NRca|!=aObBkSGYQUB(+GXx zfARdmU--MwDE`^}ulTE%KH*WD-s7tq-{F5zy7521zrvTtwBh$yH{&l{d4jLlQjgDm zT7ySWEAe$BrFg@GC3w>XH}J1=3h@%}e0<ZxZ2Tzt0={=34Sz<JieEG{2~SFn!wZ)m z!v_{0!uM^D!tZ?s;PoU8UiMjzU#An}%Z&JV(_|KYxg!m4c#Vj^x*3aKQjfx8QK5MJ z+r4-v!A|_0F@L;8^j5t0oK1MgGwbo~%RKPNtFHJ|9~XRf^)kF}-xB<yb{jlIw!#;F zFvn+0&G3vrv+$n}%)oCk{f9#*jpH`hj^TPTM{uZBUvTk7A8|=O{Wx;vTioc5P8{ON z3!EDH9LIXugtI3#;L>|*as8Ys+|QwM+%wr7oNTNZ*R8*XGyiuPH|O9b+;gK$95pr_ zcYDqmT>HsWxC+YzoH#WW_tE+=ZuPkXIPb*}&g6m?m%CJno1G=WZC)<Gt;=EKMx5w4 z{Us8v(HVz(e<>XI*cpLSU)qNoa@vJkofCjtw|pCJde$b~xuxE?$qWzN%EfDN_UBx1 zudSEksHsbE*DY;v^(U=x`E%yuP_bsXIwND;^n){S#{Z_UFZJVC@z_u7Gua6C&(IL| z3+E&Da&I5jjr0}^z3jlIAYWkBPg<}`gPO3(m5;D3KDF4FMfb6}tIDz4vTkE9+7@G< zBwfW;nO??1(K%Sd@e5eA>>O6|F%=s|Ny1LG$6=NGk6~}t9Kx1wjKaoU1F)-{G}!pF za_qgiV(hJhJgn+B6FW^r#fHBlU}fkCEVdyGYqB*2d$4E^wtjgKwk>r#w!mZy_J76z z_V%zRc980ZegAwF_UaC2?6%uWv1eTDvE^qLVK19lVyXJM*ms{yu&#ueSidLJu#0{F zMm)UoD`JD~XoT$8aD@8zV8jm2Kty+YPlVr&t_VT#%Lv-i)`+F?Pb02OG(=2tA4b@| zsERNMycbb?{Z7PM`<oH+qt_y$eq4^gQ7=VIJh>Rb^+}J&$T}U7G3QhSPa7XG*?TO4 z5F8V6=uT9G+7XQKJg$i-{UMJqA&VoN>Uj|+9;}EvXQ&Y#2E+&jFCrqUB`hLhQ%J<0 zi+duN#z7HjlI;;`FMK1ITYVyaXM09qOx+?PrK=+3FPtLWw=9jglW7-WJZn*elh7i< zv}I02xwlEghBU(nVCo;{IDG=ctQ*6atp1MC#C^dOefx;Hh3dx~xcwGmW7CNNffty| z-7T0*za|Vl^AYCj^jgeL=6ww3VHpOs;x@+Q$PG;FheFJYUHO=yylhP4tP2<oCk^wZ zE(No8MIvTn%n8ig{v()c0S7VGnJ^~gpAHj3QekY$rI>5hLd;w>2eYY_j&buKV}?)Q zFuK8T%(EZ_=3C}IOy}fIOd>7-V^OjdLo(llQ3}>$&~@$@14mbk7FdBPYj?!dxi7|K z9J9u3@3X{Q+cFRHKE)I>I6M<`XO{s6aq%zu*6&~FKEx=xJAW9RHSH7H6FY#8yWWGo zY1D<zA-zOXZ#_qUFnx;NL~B4t+^I$T&8b3<(#z4ZySLHhbBfW;^sDHiJNamWSq{2| zdI4=&l7?Ptl8XLKOhlh6I)OGZJc<sCIEdbT1x8y>>d-esRcPBRDSH180ea6)4%*@j z9i2N!LeKQYp*>E7qgQl?qCc$NhgQNn(Tz|2(chMAMZXnqM4zqnLOaZLM@vbo(Q$>& zXw}40^s2q~==?K_(4+4y&?eq<(VwGD&}W+s(H2Xlp)rDqaQ5A?@PL`$!v~RH!igCl z!_z+Xg<sn6He4Uw5pMsqJ^YwWOLz^lF}&`2eR%44O}J-JWq4XbX?WA?Tj7n%i^5Nf z3c}s)<c6P|mKA<~&-w7uq%+}>ou|Uhof5*u!r1WBw_?Ii{EZ3^-T{Vp9M^<<waUY> zw&L(TG+y}3d}et3FeSWiJt2GsfC>Lrg$&O(3Jzbpe|I>P7#M!`#kTNhyUpP~RPXRR zIUeDLgRbF=*SdsHmn{pwd24aF$8YO!o$rG1cz9m8wAwU$v7u4;>754Q-ADhTzCQki zdTKU`(uNG9z9tN!JX`uv0Tw-|#i&ly!&5I%o7!4X`UOp>!{Luml;j7fnQfIQjb$0C zB&-B=Kd}gv+FXFzG%pWzIXDY7aQr;#OT!sd&8%b;GbjPo5*>>&tBOHcOh%#JZvs&< zY7Odpu^hGfs|e-c%0n4&n5c_c6x8fDcvOH52DK|3iCUDfA63$@3*}%Kh(d4MhQet# zp;i^IM?L)Pj&gT)MX|^$P@L0_D4%9~RI9NyYKy-mO0JuWQr<8@1r8db-Y%Vn+KrtE zgA>NWqUuJ%LMMm9hP*z8q4|Abu@~Qj#l3hPMlx#;`{Umn#?U+oJ6l*6cK%&;m}p@| znCZT|VamvxVTCuZg%y3c9CpC&QkX;N#jx1ub72okQo|m7Obk0`7Z<iU<Y-u4)WNWx zVmRzWzb>qNp(>2HTN?IQCkQhtV291^qJ{OFk;0B_#fJS7p~BW@goOD$-4nKGYDd_; zwcEqoNWNj>6C1*S@^xY8Piw;dTCWU~?OGmoQ?(@QLAFiU#g+wOd;iS~t64KUY!==q z%;tze*q@t!k-0s;kdAXkk-SaANSHN<q$l<xEh^q3Pk!t`c3Hka{@m7r?Bq2fPbSwR z=ijeEQU@!LkYy>7yY&`wDd#%!(#b2xKc$zDwtW|o#%Afr+V!WAA*3W^ZcH5V$<?FC z%JzfE$VnJE$65dXny5maQb>`<(*#IFH5=Lgfrbp4OF}BvW06uk3h5gaf^5CC2f69V z4y5RtA5voFi}c&P0ohGnhunQ|4Kg~<1sVHf8ItmKF><=44N|#b0rDnp9<mxVMW$bv ziQHK;4O!7YftWGt7h>V6?}*=fzaa939}&*+eF)k0H;CiSuMvuoHpFU+X2dnmCkO*n z9b%cH8ZrOWJ;c-EI|$tKV#LjntB6kX%ZL{D97IaU1;k2G8sg~j6vW-jClMv}#}Nkx z4kPSlL?aF^g%D+1wTSz81>%%OjPOq8Aubg$5&ex6#K=cHqR9}0&@4qFzWVM*Y>3!} z*e?%2c*Jc*^yY0uFlxLI#a(WQma$cc3Ug<KcJ)%k^c{8xJZT}~fO<YclVFDM&7Xy6 zs+x{)e?1vW8TlPbHu(|i>i9LZe&eT5PsBi|kkb>YggZm`rMw9JR?rg4s%Z?(e^nn^ zHe3^W&ag6cpKWPqgZr(}MM2j?z3^8;mq;#!zB+g@ly>G^=-tB9(6?2Ip>1s^LeG9Y z61wJZbZEjnIJ9b+F0{&98Je(L66!|ahn^F$LSIHwL;Dg5p%vMfP<9D2^ljb#P?uM` zLbnbEgf1W78v4p~Qz+4Hedu*p_t59Qt3w~`a}GU3SQ@%OU>B;;FAU8*Hb3;j8M9D9 z-mFmL+tWi8wUZ%NpZ^ZI(=!@!a(Fmo)8E068zuuGzZdp|%ysGv`Qh;*<nq>*5VyUJ zA<>xnkW2KMkTc?n5WN0w$WYA9kl@5?AyE3|5GXGvB)IrO$k4sC5JG)Q$k~>YA-SE$ zLk<oc4)OSUAf)g&81mad8?s=wBIKW?IHb&;7qY{d8IrM<5>oGt52@aY4v7syge(i* z7jis&XUGGBf5;R1mXIu-Psm=WXGpbrZHO_nGGxiY<smbVEeR=)w+Zo2UJ!En?7WbQ z4AYR}?3p3j{AnR`u1*9iZj1%zmy85oEgcGuuJ{n_Qr#PzUfUh~uKrc<*yGmVj;5!< z3C#_`3tDS~dF}Uu<6o8qAADUByt}g~xVx($IPgtwu=Z_cFw~PCjCyxE_|LnfU`cOW za7pjc;3xk9KS030;)6}G;(kqN;(1N);dD(#;crcC;A%}|-(^iK-e66{+*?g8+g43w z*-=ey*Gx@E)kjV7(n3vZ&^=AH%{Wca$}>&7$1Y8F#3@Yz!6Z#yy&p}Bxf)G~wG~ZO zu@Fu3tP4$Is0K}-qXA8@p8QOZnfFXUl=4i$j_phniRer<gX2snecnv#cG*mSaMVoW zY0pd;W6De(T*XZERKQG|O}k7JMz%~_KCw)6HmpouE~iWxCZbHF9i2=76_`vd43kVM z1CC7i`G`!H@PkYT=YC8`-FZw|({xNb$!|>WzG_T!v}H`lsbEa)o?A@hlU7Wuh*3;L zeN0TQaYsz(WkO8zSv^e9O*l+_K{HJIG%id_C@D;98zf9z4j)V(0UAuQ^At=M<_}Cv z*a}Qj$^}d-yZ}tpt@}$(pY}_cknu~kf$U48a_388W8zElQ{78bMA%D(G}B9nB+g4+ z6v;~i1jI{w^S(>N;<-!R(X>m*z^_Y%uc}KDo~282jG#-RdYen6XqHQNR*_2_M2t(4 zF^5ap9)e5q3w=x6_;*W~<#J0U(rrtGzGzFds$)yGmR?JVf>}!~Zd6O8Sx-yrL`q8q zFhxu68bC{|1v^VR@HI=C+AvGY#4AhAt|m*Om?29@f*ec0YZprjRT4`jJ`GC}CkRW? z4+BeK`29-5;P^`S$n#45u<lCKnCVJ=faFT}XWvRiPufakHq}a09neY_1j|aR>cvVC z(ZEVcx4TMHowiCZgRx57Xsk+HPNzz)Gonh-7@bPT{g+CP;*v@z$BjyptB6X`kAq6! zbAC#~S9wZyJ9J9?9&buh0%}Tq<zz~E$X`lGt6ECvja5ooa8OF0QcFs<G)79F7C}l{ z_&ZAH*)~c?yD>_6oGeOxeJ4s&ULs2TJ{?MT9~er+{}M{%-wjIDzX(d2p94xTfBs3C zUinGXJ@iT99PdfO`{_w^+T=<3xZg=om)c2sb=66BQ_x98G0REj4#r7Y?7&H&$-7Co zrnX6=gRx0rV5~{;Jf}%i8KOyv^_)qO(U(bVt&&L@iH%8_WQa-ZKZ8jT8-7U%_IOFt z&~r&}t8Pi)g=tA6U}Q-+I$ud36k18!?Nmu<$4^Pgph`*nc|}PAQ$R`ED?3Ss1U5+p z-7ra3wJS+_jV4KPWg$sBJse5c6c<TH>=8+d!wgBFng>aUaRNz1Nc~9C9{5N!_3}t) z%k4;Tq3B3TcjHL-Ox{R%BH2jH_tQx8%+5&dp~*<OcEm_oOTS3XAG$~r^t4DU$gfBl zoT^CKZ>30VL!d~~7Mn;5>Xk?sy^u)wkBdmFVunaDHGxQr2Yg7l*>*^{t8qw-eQZcG zPiIK2AYw@R@mxq7!&gWUlu}67WKBqNHAqO|1w%+9);&l#rZ`9;b~8xbMJ`Bf6)8y2 z<ReH2v>r$igBeKgQ4~m^AP-0-?+HkLy#z?Ai~mQdS^7tPC-p}o_3uZX!s<utkL5=W zUEoIpD%(fQ_SHve!_Y_BkIP3LT*gN=D8WY|^t(skzqUtoi?K)AR;))ABBw_r?V(2o zxSU74f|o}|O_E2X7mY{O;fF`ttAa<hb$v%-KX^yu2y;g{(rrg#n`lR0WMfA#EnY{} z^;kzvzEek=hE7MkPDw|x7DPvS-abbcra4E6Z8S&DG%rWz`zc4&!X!tQi62KSP#Q;; z78OU-+z&_Qq6$aNXaz@yE&xXs^!i45x%Eb{e(*-TL+eJH2<Apl&EQ7Zk=sTzR@O#k z8qr2--pfWrqQ*w?Wx+;tDZEC??6yYrudzn-a;!$kHK#^!_@PGax|~KneV0aKKaxgW z0gXm1!-q!Cgn~v!Mtw$-2Y5!a$Z|%giET!4N@zw83u8ut%Uwpvi&#eGOH)SH3r<Fx z%Sc8wi$g}EN<K#C2{}go$TLRkhb~5}Mkz)@1tdnT!yZQLff+^tKomyl{SHQ_y9q`* zc?3qGHvdK4^Z7;Yu=GXLZSO^oD(Xca=j26$qu)imU)n{>8`VXw*Uv>}lgdT&PQ^u4 z3cy8-#kxh9fVD+=JFrDC_Nqm@u%$&CYoJ9?C7VTB-<3r<nUF>9Qj0}*42DJ4#ehW$ ze|tp}ICe$x@Nh+>scS_nVrE5(8ev7e(pyEuidIFULQzFj`b$OJu|`EXX+cG6AUs8L z*EU5@jxj|7MJz>$`zA%^u^~kmXdFc#9v4OZ(-B3li3~+IKL<sW^Z`Z2sr*FGUiU<- z6Y@k~$m~SteCI?(G2%pd<=jMqnb$;MP0~aZ0nJ37w8upKXTn4>8@)t4&bLGyfwDx> zGp$5j=BGryn4(1WN}WUj{g*`Ju98HXV2wm55{N{L!-7P_bbUn9C3r-))^bE~hiyaw zIA}y>=wd{om|aA(NLWOh_)<hzs7*xbS4c!t2SY@Xwmn3rWjI8U6f;Co!!1PUaVSJo zAR|PU&K*Rrd>BNXDicIu*bPMdg$P7!GXq4j;Qd3-jrc>sI`cz{=j}r&l;}g9K;uK` z?A=2Onb<=CMbksh@XbSVoXA7#N5exq^Swi2p14C^NwY&S^sPhLo~T1vNuxuq^PNN5 zotQ)1N0URe@r^@cn}|c`M1w;^?tMdbmv}>cKyyP|>1{(0l4wJmI%7lq;$1^Fi&#TM zGgCt;+f75|f=EMmDnmoy(LF;Sc{oEgATvWD$1OwTZ74&16eC0Jx*bC^VHiVE2NOd? ztqnsDQwT$__yR*Hp8Z00L-<0Q=<-6BjqO5dGU!4R*WyBxd)-3mAJ{?{#L_|=Xw5?Q z3&=vKufjqxQ@uiv_P0XEnX*FEJgq{w-={)zf}%nNC7nWU$CpB`X_7+33yngut%pK( zPl7@c@q9vsl6OMRGjc-j)NDfLb!S4g7GgqLw_HNkS64zS_)$Vum`p-gI7dP;*g-<! zcRWIA7dJx3wlPBeRV+dZ^(I2@lOaN>G8{rY(H26bZxKS~4Gcp5s|G^uNdZE&=lelZ zh4w+nBJn{L!0SOdUFJbG`rttam)k+HGuA;f(9l7QY|BBs2*yFgq`*O=K)XR&+_gdI zcd$WD6RSasuBAbsNuWWD<eEWGf0aS&8IVC;w2DEfPK80r=zl@Of_g!e9CblGwr@eb zPijFH>107gf?q*R8(Kjuv{XUjOiw{^<w-%;eMCVO7C%8Nt~o&%Ml?a)-7Z0Ubtysb z3?xB3q#i+GI~hS;(i1^3X%0c%{|G^0mIFb(EdD_8!uUY^So1*O?(IOEgy=vo8sk8l zuiZf2MA$(2+0sDmZp}cr1IR#Jn8HBVEWJQ0!M8wHRkA=^>8wCEeWyU{5TZbLq?|z9 zH<v&d%aK4WUW`B*@rFR(gMmPN7JNYQsCGa<J8?i|&1*noUuHl$@nAsdf?Ggv6jngb zrBFZzH%mYl$VEW^SU^C)={i7JdNn}H3NSzwn<_vzD<wcOyB|OWOBz72+7v)FY7an? z`3XSBhy*~@7ym!Irujd5HS|9b#O^<VQt3a*;Nw5*Zr(rS{MbLXiqk(~7|uWCrN}=) zGs8c2z`Z|%O}Ia5+Oj_>XRSZL^QS*CfTBNo44prmn3q46B$7XBu#7(zJcmD<$ALfj zQhYx!-gZAfX>mU&^lLxqer7*|31L6>lUqMR9#%hRs8By;GD|-^yhT6kML<7w&pJQX zST#Qp;x9iXYbrky^dvvnd>=n|1sXr^jTApX77ssbo(Vs0CIml9uKqp%Hu*k}z4Jcz zMD9K~%;-K+Q{z5H+TA`AVc0&o=h8knZp}WC^v6EJdcr=<0KGo4hPOU%46;53lB_;= z7pFeKoS{DCA)G$orj|aoE0I2Bu!}zKG=@G<xqv>5J$pW(!F4{5MQ}b>$!b3MOl3ZE z&|f~tQ(8Xu)>J<JSx-LS+(|x}Uqn7G;yyl{WH~<L<}*G7X)Zqh>L@<cY$HB`?j1e~ za2P&o@e)3!at%Ja^9MevbOJtd_53{*cK1Dz_VGRDcI-VC_vSqxci}w)_uD<dcGf*s z_Ru}Yb;~^x^~F6lbih3|^SV6^a<x6c@UJ~gZ>l}A?W8^OYo9$0>X|(OXp}wA<&Hgf zV~IWa;DbF@UVc4{+ju>lSaUsx)ondYQfNKv&SE`eOI<y;$5%b%LsC8Kzf3*NJ4Zc< zw?RE0Gdw+yt~Nc|DKS0%q$@rBA0|E5njk%j6&pPmkQF_E3J^WRgbF?2{scYOc>g@9 z^7%YfZ1g<a<?cK@U+Fw|*yB8nQQka#%Gf+dL(@F%yUjdoG{`*0tin9|B)vQeowq#n z6tX<HjI2CY1gAXEd!ale^P4<VYL+});gCE)Sc^RV&V@XfMSwgEym~xZGIczIsBb)n z9%?*hlw>?03ST_5e_1>u^;0};YEC?n-$*=>R6{&#$vr$EJ~%wEvNAjyCoMc-n<qSh z4<bB$gB(0l_ZB<^YY{w=-U~eVQ3gCZ#Q;24IQu(LtMxl09`HNSkm@^H1LZrvb>BPw z=h-_MTGTrZ%+5RCJ;^(ZufsbMA-+3wlDIpu1G78HbgetR<)=H0R-!vL$DBL6H<vpc zsF6EY7>qk^h=x01_<%buXnQ-?*L6EwM{qm4wrV@}C1pDdm0vsl16n)Fa#TBW;Z8gE zPf0shzC$~aEIvD^nm9X|2s1lob}c&(<R?3mQ6f9@z8pI)EEhXPnGriT1`Im|a|S!F z-vB!_O#3>Nxb-^GB=9=vkm@?z{Ny^UXx}<l)Y&@SKh!!vtIj%p7Rfr8fx|kD?7cc) zSGYP1!m>J*EUh~FmZv&80irrrYn(by)s{LVKao1qsEayZ5{5d*dw@C$<$5|QPIWpa zw{JTAA8I<Wh-5lI@m@NsSy?*s!BaXGDo#2Ul1Mu8`9eCWVLduM$u~N!Ffuy%mn=FV z|0X&mW+6HS%^NzwGZs2pnh-kA01G-GX9YS<&Hp)8Gx|9^ne;jS{_Z)PWa&8<%Huh0 zFWxzzl-N12`O-O?Ud=gU!^b%YD8f03jJ!GK@U}T0Rk1lWxvM!S9i}<<fS@^|<eE7t zNR>H`tB*O(4vIPKafCVL)P6a<H+ea3nsYh+{B1dEUuZe9!D2brBV9S!g;zPa=TSLx zNlZBkt42A03_&^2Z96&r&NVp*FfcjqkSaN|@gzA^QXe_dvKcum6BIdOa}GIn(+D|d zGXps^ll?f}^7lAqQt~*;vFtbs5$8B4aN#&3&)YcrE!H@%jL<ki>&iH<NyRw(r@uHO z2D&&YWVAR7!mc>WAE`KJeWN(x+MPH!IG8wWm6AAp@{BlZPlq@*tbsV*2z)qXWp+5m z!EiVR9&0!wdSy5r)?YaFF<LmPjZ`>0=}tJSL`gXJpF=ny`#m@)Rya5fu`)Q$3oSTp zW+yo6z#%w98yq-$brv{~&k#6*DGNAJg9SML-2XR-Hu^X4kMuV->FzgKLg_bIo8vb( z^xZe|OxQPzrP4P7{>(R4R>wDouE95#2D~?fUbZ(*wy-z$4XZbNWu-UZyq`BA6q+|c zYm_%V!j3l=8HqQ~Z-X~w#(g*09C$Y>b8<IX$!s@fA7?jFbzwIe%Ud_WAXYa;c2GB` z%St!rAVoI@c0V@)%Q-jPA2c_UbS^h3$tX9S9V0jIaUC}w#uqm&8WJ}dZVWf#!3H;q z6#+L8Y5O*Hy!AG>5AZhEV(K>5wd6Lk2;VksTiG@SuG2Ps0M0hjQph$2rNTBC_q;X; zNw+rEnz1&5?5j2nKBhKykDxZa;F>n$F_kvwf{!-I(}^~UB!o68b$&Ld#dtOX7IQW| zW^FcAwr4g>24XfMR$MmLrB*g+^-wn2L`ya)ltngI<3BcJGCDR-fiyN5&@MK?9w|0R zZ6h|VyB#+12^cmMSQ0iBrVKXq^9DAwK>;>WkNY*w-1RjmD)2R8cj`5I#N;(`5#KdO zUfDJLs?#-(_sul{M94K#kis>B+`KiBD7Q6!bg?x{z^gU(3#K)JSD-cOqM9`_?vyoI zIgd48go!mo&x17x8h$maWOy|#uW~hx`D``LLuWPZjbSzG*IPBoAyzeoYfv>HwMsRc z{zNtPM?W<#kvTO++A}phBQ7-*Y$!F!v?4WL{TwyYMHe+DjuACj)(bUi9tJgBW&kxT zuKG0G_4G7rJ?}Kygy}RW%;Pjw6W%mpTG%vBq0%%N=*%?4F2^)Zb-^^aySp?30=6_N zNU$_Bj;b^o)TA`x8J{$WUzs!yrIR#u>WwtIFNieYbb>VGxqLLq{&qBtLvb`Ph-);o z%w;qe5nwb~Ra!KBnN&1>-A*)MAxShLWkWQ>s68}9>^C%}FETXdax63kwk9+O`5-js zI~z2oeibxF!4EXY0}3=IMg%lwi2gH%%J?&d4D&N(PVF-!kmobR(cv>g6WlYRRMs=% zmC!Q**UB>i7{xQ;Sidu%nYlAS+Ospj8m==STc|T&o1!y;+nh6j8<#U;Tahy&n~O8V z+J!Sk8h|sVS$Z?*m~=A=*lsfn7ilx^Rbw-<lwC7b(^oUt5mGZeP)sv`jz%-3%s?}$ z3Oh58NHsH6hA%Vw!znX@0VFf&J{~hMd>AuXxe_yB_6##hGY2yiZ~-&Etot%Z>Gd+S zCGax;Vd^q0p5!t)+TJoI7TGfOQPVP{jm<JM$;UFI1i~`(KfE#~d$uw-wy-iQ@v1Wa zE2T2DW}h-ip_wwj+mkXD7LGDbP>3>Tih?p=#C$S3{&q6<H*qqOaceRUt7S55<X<wY z9a=KWR#Y;~k4`eJ$Vf790YfqrIz2L&ayK&msxdM}<0~?38zwS!Qy?;5iyAU2!xS>s z`VKN<G6^!$X#+ALq5UyZ*!MAH5ArcrM(i;wedaOXv*0mz>e?~yAk{HQSI;qnjma^d z!^1I~`MoiEFSs!}WwJ5bnyfKh(55lL1)(tpJDV{raFsDNrH?Tl+KDmg4umn4Lw+$M zcz7|Ata35q;A}A!6=yLiNntS_eOod0uvIax<WDh37)mk2OGGgte?Bo_vN$n-<uWmX z7%eeoOD8cbejzc_up2R4;}tQ+6%a8KND47QdIT|1to|@U-uN&U5c4q0LG3VNbLTMI zq~S0&*4r?02i7o=IM6VWY05Bkn#3?T%f2w)`?xS>EVD4sT&*x1ji)e5y`eB#?VB)6 z9hNX4O^`6we2Oq`tb{P>+kP-b3wbbuI&(0jX>Bm4m}fAH$6+v1_FFLfBvvqoQ&2GU zfl4q$utYF+-aasj3^_1>Ix{d?Xe}@lmM1W=!yzy+@f$Fc9u_dyOAs*rcnUBFrUWqZ z(*7^N|M)L(Eb}h{S?w=(h37BNvEeTX-rFxF3f3<qHqb8yV#+Vcjl?f-xxO#?<hU<n z5VJ3{JFPF{W~VRrk)bc`yqhn@=anyj5|A$*J&G@%XM`^Tl725pym&8h=5jB55N$7N zI%h9CWMMDwjax5;w^c9y;7>1G3Q8}UGej@DTs|+rg*Y#$t}-uj*DNm|04Fc6C?PK* zQ5!FLc@;0Rp${+4$q6sb@dGce8U8MFLHI5kY4R?oknAoMxaKZq-{3Bq2HP&NE!8fp zRL?Gnd&w?JqQfrk$h|Ig@3$`B6|ycZJghEVVx}%}h@dWLu9_}G)RZm&`i?H4ABip{ zMT0JlYJD!)k9RHxwQ(*N+G{Qh|79-aBVaC|NLnsGZB#D8kxniswn#2<+Cna#{yZ+O zA~!CgMlmjVYAY@@jwLSBu^%o})fq0j_!BMz91bopKL{>BVgfESh5RiGsP-+!%J3~^ z?dmP)59KXRGT$wjRM{=XcGE4_n9VKBy2mY~+`%nj{<|#!Ahs=rL9i|EVyZ1VgrqHL zrJgN)#+WU1=aMZ?2#qZdDTghxNr5dnYI`lDighjXs&6eO%V{k=>tiiA3tlZ3D_AYs zN>VL>YD_H*ibgGasX#5x$T}?r=rk=M2QMukCMhihMI$ZDV;wDcf)_0ap%E>8zY8tZ z-32WY{QoR78u~0bIP@$cR_-kDbLcFhk>V^luiPxO%+@Ru>d-7!2g@veB*iR?LBA|} zUb!q$d$TMGn5`_Rw5Kd8(V;An?VBv!36?AkCXg&4LW(RJUW6?7d44Rpm3S;*v2rZy z%xo-M=w>Xh17R%a9$PF1I#nzPRZlGMZ%Hh>ibE`Gr9CVFz&9*<+A%EH^eZeJ4<;-` zDIhFSLmDhXT@)-CcMdGnkO(Yvssb$e!~82_-S#W7_V6p^59=%aDCH~rLEkIgTG=b4 zbJHtPjLj?Jq{k~*y}>K9)w?V7?X@cz2Cyq59;z!7Hl!=(O`a>EWtb~JeUdA~l#DAZ ztcELk!+<NU+IlO-@pLQ12yZK<A89LZHe)LsO<gOlV^=FCdQmHbkV`AZrbR35ygw`Y z(m5;b=rb$D{w*tl6euesDk3YaKO8F=RTe93Y7i@=e+nzYlmsiqss1XhzW6G8)AA}W z=<F)U{N^e~5#cJTCEF_WIn^p7PR}YhV#z8qcEc(YioGh>owq84v9T%@#j7fd*rh7y z>z^tr|CuUM5|k=mC5|dnIEX4NOM)uvU3@B!aCRyhgK#Q}m1-*Br(`M|x?U<i%vdT$ z-cl+v@JuQP0!J#q6G19qB|9qRHZ>|xNG~d(St%;nY9lK4dmSqIj29~6oe?Ust_vz> zzXd7-(Ellf;Q1-+@bf7;0q!Yo5$Gw1A>t{BG2AI{LDnfdQP3&wV9F_naKtGGfW9eg zkGLtgp0X+Gt*j{my{0Jv%%Cae+L|e`>69sB_>L+228k(p6@w|?BYi0<GIuFkKyfK_ zPHQQ0TxBU&YF{ZMcv&gcg;ObQlT9h?phqcEu0biDyE`e-$TcbI)h{XP;wdT6?;|Ol z{2eJ#2^cBs6%r|IA`B_iE(R$hI{+zINBSsqQ}ifyU+yShYv?F2cj74Kg4`&Cj@Bp% zn$Re8rOGJAu*4|;yS^wN$G9ja(y}NM-K;3+=cXv5@}MY2{hBDx2bCy45|1dC9Em8^ zCW9#YFnuToJ9jAhL~$t9PHQNZSY;?bVqYlEYgs5obyFy#eoZLohes$BkwGXZnmZ^W zqctc5tS>0dv?(Zky(1_U#vLe=&KD^8))6R1-wP;v=LIO2@Bb&7_xUG<|MMqS2ks{j z59lYb7UCy39^5CWCDteZEYK%CG|DGqJH#h&LcS+uNw_CLP_iclSF9(nU8W~PWS}R# zYMLh>ag--$caA5SeTXNrgMufsiF_xUk9H?&m2f8^n`$S(pkyaUrd}tut5_!tu~H{W zwoE5)yGAE{z(6N*#X2WX$}}ew&n_px(<moZ*diy<+#Dx1;T9)?<q#*f=?W*(?F1*) z@%|>k_4p>0`SK=F{p=?70Ouxv1mPz12iqo43)Lo+4$mgQ5y>Xh6vHOa7riF58n-5Z z9kC`fAgd<PBBdr)C7&k4Cz&P~Dw8HvER806E{7(FFo7n1GJ7UnG<7B;Hg6`<H)$qm zIb$a2I$b7EJXa>6Jy9mvK1(M0KSd@3K|dz-K{+PRLNg|kLoFsfL?<TDL?I?jMH?ot zMHMFfMGq!3MhPZSMgt~SM*Ss5M)xHiM)4)yMe8MpMdc+KMc*ZpMA;?wL(?TeL(L_2 zLdPYNLBS=MK)WS|KeZ)YKCdMmJ*g$eJEJ96I-MoaIF}_fH<2ZPHH#&+GleD6F@Gi4 zFL@=%EpsKHD{UoVDQ6`MCt)R?BwHmKB2^`RA5SIB97!bu8bc)`7d<5`6gMRv5iuqC z4J#$R3MD0K2OlK>1Q{iO023wX{0t>J`35C)^#CQ9@%kjD?erv^>Fy+a<>(|t;o>Cr z+}tFR*w!Q#)X*e^&&nj*%ETlb#=ay*!MG$@ys{)#x2z;PvZf>pt)L{tr<x>YqLd`@ zoQ@=Bmxv_Ak%A-*jC>?MhIS-bfN&&UdTJz0bYvtWZeAqhXILbUVNxU{TTCROR7NBT zPCz7DM>-^xKr|$}IW8o~GAJa#D<UMJBpf7d9Tp@a77!%C4hkem2LvR%|NSEv`1d1T z@bM##=<6e=;N>H$*xw_V(Agtz$kQV+z|AAvx5p!KufZemrn@6qp0y*am9Hb{jHx3J zgrg%Mdz~X2a+f0jX^|t(UyCD%R)r%gO@AY~LwO@GI&&kEFl{5<CTAlP9bqFg6I&xb z2~{I9|4t(j^++Sy>Ov!p;5;KL);1%u%P=D(!73wwwj?9Rs~#ixpco?)mJ%Zwiwq+P zfCeMubO0l$Y5F2pUi2dIQtl#kN9ZEtJK`ceFx(=5B-SFX7|<fe49X(P0K_7=^t~dG z=(i$M+_547(5oVu!=)k{x1S=3s+l6;os%LXk&Plzgoh$&cYz{oYI`DCU3DTdP;Vmj zLTMtRHDe+>C|x4H8doAM4N)S7|4Jgs@kAo{<31u1);J;-$TA`Zx-25#t0p3)oggAs zj~XKJfD|Hkat<QsV+bNdRRSW5M*JbZH})ahDDWZU8R{X|3gjWR``sab?AIYQ-O(Y_ z&C4NPzQrNcuD>BRpSdA}kFz1Vf2|?iZ>J&bU!fu8Pn#jiKb0YxFOMNrABiFS4uc_% z{(K<|?sg$`-Ebku%xWPByksFWt6m{Vnph!AiBcgrcuXM>XGS5^RX`zxL^>fKGc+Nl zAub^p5GWyb{vjd1>>DBU+7%%b$qykQw+SH_r2`@RlKmjcfcGGTZt);1T<ajcN#!6q zH{T$nB-tSO5z`<#|I8p}?8YE}*}x!!#=0PLv$P;jpspYnji?~Xd7>a{W}G1PQkEcW zKae2LD~cc<7=$2M1b!fZ@OL1S+;Je1$ZH^dv}GVvpkE*tj9DPYcT*r?V@)9FPDdbH zIzb@2B|9Jn5j7w_{4OA2=O`d?(jp*jy&ND`s1_h9lMo>5eF`9$XapcJQ~n>eJ@_9e zDDoeM66_z){N*19=ieVH(b*q4yVD;vrOh85kH;VJc)=gCV!Iz;Otl~RHLo9lAE_Vm z2%{fT@|+)?+m;{I#E>8Qt%@HDmxLb)fPNqJXm}sWQF0%SI&2?4BW54l3t%5*^jRO< z+*2Pt#Z4cLtw$fpl|di!eLEirW;GuQPA?z%H7Os}9U~u}1sxw$?G_*Q)DRzqyb2!y zqy!&oi~b(Ka`+wtTJjz;LF^t+DCQnm58xh8_SqgX-P0Zd#LXVSs>dE`k-;7Uc)K2k zUbP<hM6VuJE2$o$5u+a4_naO9-j*H|#E>2os)`-~kc1xGb$%YBTX-H=L2@4YCTt#x z3}zk%@?Rcs*I6FNyi*<wqD>w>hesY=Y(XArQ9B-EHZ>kf8!sLn04W~e<RTuB$s8Um zt`;7)k`Nv)cM2YpTLd2FKmHviB={Xu2=X0o?CTwO(B&O&wBH?3nAsg8eA6A`U(Fqk zL&qH{C&3-C3cDR9?zA0=(XJiZw5S~!n4%p;dz>9#UX~qaL699>B#IqC2ZS9F>U|y1 z%y%7quW=n0l4~8HbY&eDS6>}^IawXd8&e$({!AS@;6@!*!ayBhq&gi~hBO^MXf7QR zN+=!ED<U0(4ICXI?-d=b&<`CavI!lJlLH;)bp0GER`(oSH}M>O80#F2`Q#jl+TI*= zyVx8}oYEW>easxnUB(=4KENCSAG#cY|FazM->n=?z^5FUprIVofSVlqV3iyaL6004 zA&DFc0fQXu;Cvjsz;+yapl}>0fNC7WUt}CjKVBTh9#|YL{!tu*-Af$DyhR-On?D>I zdpR5{S~DCeIV~I(7$+R^_8=U*)fyaiv=kg2lMWoTaR?kaPy!sSF8mu04fY#g>+c(( z$>|%<r{f##h20zaW7iw?LD3uEAIlrK{lpu9+rAq&xwsqLma-dhbgUcoQKlPbFQ6O9 z44NAm>XRE-$Bi3@q=y@%fq@&aUV9s?J9QhE7;hVJ^=KP8(qbF&u3Q_Ki&h&hXiyuo zL`oYcAw(OC{XHAr**6;?wlNz~k}Df=ZY3LmN*^15CK(%U0uvid-V7TVx&|B7l>i%n zarzn?P4pV3DDD~`1n3%w-{BhJy4xBlmem?yanBluOvxIZC&L<}0=*iT-L@KixUd>h zld2jPZloH?NS+#OBbXZh{gE1h*ozwTvV|H{jei=ZXL%atL30`x9Bmpm_GTJK&|n%z zs#+R0gj5<8UQQb1H%J<z5keYL>pL3o#5Edzo-Z2wcPScbQ6n12DjgaY1Q!}p-4Ggq zwh9`aj|3X5X#N?gLHHS#8uA%*^XnNw%;g#Wq~95=ec2gFR?`{RF3lNK2ge!0-@q9l zxVjl`khB@BXs#LBK&Tn{7@`>m@tYY2$(0%PppO~Uc!?RLP=gs{D18|e0CyR**Kip{ zuWA{_hGZExUS1iQHCP$z3{n{_<VzV~yG0p;l0O-jX*n62Kr<PS7cCid?j{*Z#UL3F zoEjO%augYDNe&qWAP5<a_5m3N&HET{qxBfcdG8n$Q0W*?CgT`;{M;Cq($*NHsL&Xq ze##h;RKyr?D!v#%0Js<d*0C6}tE(7Pfu$JeR-YJaESVVK0h1U;*NhmPtcDomfq)ns zS9%ylEOZ!O0d5#-)@K-It6>;ZfLj<aRaF@ODNh)`{zw>b(?S>$s5}^@d^Q*<Q7{;u zB`O#M`Xd-y&K($}q8Aw5b`cl|OA8nz9|agO^Zpkv$M_c>oAMX`ZtNG)Lgp8g7T_02 z>e(0Wz0((lk<AwlWycqYIKdb53%eIj-?SH<vaT20g{T(|S)vy&ESwiY|CJX<(vKHC zrHL0Mc!L-IN_`i}9d{Ro@NgG1!)h1Pm1GxWXkHiRI#?H54N@1u-%A%5vPBnRgg+OY zRyh~QC^Hx4`Yadr%qAE3o*)<Na2glTLKGLL6b=_~<_8xlxB(Z|iTf6GTlE$ME$<eF z{^%C@&*Bzeq1+a>a@H3AL(mpF70MQ8=ED|;x4jmah_@D-S+N$7DytTE`=l07%$^n- zotPHbZITv;K8zMA4~7=J-+vZDuz41`fO8fjQEe7~A!ioO@n9AX!dezVl2jIEVonx) zGDsGN0zwvo(mNJ#qBRy!axWGlLMayK5hE6!;T#r7u@)BOfDjgPPzo0F9|RU=@BJ0b zzV{U*j`0<4UF#LAEaes3``#7(%GebVn$i^*Y0MQ8IK~zJ2EY~F)wva}q_Y)rbFCF9 zLZ=nd5TO-r-<lQtt&|mge2x|IN{AI$8G;qD=X(|YwRIIdgl`pYQfU>FAY&D(?pzhL zyjB&licl4ySV|RxCPWok^gR_J!Z#J&k1-XCT`LtVD<u`c_#PEV#uydClM)p#VGI?I zE(R6i`~MUu$@vswmh%*jW9<~KFy|D&{ooYF%Gwmam(&!iWX=?dF~}5L{=pO?%exfX zm$ejzWUmw@F{u=|{h|~;%A6FpmX;JFV~`YrFNze^`-2o5$bA%5ly?+@U~v?qENc|E z_+%8m#9kD+kXRI_Tv8N>C`=Sx^hFdVz&{k?i#ZgMS2GkfBP|rm?j{sgx*!zOg&Gt^ zQ4|!a91au%=m!)}vjG&3e)|){N%a%z6z>xS;OG++tKt(DcH9#ULDm!Z3(ynP*2xp5 zp~DkvY`qgDH@6eg0kIQv%&HRym!uPrVV)BWESM90_K_3ez>5<)i-i-0Reux4A9)k| z>2ebzv}_YUer6L;NMI9G5?T{X+*1=drcDzXa7PpFIYAS*13MFR%`_7wmo5{^U?>x0 zDk2l|^BWU$y%iJch7S`|Pze*W83Pjq<NOj$to9O!b?_3nKI#(O2jmj;(A^RNn%5Eo zW6=`$E6Wn%^u!Xuy}lBYhPV=2PqGph7_1V<;H465sh<)Ba+wm7I+GF=1C0`l%Z3v7 zlYkOeTzV3$By<w>>}?V=wPzA$ePI%fMOzZ74OJ4l)lL$>ok$Y7WkM3DEj$v7^)(V> zy)P0lg((v9Od}Gh6de*&+!hk`qYx5`YYGw+GXxTo`u!0H!uJtyiSZH5Q0oyO808UY z-`)|Vrq~hIZPF3^G|Ukg{KXL|!@m(Oin$RfQL_;l7_AZh-lh@QrJxa~Y?={kGn5e} z`i&9Oz=sichk+3gPJ0oa6m=0I+inq?p=c2aXkrm;E?g1B^i>fOyH62Tfk_dLM?(?3 z4LuRx(>4+KnJ^IsU@8#_CL|F8>>UyCu@@24cM%b-Jqr<h0|gO4$^H=fj`$F%RPqo` z8tf40-{lZ^rQZ<#YS|EZFw+p~^~?}ZyT%Z#fWQ#`MY<403$ze|(5(=)m8THiTA>j9 zADa*m<&+Q_s*VsDZ-@{NG=dQN_<IoBy>$?>f^QIiM`;j23}X=b&|DCxl~xc<T2K(@ z9!d~*<3kYrr#%pPY&Q_>FfkBR^(qjuxg-z<ejX4}LKqN{1`-g*$_o(mjs*}GQ~wVz z7x@o9+wu=YpX?7mW9APqC*Thl>)8+XuG0_7a?KBwHpdTD`oIqizPb;(fwT`@MXnF` z2&fN-%%Kksked&SQ<V??7LN~T+K3Oxoq`V^VSEp4Bz6z4=Wh?`s%Z}zZetHYF<lQ{ z^i~gZw@?p#dP)y|Jwy+706q_8!#58}hA|H%Nh=Tc3ndT0&K?hdkr)p)R1y#477P!E z*#!?Foc|88Uil6>A@dHo<LnMErsfWtXy6Y1D%uWM?b8maugwneamNlXG{FvK_PP#{ zxU>$mdae%7Jg5%f{-F-&z?%-|f|U;4M2`;328j-@$bt@vihK@SOm+??4sZ_U&uI>x zkz)=`Q(X@86ju(A)=&;4m`V<>Sws#p8$J%K+&2y#o-q!EUn>sfASDhw;vNo)qZkg) zWD*VxCJYWh=LHUHsQ(R)Y55JPD)SAx>+B7~tmX~FZQu>NF4_&N@6!#Du+0r{amNis zGQkZK^STY!v$PGAbgm6ZHK+~q^`Q-xwwnzxca;spH;)ZX_=pY9xPlExd3+7IId%;q z`fm+_x@isCdSeYLJ6#QN`&JFHyHE}2drA!#JVXsX{5=g^yf+PVd@&7yJSz={{3H#9 zydDjEd>9RBJQ590{0j{&yaf#ceE$s4JNXQj`|=D^yX*`Jd*%$cI^Yal`q>Qoxzh}h zdCd$TImZm8_`nP#xVj9QceD%%H?9nD_NNTbwV@0qb(;)zG?fg!^NtMtvWN^da)JzI zF?<Y<@O2EZuWt;=ZfOkLEn^Jj>|6}!t5yu-YETT;DM}2&=tB&qr#%dVW;YC2B{2*o z<SGp7q9hEeVIB-&AQ%i0-w_PCoeK<FTm=mC8vhH0+4u_(nDPsgR_qH873K?r)87m5 zk=YAYP}2*w4$TV;&BhB{i@*z|NxBQ?2eb<z#;prfgr^IDLZJ(#0GkWKzLX2ue2xp~ zI*1GK_<;-ZwtEZgbae~gGH(mZ@MsIKu3`&~Y+MUuDpm_L=uZp%rAZ6FV?zsiAw3H& z-!==|oG=T4S}F@57$gh1)g22<lNSrsP!S7R4hsv`%LEHeiT(<_M)(RP1o8@p!Rrd* ze&q@`Jl_h1`Pd4~w$cg=bj%7yF~$mc?!OAAtGNoyXtN6IC9Mhs<E9E0pr8sOU789f z8k7np*NqAtl!porQGp8j4SNdT%5)07hi(d$L}&_T0b&X<zFP|QdQ}RuH%|&{^hgRF zu|f*SZ9EEXDmDrW=PwGQqbUkCU?U2_932Wq*%k`Ml@JO)QVI&O4g?Aw%l!$2hxZBP zL-7ee0P6{pyyOYtc-{#qHP{Jj@zDvVt;-4AX~hW)Ccg<b;<yP}p0WvdTC53=7N!ZH z(w_;ej+qIvN|On)28{`<!iEW<et-#&I(i9s_HzkZvTX@BZf6M&Dq#uW<yr}=p;QTR zT}}xx8Au81)IkZLkUI%aOf?Dk2QLYm!zc+ke<BIeIvfdP_7w^7u@4D(Z3zkcC<6(1 z<opQkpY{k}Tkr_W7U~Ew(&Gq{jok?CNY@BM1knhSzsd;Sdc+7MHogdC@wW({tg#5x zXR8PVBc%v0-ku0mnV1N5RFVjg4~z(<%7qBEg?|XaKzRtp`*H}!wrmK(ab^g)EMN$# z=UE7rqEiTcT}=pH7)J;@(?AFkjyeeCNHhqt11<=9z9$Gic_9e=GaCrC?i2`VsSXGk zWC#eu9s&qx+4~0xl=TOsPVWah3F!yT!{P^8e%uG*IMxSc^Unw5t;q*nXu}86BE1Jf z-L?m<m#_yEQmO}b45SCs#+?T%ftLq=JCO&@^@;}>u!IL*YJLZxBzOnh-f;&MnQI3} zQ)LHs4POVL$5;o(fl>$OJ4^=z_C*IAus;VdYB>i#Br^v}-Yf@Em?j5PQXmIV3>pVY z#uEoWfDH#PItK?E^Z*9~uKEV&XY>ZfA?^mD+vf&&mEi_TPum6=3DpMU!p;V%e8>iB zHo^ud@Vf@#skH`{W3L8B9jONN)}jWTkemiWN|pxW1CR!Qy@>`GcY_A4FntC+>UIXo zqi_aOU1|o{7Gwrk&|L=AiB|?rLs169{7MEiwnPS^Z$1VKDL4jk;xPu(n=1w}RV4<C z4j%^L#~21Qff5FTIt&KR^92SKt^WmCX88q~AM*v)*z5%klI8_NOW*}{1lk3oz0(EF zcFhI!FUJKJ>A(dyqPhiBTeJmh6s`q;&8G#DhM@(ZKbr-r_>={*v5p0`YKR54BZ399 z+<OJAm30N8PHzR32WbU`z+we)d0YirGFAmY>rVwDq)7z<T|))k6+H#D&Nc;#hcE?Q zKq>_+`6C7Qu^k1#Y8M5CBM}8i+zJH;l>`ODP5uOY2KWRrzwrd+ck2X|Fy#b7>D~nF zq1XhITG9kJ6U+qI%f$qCguet4J-Gy_^|Ay$uB-&nW~KySAD{&7*O>%&kdp-dNR0%7 z0fz(xx_|_Na(V>*Ds%*Q<81`)n`Z=JRAB_t3|j<2#Z&~WeNF@wHb?|~?m-0KraJ^c zUo`}u7cT_+&nN^`h#~~5KpX`B_!R_Eu@3~GX$b`FAp-<A+WZ55lJ*0{O7H^*1L^}v zyW<0fbKL{NE7t@2<In>#oXP`dRKx?B488-&#J2<Ye6a%~HLC+m?W6;9rJe(qU6=#9 z6_Nwm&5Hy0g@pqYK7Ru)_ILwEu5trgW^4m*9%ci8)?WjUj#&eoMpFZ*{!9b0wnhWE zZa@RQCOQMa-ZKNimMsIoPA3Ds1|b8vz8V9vb`%4tE)D~q<_80lo&f`dR{H{U4)p?K z#_j@7e&_-^HsS&z?%M(hrqu%PUC#p270Cj$&B6kdg}efDJ+=Z*^{)adt*HV8WupSz z9GwEP)RqE=jF19aM2Z3{{DT7fvwZ@|Yj*;cBXI&^+iC(UlVk$)N?roH0$2iox={i| za!UdSDn$aw;XVS0nK%MRQ8EGq2`mD?z$F5Dcpm~YFc|{t=Mn;;p9}(5R|WzL4*&wb z#`ytne)9n!Hthk=?dAb}rQiW7UD^TG6x0EL%*_ERgvSBWJHY{Z^SS{btF!^dVy*#c z8mIvb(xCyaiJJjXL6rgU_>KXOu!sRIXo3ODAAA94*L48{k8c5;Mri>z{$c^pwOj#c zZB_yQBv1jC+(`i|ltTf)OFaQp12+NZx-bEHaw-7~DkK4&;T-`knHK@RP!R!52nzw* zzXSnkcm4qOF8Bb0=J5azp6dXcRpkIC4c`E;#Ml5je9{2JGt2-?>%{=oqQ3xLTDbt^ z5wiem$*chKfTjR=IG_Oj@0kFEr;`8)UyT5c7KZ>5&VT@yg?a!QJ#+w`^lbnktY-kF zV_^U%8(RRX(o_H}icSEpK}Y~G`9T1)usZ-XXf*(~A1?qn*C+tFjv@d%MjQaV{S^Q` zwGRNkYzY8ABm)5d|Nnmg0001p{r`Uj-sb-y_Wyqiyv_g1>HmKcnza9h*#CbWc$@!G z!vB9PR)YU5r~iLHG->}ChyQ<A5>WpZV*h`5@HYP>IRAg3&K>_l3IBi4tOWmZ)&749 zi|hWfoc@1LY0~}!U;clfN4frG9R7d(C7}M-)ct>F1c&}wi2Z-y;%)x!HvNBT!BqZ} z;QW6ApgaCah5Uc4ej@%4CH#MBT?zi?zx#hWJn;R_Rr`M)8`u5E=K6ma`n~<pa{7NM z*`)pK`T2iPxQqQ5eEENnmva47`S^e7c3J(Rarl2+RzUp#<@bN;H7EUWQ}=(A6%PII zy!L-w_4NFLA@+Yk)!Y0ff%ShywZi<_+w^~Gm8txlG4y}0b&&jYg7beCR(Jec&hmet zHeUQw74m;h7e)MCSMh%#_$&N)l<<EV*%JJu%<q3Wy7~Lz|L%W)o8bE}F7AKue8>Bd zSM7g^UatEBeC&TsK$ZK2o9ll)B7FNAw(5Ub1Y`Ta%jtik=1Kc_+~|KB$uRpt=;wdJ zs~7tm@8*A-j{f=x@#TM{apn33?&N>qRLuGo=Hq`)IJ5dV+2Vim8=CrS$Kij=|A6|j zu;72%<7fH^mEV6M$WHokbl!iTtTp=VPThZ3ksSJZB;0>Ub_4nu_1b@RTk84Bz}bJ^ zK+*Y*huD9FCb;=zN!Nd944?T-2G)Oy@`d?EzSMv1*lhVzanpa1zf<{gAJTtzraJkf z$k2bDjUoByY|noRbqM)B49<VMT<`d&rp$k`MArBtJj;LYEWP-$%*lUr6{Pr7Sjc}u z{)+er-^PDg=W+PYV8wsC&{+7X-NS!qx<B}qRl<K_q$c>5$iRQ8jt%&#Hot#9dGz<t zpS^!0WZU-&1iXJ)Pr~<CW4eFfI;r=#y|{n5CXn|l6Ssfm5_k8rW3_)~|6TV^ud{zU z>_qqc^|60v*(>+IH?V)|#uE3HbgqBKwE6abtgL_fqTu#?->QFzk;nFq4XJ-|fUfqm zHm85LZ<Y4$TBUzkUwrmFdZT|?Ph<9;l%apPKT7r$s-J&%FfsO^x}ATPAsF^R#+!c_ z6aV$}%$a}u1m^X<&X<2f_ssR0%awod>9h5W!;^mk-J123wvm5((1G=^q>q1F#Ax;5 zjg5b#xKH&ba*Kaftv2<7QHg(Zq8;_~D~Eskmjv~B0fm3>jqCIj(t>|cgwpiIoq&HK zd%5(CWPX2Pa-j5RCVYPoYKQb%<9UBEVs7+en|FW3T2=IbPIZ6FQ#|yv{BnOqO(XRF zrEq^WM+)>_N^XCeLGkm{>1%&?JlOMLglT`vH^1`*8fSl)Gp6&us$_rQFOBn$He!FG zEOhgCy<dOeDO>Y(KV5&DCqnazyjp+LB`Nc_G+2L%BoOoZr&WK!Blq%E7E^zDBi{1I ze^7t2BgOJj<V}BWB&_oCLrZ_HC6n^1o=AUjCwlUG^hJNVDPi(sMMHmzEJ*TXkwAap zFE8?Z*gb#1GZyl#8asa}IQ{YVS2%whJ>>CMkTrjrLd)^d!!m!RNV4%`@-KfqPnq!! z94&uhR)F!+Kq`M1UT5*IU?+cEW=`>?dnA7{Z#D6>ks*JYcpUNEq8@*hf&=j$uN!|P zjq31uwitg%n9=a=xD|f^q`2^Wwi16)u%GZHuMdAYy@v4Tq6~k!%5Ct(kqLj**i`Vk zdj@}s=R5GrU;}^J_9F21KmdQp1`6;z9Q}S%7xC|%^7(#zDA?~9#P)tgI=}C(kn?`7 zOs4N(Snz(hUybi98|{8&b9C<%+39|@hg<I#lIDJ@oI>w5M&o`%vMKLy_1}Jj$Pn+w zpWJ?G-}mk~McID&_TKKs<<)*M5613sfzo~xD6Q@{7|(v7L6q(qs>^=aTYK&rH^_d! zc4F=}zr=o1l1c7xLcxBPt}yP#z`cHm%NOoBI=X%<>Hh7?uC{)F2j=Z~9<zRjCe7_b ziLZV_MzrlL@2h^YW}EFZP^f;_hl1@`tfYRkscG$-1EGFK%uwz8Rh@p3?>FsyqnUn| z6Cdpw?3I32I0o(3FOq)HUG40$ZjOEjgw*V;sEU63s=MsQ-G+Xx(xUAD4TF9j`-$vV zIe&gnBysG{UweK*PFU<_fp>oPct7kNo^yU}q$ce2wQqi?&<*VC$ZLM6{q*Yt*Jpli zE8Ocl;A4LKS;Om-<X?VIiK^=i<Xe7hxsmIq-dBE7>Uis7)Kh*09AN7{#ZP{LPDkrJ zu}gl+fiCM?m`8r$wH51}dP9E3>ip{eS3iDwAmr+UFgt$#R?F%t1UG(Gj<V|X(lUO2 z#+mBhoGyNP|A6Z4VJdz}IcVx1A|`(Bb5H7X-64KyuQuxHlO2A$>mBNZMHznOC<W?5 z@)UmPX6)%Cnh<`)rPJvtJq&(*<+|xo+XsFSCZg$}bOU~YXNl<%3IBc0sc`A2nEHMD z>{sbxBldj<F+b@-squaEbtdUYD(!v3yAA1Zrs#ch0`=&?9prrnO5Er)kl%fPlEdiE z{n~xr+p6e~X4ZWoCX(oN%F%sIae3&0Db0Ohy<q6HgUEed3P|V;*~5KASTE>}DZhOd zrxxfmb-I1j_WkDqytRFQN9E`E{jhx(nat-EJFI=5>$B%sbEkb4Kbz;qrlNg*lY-}3 z)t!CV=xOH{|CoIxKT+rWBb0q*mN@4LM2~%$?jPqsUy6OiM+fJhb%lN8q3-4=hk$+j z{MF{#lY4y)S-s}9n|6H`wxs5|o^gE|6pZHSoNRp=b93fGmS=qx(^}@nieh~YG(zTd zdR=`4mMP{<WmtXr_z>n$OH+OCT=?aGEKYsp!QbWC2uXe2C&%Sp;6r`bj;`eovp#*- z_Lb%AfH{5IUw!5ENi==q$z<g#4ljN4G)(1;%_)5fp)=(hh$MX~4jbjxJs*8jd;;aa z?iqcD>*?gxniPG;ThZhgLJxfr&A8-=<OzLgKcM6&f&_igvWMjL8vlGnCvW8NuK9e+ zoL1x-KJ<KI6F%f~$nJa!izVdyP3e5b0}bS|&f|QRee~m)O5S{W_}k;I!PtCsbi?EG zGt+#8@v7r#q0M})Z<6B=3dnrz?s?<oZNhv=Z(-x?%)ETP@JQn%C%1e}b1>tLe6f7` z_7~$Q&8vLFd;j9|7^Zxz0q5fJU!Z)mh|c06qMCf#5Vqoj-;{hKnw{bo7>|67B!%MM zONo3Nux#SkdV_q-JXGQZrG0#&$~)p^%6EL8StH{4>T!I*=?db&25fu_d-CDD9cFxf z4cg)CFJOEdp~2x$Jz9L?HL2n0MO1v$%aGx>Nltw8VtC=YNJxA?`(NSmLPC7Hlt<xU zI6Qn~FE8N)DK>mP%NF6@6fk^9X#U{t`zd^d2Ik;3-XnbVrOn{8yB&O(Mz!E)loxz) z=$znFXc2sWi-h2JHw%2kFKpn_0R?<P)l=YQ$NqcvdpqDTi1>TuBO~B6MDlwM%?jXf z`|Epick<unt>t?ZBii4TTi<*0&%xh(1lfBJeyQJ{sM33DEs@{)N6dQ%-gw`T;>CO9 zk6_<mc)xoBLrC9i3AuY@_b=bDm9l#Ztry=h9jtrwWB=anpQU>w9OvHV9iMxf)z01- zmzaB5khb253zB<aOrG90dy9Lq35MPu=7f7o$Zg(5On!SYh*jRGt#^B5Nj=_43UPbm z3nktsVrqM_&kWu}wPSnEl=R)616_MNTio3?O;&sOBE;Pel23aG?5o`+(nx!1wv*j@ z4MKY$f_vQ%L_2#cPh;KXbu@d79ZTK%qAhy>FF?@0L+vu%Ps=8IQN9}8<?bJQxR3(f zybT$9cx39_(<c&plR47dEIkW*2oSp5$4vx#+UKF%q*?uX3Bifn!eI7#mYZ?hBV+G+ zfp=Nl$6)As%~V0$u36!Fc{3^8*iG7ch!YXqMLpAc`1JVO_b1GH&DY@D><z_w2E54I zCGWj@q@l3eq|3H?sEC-`XQQur6mfvtZ+579=~`&pyhNdTCPq-(P!5`U%`!OKDbtdA z;2<E|NRx|tUJwY|u1<t{NdfTMTLpc3qVw0<Pq%e?YUjV&iezqjq1>n14Gm{{Nz;$o z*sx!EW6O8i>rYsE@5Eo)N9s^|?!QOc>wiglVZ1Ne*bqW`O1c-?51l%Csk#5zkR&sD zf4b+{TBj>|&AiXqZzUvpl)$&y&Ym24)Wx6KcoP+Rkj#hKZG#PZ$JB4xt?mVSdfr#r zIaU67tLZ=45x4evTlOc}HWcr9iUbhZr)=kWITZNVWWe8fY9-*<Zx7gc9XQC?$XU>N zQcSSeZlB0`3}TqrV&K4eOL>6Uq#U_<50YruHB_;ATC7mm6^E&LEyy_7MYW-MiRB>J z#NL^CZUhO~k^_=?-6ZkXu_KCk*hbmcAU}e6UuwbE;8l8gbC0Rl@@H~+7PgVsRCQ{3 zN8WkY2!3LD2nb@=4uV>FTQf@6XMs|AKVUM~5`0Q|wT>Fs5OP9!z`g?4VPiUZU-0VI z22e72lOxmC{x>RlURb-<OB*72z>cHV?D-jay2gvv;?og%P5^Y)EvX54dplg#&3OTN zM0iBj!$tXcsJ1ND4-N5nsrD4svd`#vNI3n~tBv4zg?r`I`$pJ!V!+MSqx;Wz;0CtU zqN~Sv|4yFO`BuMpz?g>BsQtEhB;;<@u%E7YEiqTs5k01O+J8UQ%hjEDF3=~{-)WV2 zC?XKmO!<s>%60hE6oiC$70ck%HVS-r2_nhVvx{?hsCu!~j0kIZ^3<8szkp(R=`e!S zPxM)MjgD&6J7ZCJ-|bS=gUv{I;7&W#DKkKLk+CDxE0i~Q^A!x#i|{UZ27L6>Nk}Gm z&EwqDWt$y%M@_}k-{};1cDSw6xHAlRS|yg#@puDx^pk$miMjfBMg(Wmf%WlsPj*k! z*(~XI66!b8kze3<k6$3us*Tro$JPkbCAZFZx=-=a0o=uRYRcKtKm5FR*ha$A-xsuZ z1jVY-;x4Oq@I;f+NI{}^oW^_75l@+S3QA<sK3I@<InPYe&RmCgD^@kq!(4uM;@%z7 z9awdDU1<i=+fHnEp7rk0|3G7Rri0hfi7r}qbrZnRco$K34W+2j&ihAqZa9(Ai`zbT znaz38ueLRJkYr-fI*lxMQvFKNEng#d;+Hegh%6d+KQtWCO!W|VYtjVKdASF7XL9Y( z4tf4|G!)j*4m9<4(zm|Qck1hQLS3iOOPu0%h6Iq%iAmXZo~?M$GV;%Mi&$aMN0!ER zP6bNP$2Gln=d&`<w9vD5S!5f~4QHx$qZS0v()gfu$I9%_1%{V)#(>q&rVfsFpE$nH zw338&Rr03KF%o-r>8+2?9F1{yT5EXEb^~a3sw!d6Ky_Yr+UrTrdE!)d>#j1-BTGwm z-g6tzJ+DD_vpNLN$PPGmYXj}h#Az;e2+q~cF~B5tikiO85fU4A@@S{dV_y+=LOGDm zD5wW^c?)^YVC?;Mncrc~3oP_?qP0rSDrf3-myI*dy`A87cV`^V#n0AtLqi45LjBBj z{2=blG$zA!qyX2=oJzTMI^w_0dup$B!NsV}&xNIRIjfP)o1B|<qLO;e-L8>!{(EE1 zn7oH|O=nEa%Ef(kkyJI!b;@&f%0nK_naXK(`7{U3HO60cA1Lt5OubcgJssK1+^<V@ zRTRR^=bb@yWeuy$Y=<{>aR`*mY-}xccm;jT=u9JZdjw|8;3ye&d<9R;Q~(ckdk8qp zMbiXzc?}`Vv!VKQcN7ZDo@?-Qbsh7|2r=e#b|~D-@A2Doc{Rn$Rj$!=e?+d!I%dao zidC1(pBcS$nrMK_gvhdVuzhLE=yIrZ&XiKi%^03^^Q}9}G`f>?A;~1m99M~SSmq7O zh3|fJng#dDaf5Vp=qBLG-x6wcLQ2WX(Vbs(sc*8%MkG~q9+#WSKc-4_qrim9ydyw# zH|%Z7y__|4+Zk8NL=h`=l1V_yP=O$HT6-zV;_MZ4H?I=P`%?>aC*}LenzjIREgj{_ zz!dg#NLS9uZf@&ydXu-wqr>2H#nGV2VHDPLClZRus9?);q*Qasc&Na0I+<L_(d)Hy z?B7Mnv?{A}x+pKnB4wa+rE?g`8=IALuD}7wp3I7K)(`8*uK9m+9bweSNg{P~g|xlM zaYkx$4hpBpCT3rAx?zyWXn|C7iMx5oIG9OudlzHKma0B;lXXnUgSazu(A71_|G_A8 zG&mo~3dbFDznlojrN<I;a|80n(8C9FP;A@BjJy1DSJ%YH*{<<&iA1i)x1Hv4=d+i` zD2Ur~awUPsD{jzoDw%4>!B53<5f4<y>MXi)Cx<=9rv<NaZ2>38_t~Rz<b4pw-Km&z zjr{q>S9OhYX?^3yXFP&(c>vAE4gYp>y@j^MNWE)vHw~c18+TxG=az}ag)CKa&LVTh zhTTbW?XX<NAc{V6L_tQzQ8F`f*3&P>9M&gtqG%b$fOQ;ls{aDUeh?9I?wIVw7N-Sr zZ#34$Njds)F4Din7RT;!EOM#EfKcOcY7~>jh1J(_>9~BwCRWUGsb6NrWzxWLs|ZlV zK}xl8@v}L_y1l7!dSN5Q(kz~FNf8ajiI<XbUBmXo-u;GgxOd>h(_edWTQ17PXuWT7 zM(4A{ofc$qdZL}gbZb~~`Co^`>$^;G!XI(O1qeZL*Wp^kz)v-CIi^I!ACoC@=xi>; zAkrOi<~10^#S9X0GXVm`4nqcU(9Y|_{B-(ozMj>?j-u{x{cyj-#>?VxkVUD(r0~{o zb{vz#C=ttWu=RYyQ!T%6K+$HyB}KDvCa+M#pIE1GVvjn*z-OCq_i-e{i*k=}<W>&D z|9FFNDn9ta9D8?g%O~Q(<9KUu#}mxLRdQc&9|E?*ac5I-)bgLgIao(<=jDmQtwcL; zSle^L%`7i)DbroTnh+y!TFpno6z~>s?8!00Jj)7j;m8}p5~BWZILZaWmvr)P^Ud$U z%0lLE64cqjt_<35m)yg_LDJ1{f#<Ekg_FT=)by9ZdQi1*jRb<hAqlB(uoi5=dA*!( zJu6nhgl&*-GeSVYLK%c_m0K#ov%q(6WOo$7*kfyNpOyZ=vI1XkNVe#}K%G)=VA;~Z zgEK~N=l;CFeZ@I%-zKNPEK@CSNKui%lH4F~BYu0puwfK$aIIy)h2jTqGT%?Y5?}jn zXcIcXSl8}u6iOt(S4-k<HiHhp5WCfG&c67+gC@yt-~8ggu#LQKX+6!qneeY}Y=F1F zJx`-<>cyeIovD^?;|+|zx&Mi7SXg$ylTm$cN~K`GDxYv}y7WrFf9PXws75uvlPy<n z7MmZxW^PJu1@Z~M_^Lf_bW8NUO5-qYWvAZ0To)v6*#*hIFh>_|(Pp#0#c~R6PRgCW z9Gd-YPcVqSHNEg{*O+p?5!~Z#<^x^6vG&$&d~rv<6b;I4o8d9OJRiMnL{uEUDJ`&V zcgY66+%}_aH9_&cR6Le#f4keglRb%TRyfAJm^yrIySK2tWixMWtvQ>$`X^#-FusMo zSQu4pLPc=BegsHu<<45YZtOa38e2uZC(tcz;^{BFtgj$#J$o9x`-&57EDr^}6=DW$ zu%++3{51J&%Rbt?uLJCCd)majFV5a<#CWf~e3a2_r5T#Mm{!DWAH9UUf)uxGGh=VO zJI<+W;tN{5!-Je`EVo3w95s(@6J;;FMdE>Mm=zklKZ|s1y2u2)3ovJFe17k{snA($ z-8S008f{E$-|@t|T?IdEg0HW;b(t}2$8VauU@0VQurh_aA+;84Jos<Bwmb=JZNOT) zA;9}<LyJYbVn6O{z*sQ5d%ECj<R}}vYBAGmu=@qOF{H(7CDHJ@(Gs|8L#f-kNqwqo z41~tIo8z2ofMKz^$3>58ph29v&7*;9Z6t@fuKjas=LvGUYg1-x5b|8Q1EpAN=-fxT zdGbnYamq5f%R4=5s=6Jz`+zTNm8}T62*M$2GNbdk_5KrUgPY#D!8ZnLh?dE@Y;5>y zLX@<*_?+r$vXq~>WXarW*q4gAvhmJpww-mk;uXPaOr~JD^fj|;o3Tr|=~1O>q`x+~ z!D*LjXV4+JeSL{)=Hm>x9*uiyA^P{Yq?m1L8585U5TIXb&o0imVWUxML`}K4nxaE$ zcy6S)xt%p?ZIX|;!IURzBDs3Fu!k9Hnc`)*iFONW)DBU&N@4wJ(LFr4_DAn&lW!-u zj4I)28l)1q4hhp~XWRX^dgH`tdKKxn)48^3RZ`Tq8H}iD_>sT2Oj??0Wz?#-Y$S|n zn;Dk3d+L2@oMC~tc&u+}X{>CwWolt*0s2?BLL^gZXG%l25ZXj(o1HGV&Wko_p7R;E zemN*<Z%hTZAmbTn5~%RDwTKI8g$CTVJu3ZZ%4f&6xySBk;>)tODPiDf%`%?0kM_}M zjhu<L>4(E;AqjQ1Iu*5OjCf$Sfu5#l&FV|GzayAv<zF|p_N0ku*4HAoBqDogpHdFC zOPg$HL(uuPY!F^(!BFM3hJjCL8r0CWo9;kpP+GjTt5-5;V&kW^x4a{0Q*V>Cz8w{4 zBL050!+Hj2(2r`h#nbm^UL;nv#VF}#&AUOh!+F|g9#$>2z{bmGQS%tJy$`)-Xp;oB zx>T-bV>a-#x0Rn~LfqT5w$hMi2ZhJ9w+n)2voN!?x<GShMc<yZz;R?}z>tcx%AQqc zBSm(!*vCd^aQtDk>g+dXsJ2bC0uCu>%5yoiAS)VY*fS-xL_`Z`)AkUwZ(00iyS)6f zp=#}Bl7;BA+;`q)S5efnAc4<j3?RU>ZHB;Rvhl04!-uhENyeA6B!!}8)18B}k$#hA zPk3*$2y}#Izg1hajAeCZBQ{5~9aCmzd>J#dyF6EB%>N#<XCg>u66p%FA_O^RP}TOb z?BOY9hQ#8s#k(42wYJW(uaOI8-mAK@sbl<Q0;Hz0wK45wA)k`6)BD|JJe_{B1j5c` zRGw<GM})s+YNA%MpF*%@eyKvT3;m#FlCv(djI)ttrobAqB4&bRy3hu)&lGcI(Bty4 zlD}hR>G|HVZDv$u1QW}#UkOEJBQLkHYp6A4M^2-$k3%M9ad3~Z&Ds`app|>EC2j|0 z*S%-4nEm%;73ov4CW+`|TNgmF(Gb~Wt4J)dn3Tz61$`K?eH6N6YP1Bge~_wV+V1eM zpa`2}RxI7H;Czc@;B3jTKka*Dcd)gvzgcW#9rvNIV8UEv(m;-|A}38`myLR`28cam zZQW+D4dpFlRWMVqI6fX^Pli9RhLa9tT;D6O_~ia$eLEPikTUOMvzi33O?=;D{`~N- zF~ZMdUt-;_I|RUE*UHJSZ9=ePXEwI4$9$k;52d27O0$q-(H4)d_T_+Mtc!cE%oK5B zq628J%|Bsdvwl>s`DRgL;ru|aP>MlgD}F7n)TuFJlL8vAg~cIb8j1$5WZe;Cz!dYY zaq$6Tg`(fCtO4?3Y%|QR7Y^cLbjP@^vlh}~o?fM{ejCDK>-dqbdmOT2Tb6#Vsu`kU z@HT6%3=@)KtJ+ttp$LLvi-AP0Y5H+uk}EN;X6RvJz}OwGn9@;V7KsY3|F}V7mOS^Z zotrUYKJ(+Pa(W?R60Oj!epL}-5Nf@x!Ylz|J1VNJKLGJzlI@nQ_0Qm87PNz{<(tr9 z$bE3F5M;n%s!Cn0b||o6x*AHY80nv3{OvZa_oa_vZp0(45?_B|5}pvPY!q){=z9IE z1IAuq@>=Sw+H+1}Fh19;@Ety3qa?(vN5m~*OAfKD-ew(PDf^wQw*?JhKI@9C(xCic zi{5vvFgWaB5Y=R?(aYOl&(2Y-w_D3#$ICvf;pe(w`pYY<P;jbXY0ns}1@)O=6V?T+ z0d|RC``_}bMDuuHAMM|(&unO5gZ<2_qT*LzB@w!-zf?zH2PmhjCBrsgDMFO1)-5Jr zjbDMQ(UcWnGJtNY8TbTX8l+pRtylD4M$1U6jkDulwe>ZtybIG`Y9k}7IAFqGWK<BV z1hKJSq=@~hAO4_UEWqljibs%N`})_aMvZ@85;?`HQ_pT+b9%C>vkhKf9>1TeWk^k5 z69<i|YkxdnQ&M`W#j-13;Gk!!bmAIcy7E-2cMb|);YUKM(Kh*BR-iAcf?Mcb8vGop zihS2zE?Ww!>6yk}lD+q-qO-MLM<eB_v&p1hPK?s2AKa5(sQACB<?4c7RcNiL2KI4Y zR@<7Xg#BP%tWk)mT>(&DSIc#&lmS0pSV&{3DgG^9u*guU9QPbvUrau!ZtM$QXVWXG zAl~|2$6XnzGtB8-g6jsUr?%K#mwfc7e4WQ#1`*<@w1Bo<(x}g<Phh28`a`{_PCS%d zfa0pCu@r+{V}zKfdFgRor74D}r@CNWM$&VrJBUwRNPc0cH&Q-bsx42bniwrzZs0tq zW!oEFla?x|nwkn-98egjI9B;w2n`0PK@R9#S<UmOwZhh150T-glyk*gDp=2_;2^YI ztRlUqnaZMEl<=yjzi^RU<-wSzR1<()o|cBESh8+hz;1M>%uQWfO-f>?u;oi!Ln%<F z1$sJMr3*f%%nB)7bnz>v2ACIIuG$%=vLOasSH}mZ(x>!WZMXHNXDZ`c@~Y#eajDT; z=b_Q2@Fc)nOPs%^=bWxvA)2kGR1uw9Xq%g+If9E@BA|(;mhX96PN;XLZCPkr?z3g4 zy}wpl1H)6Mh$2N>jMYJ=&Ve&qi|a3@kli6$0R<kW&ovNR?ja1Oi;DhQQbPKr#oO#! zFJ|baeJR^og^kvwwR6c@Rk*~ZY`V8vpyslrrTwK^W*DKRUOkjrs7;Thnt6g+W`=#G zSh8?hqQYyXn&)0xTLN08U>QwXltoCTt4BLpON=(9dvYmSgVrRa(U})oJ0ugOuDu0X zb#(!y65jJ!G0N|x|N7xsa3bEMbrjH9GJedYbSl1Cc-^|B{XDE$LqMsd5=@#|lctxX zvR8;%Y7mB`-d}cE$9;69m}F#GtnOl@-(^x+8DmkTwPQe85Zgbb8D1_~k5(?E4pkgk znbjPmlSm3#E?5hssW|vpPTu*WQYGeC`e*2)j1JUTH1*b_TlB$L`-R1$z1FW-P#UzO zv$dUAG_s<jK#_}Bs7;ZhVrh9;tn7cI8$M@PL62^uY!6jfXEj};RNX>YAm2-))~7I7 zY>Yai@opYiOFb#0r!ov!zwsBN`11Kz$f*XS<ge#fW@YuFZE4k4n<V6-k{`oYX6MqP zR?@In$*sYnxPhKm#dolxx-E=XSw)|sTh@73hZc^aoqK0jPwRZ5fE`s=vcqel2E0O7 zv7cI^E>|#DOn*tD`tBW9gkm_NX@d(_SxG0NeiZpu%`+CFIiluP;2{N~nJm;+k`wfy zp|inO<Ot)TP&cnu*8$R@sJEO}Z2rKZsWggKqyDd<RIYbcd;*`Lsw8Dr`U#GqtCvz% z8x?(_SO-8>;UjFJvU4p~O*UJhx!f97UQ9}%ZbArF7iKx3(xmlOc7rIP<^$tZexw(n zs$bAmFUba>AGp0$iShNIMh&Y~j2-2mAYYhOJ5AJ}t*wPsm4L&b?f7z4o42x{;y_?k zP4c0jjfG8BtuT?G?#4P*x^jS^1qCQocDQe#)ISwf;Q?QuTz3Lh{7z1woU89t$e%r+ zmfYM_MD#46OA5+Vb4(ndx-_>`Q>Y7|=3Asx=Lh?r&VP|qFKg<bbD(}yD$?1X*S%|0 z+dRpi`PW!fL94i*+wMhFULB~PeF8I7F_V{{;SwNJybOn*1t1Mn|A}^=?J4?G`wC{C zmoVp3u#Z)r1~k-D9~ecSIW)mjNu)HNF)^-FFEk{d@G6^A(8m*>bs>mTE?)zm!W4B= zN&51h*92lyBAMczwee0<xjWLHUE4cT5aPj}(7`BECXBJ34y6@S{W+nY7=;2;l<Sb5 z?qTmz?x294k~`c|30iQT1QN+o=oVm}M&-3qiq}t`R<)v1@S8uLID(H-8)Pq@>Pvi5 z3osv^ZW3uy!T%1P#nn|(KGXi4@RdSRf~xMF?ouyOj)mTx!VDZzV`R>qYQqRp0Y|=_ z>UQ-}Xf3XtKqul+nirm(Zq&|Emj#ZUb$+{1U-x~TRVAoV^z3b&5XqELR_0xurD=gt zh2u?~6$frng5*7&Vxd}5P3bM2j5tS8>G2(%l*}_xRQ?T}dtD$=j}HEvK<f-qoFeX= z=5_f{dph2nZT;m?ELP5()q&Dbuywwi9|ONo2br#%O@XUWGr*plUi_C(H0zF>RCI(; z3L1Z$FX?blwoGrF@mgI_IfGxEnaN2|lf6%zDK$1w#rr>;p`9X7&pj}l0s{|Fvx6X< zO=bH}aLo{$gT&`g2pa*Mq#)E!dTjBVv3bBx$id;8tIw=Y^BU5dlOmZ<`gg*cX>x^6 z-q^C6F28Y4pgp6T;|N|)L8g<NiBC#T!4ia<Ad@yvAcJ(9s?#D*VE1I3CJ_%$fp1lt zmP`9igX=|``-10AXlpi`RkzblFYhLsrRcv-+IAP4>lUm|XaWeEDMFY|+mZO1U}}U; zGbQPokB@LpalF}@xv^YMl~>A|-Oxx*q4v6({OmMNlbNfU7X~3tZa|!xFdPj|G3$() zMlbnI-kE)xT0iAYbWCoVZcNcl^#Wg-f>ymvVZBe9mR+e$xqCpGtYMW+{5>(6#A1O> zEd(N(-(YP`OU@FS{##j1SDXWyB2-09QEv2^OGz<JJ4EG~dOICW6du-@uqp{n-uuRx z?iTe-nccUUGyvgDMZ>6>gyzgl<E)vP-O0F2bef8pL8_!o_=|g)v4@aMZ-H!?En<93 z+<IM^w>fA`J$O!;P7YK|lX*Xx^xr>B;C?Wfsje$bB#0rIZh99?UzHM>MMDEjk){Kg zEeY>Syu0+6D9qYR;MC=qHjKtg{_)nBSV^)=78A&rkOQ7eC^)&8-MWcOHesrmKyP(R zK#rT3yC7jpM!$@hP0vhAN%Vb~`Fc1@N-b}gz9S?{Noru2oyiYNMypYnm~8t>L-0bF zuMFo(Kshv+;;GU~J%%NiGeo{hJJ%MNqT8uTJ1hv8FmRPhJ%#y~-T{G1LgMO|t&nU= zNkiP1o*Y<8RjAFEu%$yvWf8xZ<|{8sdVa8%Kd%}}l<%RJy)OnzwPcf*VXg5=+}(ti zDk$Aa3R`xU8=%NZLELATGZnN+e_>gdbcdfw#_CF!-}Z`07kE6Eb7OW%aS1M$GtFU1 z*Pb7j9yCo!M>r9eHkdd`!_@+pd-)?tOLz2^?pF^<;2h?bk+b?pg2vaDVhrX;GIYt7 zWn$4t@FlyJnYFw~zT2#p{{^T>o0XoHms68Sh*OZ3WS)LVg%yI9W#(x}l-qNbn=4gF zw54U12yQ?~=W|z<tF0?YEk;R}hUykbh8#PVnIr;8^7bs2=3DJYbk!b~ZIIYU3b+uK zFT%q|ww?l(Eb*>KcZu|sXC0bHP<ZB*-bRH-KWo^PlWlNEM`FsAh>=@IW?j6Mys}0| zpIok$G0`zc@L!;n=j<FuTxXJ%-vtOq;&OzQ8XWURgMxOInJ?Z(KbB~fTtLZ27p_{B zWlpq449ZNEv{|1<9_l`oN@9veP7X1ZC}?#?oHiqsPHSLB2xAqMzH3WHl#>UQcxg69 zKgju%ePkg<3jyqu&Rh&e_&wf~Yf|?`1%J?#Rz>1PHN?V{k2TFjhYhuq7$dkv{aUA# z@C~Cym8_YR8TO4uQUZ*WliPSjGhBX@V7_BTIl6F^fSyi8WgKIa^m{o)w}Mray;dYe zFYQN^+b$19&s#c_Pz3r!md-4c9M|MTg+(8fK&Q_{p1={5y>z-n-#-MDlRu?IO2hV( z#0QW>-%9C|P|bQopw`=yIgn*Ui)PM~eo#<Eqx!&;Ar3o4=906MBgrL1S1+cMgn<x5 z_0O1-MmPII!E}q0XzJxdyB&U$?V8X-<jQc9)JVHSJ$z%69`vO{#W7Zs&76=!e(Ok+ z;X->uXsJ7sTjpg$gljI7Jcm$2(J>*Dg(W*fQT!8=HNhoA1j`1KP+AZ}>z(<M)bRR3 z1$FF_!-VBRR8ZfN9v09-*)P(P=A^npk`u*}8!@Fqg8R3UzPgV>sOPGZ&_Q}a2H2gF zQ^sUMo6L}sMMzIVXvKq)s>eA(ZNhevfkY%itix%N%f1dmB*|Qoi8lE`*V0dtysP6u z#Na}bWggB!?D92|gpRmDPYEfK8vLR`?;{+NDQAsA%R&#5vCnrw<YfYqvNd8sJB{^` zFPTh1(75Q4C;B!)r0Cm`n^+-1wj9rqjI;|u2vx$7`wjL$nvu1U>SW+RZPKWbSh>nT zfft;SMhmq-)?km3wpyP+ZLWfmsHcfQM*wz_9rANPV^(RA7CK%)!?0YDlz&J-XAe-3 zm%=hYP;x_&Ap;#iec3jVGC>DG@I)$+%6#!Zsk9xD?6uoJs3;MUnC!(r?w|yb&LFTq zdmZ?YkW!jIP@e0M-GPKZZX@52xUOzL)U48wA=y|zgF42L8398-eayL#pe8Lpz+$bC zxJeg3PW_;eVQK+CD4dj#oQUf_O+Sc`YoygazUF(7&Aq=qe3EaF!_=uhheBhJQ0bFC z-}Y9JbNhWhhObJHEDLBpdu%?Ce;86ezb!J5X(K#7Qu8H{?kgrfHoX~;4KffuX^Rbz z$Tj*s?p*<pA2;MZ#5DDf6gJL1><{UWrZTuaX7AjO*DRtvGt<zIs3eR%Q@g~E85(vy z$EUcDEDc~ikC?2F;r&THtBs(KIqWk%9fy>UHP{_J<b;Ti*1-oo0f&5#9I5d<b&YV3 z369%5K$v8Yo^ZuHVW(J++EA}N+qz7Tz%H3Qt<pe`Pzr-Q*zPosisNiNTn{OaaJp7J zIy4=R1CKyFa$OORLSZUA1d9caFfkN7^1S(u&Hnv6JM-<18p`K8;4R{g7?aUE;cV89 z$632OK(NY=DITRe`}e+%KH!c!6-2X+2cUR6jFhL2hFxMiW9gfYx)@A5m_m?_rO`Dz zEue&sNQfUhA_94iqdW;acW7;nx9;*fF4JL-hMwFyMnYDO4@|~7zqLw^Rrjwtn=L<% zSD=|X+Nm>+7e|9Sdnzc7mg{UffUg{m)Qwg;>NpXO(k(zbx5fpIk;^GM>R9@X5@!=S zg75B)Rsj7ufQsXdUYF)M<SE#VDlE`Bug%PjyuP_P;B~=`6HlW#dLp)sGTn?hd(*0o z8EJMo<%pk+$?{)0xI&bTLwZR$^8ksBhyOA;nZtdJmx3HQsfu!qa{~rBBUWdP9D?sS z2_{^Plm6H^S^7|o*?7V@7SlzI@9?WQKd?HD)@GGB)sZiaj@o`W*moq28BAz6Nn#m| zce_zICs7WKsVF-*bVLJ<u#+S>Fg*B-jr<KbTR82EL1Xtf_Bi5<%*Wt20Y2A^Fe=J7 zeMiiUZHluuYE{9Eh3K3&$Y-{UcSMFan0~8_MxJjs+L@q?^7U9ZkGYkMdrLw$x7~`2 z<DM%wQU-vGD)1CHVl#D&Qa}DS<z#A%Ta4#6-kM*GM%U0bOx0A36d<}bFBD3Q#%7~7 zh*v+1T&s*WSf4bE+3j^UpX(`%J1$=~T|6I*hHOYSl93dQxTrBUK<f#N)8ZO7W=a2x z*%|~k1+4Uo$x!Vz9uw+|ri9ituzuf*ZnnQQyzbPCCFZC#Lu1N|$rF+_MBKlNT|av@ z!CAG7;%H<wy4$LYSCCCLFJhpJzO^<rA?=lm7}*~+k9>=ZX8H&<dk}$(s2TD!<fwLw z-!|Jc%tdUA4N}B3Gv{H8GHb0g7>!qpP=b~;d^b&tW|x07Vdg@McB*JJ#+f*afxA&O zt5GhChsruM5e_7aiq|7F_|6%Ni{cD4Vw4YyiR<+<O<o0yhw|PtyDa;Ph4;rZtpD(e zg!-^EAlK%Kh5MN^7_;1pi28yvl#|kmkN0aclz7OBoAOjM8DhSQtLr^8Bv7=9!{R10 zv_h(i;nxo{%Q>Km2g~>}XEm0JHoW07i#3djZ>!2OHadcevzW6oXhV340)(70BT;UO zUTuXkWn*ND$5d`IF?w2xKRQ=3g_Tf>#vMR1WVJ?$T>mIC%-KAO1m6)dz5+9fy}bG{ zJ1{AViJ0RtLt-C_X>!al)|eHFUP`qw_0tQAXCR(2pc4X$hxCRq*jM<8zQ%7couKZC z4wqOl@9pG?c4a{^&p+FV_%SLmJeJXkmHZMhIPu4cO~v~#!%)77B8=oP-L$lc6;aJF zhaIblCKR?Xz>1-XR@I&`iu{*}rj&;;<Z_OQ7gBIA&+UYXs|{H&Ol^CKVaGu*TJdp- zI)5rK`*~=IIW-e7E(c$UUFrKT^O#kMr<>$2OfO7{8BEPDIm$wbvih|zxoJ6xb*G*$ z&J8e$Vp4}MceW;pdi!rLwPPKLzM@z!i4_!yE=WNy^2-W|%<CyH@`eG4nT--Jher5_ zl`Z=&v<mNt!OP<=c+=&G9%#%i)1cglt^&0##&Xk$aGRYjQAx^(XEKH^btJ)vlE-c? zG6cAY^IBIfi0H0}i|juxchRMYT6`!j0l%DxVhRy1C9#u;qn7zC<EM&<9wFi_J)?n$ z)v3xYGNX8i$1t-k!l!SD_O+WW@33ZwWI2Q_xV~M85W8$G9??{Y{5n-FA?QnpD7if? z!2?5xmNh0V|06nxMz9Vo+ek5pIVtxnR&pqaaiHHUZ=fHD?iI)^C({;)v5BxOe+mwW zy8D<ccSZ$>3~Yfc4~P7RrrK#NNX+wxibql`Ar|Y0xw1Men`GjLGaMr<x3}4c`hyEB zbq~>p4eIkN)oaLyZ%*7R*UZ0%AhE<NeKNO)Arh@C#hk8(b8(d`u?wb$7tehwL4Tcx z4l8CWboZ2pScOh2O>~Th_uV!t%JqYX>ogxL?0b8MHi`!;v<7pB*xm0cA(m=~(l6L5 zH7a6<CV;>y@4{J!)6S?WP+(Dq+#8W9SOQ6hKxcU>2dO}Z0kL5!UQ{@TAo)ouT?8<P zo<A}w1-U1OdxRP)RdOGPxW@u2PB0gTR|)GW@$wFbSV_|<J-P;myob9fGlu?#g~X#N z(^mF|vj2-I9xU#LMmcmT6b9yoKyh3twdCD~qNhYD0?5^dZQLv=`mfD~p$`=)pPa>p zKtcT|@QuBOOL65W?t`_4!Jo}2nSQN?rOdS`@_nU-`1hSC_<@~<xgmxqtcsO}>Pc=W z4w#LGjc`^dAgYCip_M);;J|%`D7hvmPuz8eBijxrZv1VAlKb{2JRxR=cN*U(yGmY$ z(>KQ_>v&d%rc<vc%d1X?@okqUT;oQDwT6Euo*zGk^qprXl3+K6uCq@kIHoU#<Ht8A zk?<#mmf9aDoIfCj$Lj|tS(6xscKh!p%Igq@r3u(3???%TRTjV|$EpE_h9RgXQxW=w zIV+GRlYH`pa5H!&i0tZxEIME&GGXI|Y(GdQk=omZGea;YrdZU4fkhZ5aNEp<S4IFP z@nXe=wngYADDS<6pF_|k6@a#d5<s{mxfQR45j&tI6|kp;oivCgC{Lh;w=8fa^YWO5 zUn5v0cAJrfmKZ=Ku}F%AUJNKDrTT(}xBd?$Qn7o5qVM-4xNLNVAm864*DP&?GtI{& zu<>Pu+PSYJM7v&v7p0dZk%?D@?2dmVnOsnXSa)Y6Tr^3ATwYHk+Ymy9`a?G)6!JQS zGbkS<3D`4*2nz=!yTUAmc<k;YD6%Dmhs@R^Ql=h-GpoKMIiDAWe~6|d-JB4GYGjTh zKA#DN_da$bT&4nrCKz5LIkNkN`0qs{)WY<HZN@DlE!gdZh?^B7MDpf@NN@cj8xi1y zuR-M^u{7C)y%NnL23^vGbmFuk8;Z+>)v%l*@Vmr>;C+N5hVs3HmPu?P+by?*_!Cqj z@@=q$2;Dm(%Cf11#iS!5V*H|nGHVMWy-J*fPcZW#*`1Yy9rW5ExAl&MoVLOtSW<|D z&v&XJyR(6WwKtL>;Td~`Pxg5s%7k=;ptE5icl2$9r*BCi=V)hyWhyZt8scDt+~XJ_ z5@1?{44eQV&*D>r_EP8|P-;ztoCwe$mG(x2{=By!poc(&9B`i?a2+~?`5}iO2DmeX zmC|k?VplDM@qtz#g!?9h4KO|+Y@{HB?A#?F8d4gBjff2(kOUNj@-p=w%)1SQ8{FI< z(02!f3WUTTn>Yc4zbdRBF8=z1JkXOLiOBSWfpmKxt(WeDj~8PfnQQ2SXt_!sO+?~@ z3tBQC%OTu@d-)k35(L(RxR(JRBJ0nC!!+q0{MN~XozT!8pvS|5Mr*hp4!ymD!33Zl zMz^<v4V{M`Nw%?rEHrN(7rLv19?Di8u)?K-<z7A>6wsf8g7PIEMB<r)^@I%`K>3q{ zKNj^J2NjNkVy4_3n>C1oUNyuW`&xp7G{dVMD2053<xi6xBD8jcbK80y>F02R-DP4O zeIRRtChtid-(6*cO?NRJ51?OzRQ?zp4d+>dJ%j)q*fLau1_|gKbAwKUvy9Ii+}KEi zLl3tc6f;AExR9P48<IVP6A^|S@%1-@Q;=;OmtHc1d=6C{4a_WqjEg)RQa&exh6f}Z zXtg1OYJv+KPB9#VI{fk*1+o@{_H^1CjW-d4p6tOJ=D`btHDjq85K#t$yV#H$3*`WV zFim(H*nRtgm%v{ecN_MC?=nXl=)LfQI;1WeD`o3~c@!2KK@sMHt%Lm<D#GA`*74*T z<#*eH_Fl{ybTZb04a>6{)$!1R9X6X93%1LGCZK{E6o1BoDG6#C@l3&jC~;95q9441 zBiJ|^C-=638$KW!fzz>q5u*nhu(Yg#2M6yNvzn)Z{At!1jD@0t^UJ*%I(40b>nx=i zzG;|(=ZuUQ7h{ux=Ie7AMq!SE>PcG}OJs?H^QJ->CTxU)1OqA=*m!?}99|I_VTyW! zJh=E6z@T-4XA$5S_q%X{n`y@w1l((a+rX|E=>TVfC>NF(rYd5Bf^2;lI$2$U>cC_e zr;J#FVH8Xl>%>!n>1Hz+2m()nfw&qN{zFQFEC~V_%!);V>R9O)bJjqDyr<9?^Cmok zqxH8JOnf(jpF*D(f7UXBvyO%ri#0BS-q~#zahWNCA|zE8G6E%nfNeY%%x@rq`L!b# zK;j#Mjr|H2kXaXkK1A{sxz!Sa42sznzE%!``Od%=p4<t71rw+iSZ4)-GFFck@Ad$K zf0%X_V2k{L@Y!7!t|a(@gBnE^*TVCGJ6bFj+-2{97?~3mz76Yv8`t_3e7fd=MHJ!{ z7Hr~ymr%(SjUwKG5{$4F;oaGRx5k$h6Q0$9h5&yRA!E^jf;nat3@y!ps&P#f*89kT z0jV?<eb2;!hTj_%0jR)%Iu!#IWQDweA4=*JrDwQ-Hh$3*#7?w<f3dg}!aA^l{NtY# zo-3?@t`&zASs|!_ltyk8^c$psv36AyZ5yD01*SX{#UGr3lGGy<{V14$SON<a6*rWD zSTFJu3`&rJlUvyn<6(?}3WvZGntq6Zzp<wiGN6QkvD=Olsm6eT;skaR0rGr-Q!QN+ zIwE<21yw{7R9AI@`Fkr9PLXkeFrpF@D$s3#s>k^f<`ZgxYV6<=gj{BUZxF~51gc_z zx-+j5W&B=&O;(l?s8d^kDR_Mn&97I1PMKs9)DTpGzPU>hy>?K6dD$`&h~Z3ufcO~_ zHc?4|)fNE~$Hhf~cr@q{IzK{zYEjP-ki9;Et!=gu%0N4TL5ZCa=EygJC!~ZC=2bL- zV7_Y+$>%VE?blKfkA5wI&+s`BIvOg02MHk&$hszhmLLcbI$$G#eK+qAkP0Ayy-n5- z%DEhYR%5*o>1`Q-OL(LZ>?Rh0n~aMP)Z`L@NT6~Mpr;RkQnOhQQf~}_yTw2d=sO94 zgx4n!V+{s@t>_LA#Nz^iIQjJt3cvq=CJEgSHJ|)|b{NDDMuho*D<`WDJZ|=YMm3TT z8C~;$$3l4z+feX;uTNkPgh}my|64~75=ZKQxMwa8he_vv+;SBU;!xy(Ykm9<BwgWv zW{2YrOm5zQ&XCFuTZG$yq?xe}QJ>g=>7keoF2B`)o~eHh^5fEg!?0!!oej`{TenRP zFFehFX1z2Hsc*`F<iZ;c3aH0`*T(`5Q{}{fK+Ne4geSs)AJEVZoNm8>cGI^Fow~e$ zM%JDUg$ufXkJyC`R$;e*Q`&3|4!pF0lG{@auN$&}PTV>TIe@Q#huk3ztLdzOKimin z23V?qcH8d^Nz12zE!x%$c0;9rW!Sw8i@>6QAl9S|i9(=&Uek*Vamk&4AkcCQK~<Z8 zXv|p*`{kH`HON2=pL>>oiNhxhEEbf2X1)##qP3BL%eeIm0$PuNyRzI1OaqO8HLSx6 zfU$~zJEf`%o@9uC&z+GArW}TV@RfKAnAwAXpN(G&ben;I--JdBJ7Rx;uX`;E>@9tO z5pom@hyHqi1!(&U4b*smja}jjey(<as#3`c*^P97Tt~19A98Ylr8}1jP+M?-g)e>z zY)Ni_{vu@xbUbW;5Ee`dW;AMmy$CZ3LosN81p65Z3^Qhc=<EOrz&T`qYToAwU`1kp ziO<dn>s4TYNWirTVryQ2r?8v}#D!ddr=Wug5~W&zNswv@OvzY)kAG1Ka`IMycy2fe zgdkOb23;Qsfm2g}I!gu#Yl~5U7CG$*LC8;lnI_Z-1PV@o#S^;-vQSKbngF5*O`J-A z8|{b))$B-sN7-)(NJ2+|AjMV)shmZCr?5Q;_WMJC-J2u`FknJ}!iEb7R>?qsS8?(O zYBxWCo?F=mYN|bem_@(`S0X%sMK7lZF`qhsrx=b0_#ioez5#Uytfx1CjOtqlPBS)u z5z|5k-NQ71PP-`wSy?lHMWYZ0!t*hJ_KNog7?Uu7VshRFT{ka)idn@5j^HhTZ$PXD zt&S{!6DN}fx<M;|b`5$4wD~E3nDbx;oVF-{eA!0^a(E|zBEK#MHa{kSi=-6>=L#i& zxQYA)hT9{6scz#16tN<JVN=QlkBcFI-#4)Z_-Y`4CLEXrPfs6!H2{AFl{g-N4di77 z$R-_tvByjW>KPn>AgeP4`Vkv|T#gzA_zoI?WpM!o<PaHvK2+!gzZV#Q<u=a*h$9z( zT^Y6nKr|MBr~8})=1CQR!`*`fdt?-Vv%hKt{(}>MccD=PZ>SQ05Q8`b(9scqfMg#8 z9{>@6$3+GNTr&`W<|gd}h-(jk-v`tKqo@vmvgo=4t?CVcV9B8ar7;bF>ZynWjC~A% zQHpN^W6leJmTFc5CnO7iyGlI++kOgwzbYgHe%=XyqzVfI5JL%oZ0hj>kgN!R7RuNH z02>H^q^Z9GUXBNV7m20<tOf^waA=JJ=z|7;ut#(P6b1%>*Cty6FO3C&=mkOoIT;0j z;^Zg-GO7fC#={Q+8bAbqm!kFo@!A7`RDs<Aw|WDB{$IoaZ65=Gmp-cj63GI9ARUqc zrgs8>nfrJFDJuei1KM8!o8tk1V7NvB{h$GWv6d|XPGbRp_H+~hjw%6wFjM;gz4icr zU@_tV+{XZbh!4pC>YV_9sO_%+=Xd~s!ONBa)K~z3)Tw;{uRQ>O<A-DbdM5yY?qy2= zH5LGX^+PfM-w6PK`ym+scme=`V*metbNc^(l<@z5#^L{e3C{n2T($py!I%GkHg*4h zyG{RpQ6c|-`0xIIu(|$!d4B$XQa=8FJo){9H?RGFLSX%WUkv?!jI;cI%2oV-8T0#p zc#Hdg=^p!kYOVTz{5JZ3pu72hR6F^98MgR;?<@F!)|~f$&ItE^*KhWJ@zM2v9WV8N zS%>t0rrPs=1u64?b#n55_O|hVi1zS*EHUtZ;b!lDr<d-3e#7m5XX@;KVhrqmZ7J)2 zi$Ustx>V_Z`eNvROl;?WuyE#oC2-|`tZL+cMPTE9?@;1@tUTd=dL!U}S_R*KP2$~u zQM%lJXN}u`k6YS*$|Tu;7wOl3b*R>V=VH}=Y8cdi0LjvSsC3bPV;j(aF}==z5n9cE z1M<s%2!+ajAQQ=dOPt4li6F**+MmRKJsQJ*w2#4mJ^;Xf+iSjmi_*M*PBgoJC6T#* z59zmm4Lr7g9*VSoL({T<dl#{P$5yX@Cy}jxo5rhuBloF)zaprAZb_zpGHaxN3y7kB z_n)AD__CgV4Z)m$H_DoScFvf8$<3C3G02pEt-X?eKdz8}=9!Lvp@58ka$<^qSU-q= zQx}GRWa@)|inxJ)#({r-7e;-5eg%7f`@eX9j&*i_Hzss{_R(>F%Xn{pwi<1JxVLJ5 z&`)T8|KeqTL~&z(p!#5c6MtTRo&H;YK6zPx_3l=G#a~o^tIJV;sWMN0zLZRV>+wl{ zFiJ;%jGaV(0qjA4jWs`iF?u|I?zuUC!}K<Pu_`oww_7oP)`u>C4X-PIUC}6i$L=M6 zNe3f;<r*M=nkgNBXfqmrP&gNVQaBWUZ8H&nqbUx5@)`?&Tn7k$-|hr|ebE7bHn0AE z35ffC_gnaW04w!=B=zxrV!G~ryn5??GB)Ub!|ddKZ=T_PI7{As9P`?K9G2I9I5gCL zaLv$u#bM2UH}T1S#e2qnZvn%8H-f)@9R9n09(A~WJ?FH3dQ`D~)V!^JOdzU$;(n%n zme`_xXf2<9Sbdv*XULa+ln#`B;7^c#ON@+u)x(H>e)fcZM<juMFHL=ZHgS1=U66Ht zq^fa#48U!ElhA2?JKSY{1LI+S?Brd3_2F55Alg-aY|T-A*}F}CXQfGg7m7uG>1jcJ z-9$Zp^BOsRE9^9Wg}5+&0)Q-jqCzNsWB??7O1U3?Q*9f5e;gNn&c+gcLTnCx*$fJP zl&A%MbUy)pc+vcQpkDZW?&kA-U~2Du_vq?<vts6bmDk~Yok!h$%Cgvf9U0VpmU_>9 zHrL91{4U0Q=z+m~{Lj37HW|2mm|e4dA)T&$($}eds|}@nt3IH8(`uW2B$AeWp0tsD zK+=qT3hRe`{{Dh}91ebcVi<XS(j9btY#wiYF&b)p9}#7JI0ImPd+=I)>DX0$g1k_D zMw?50Hg!gQQA$C5m>N8N3+6U`s;n`6c5W<vZYw8!lj9+M<(3?MWJMQ!5$_Rw>yr$9 z^D_s1DbE3Yjb;3NAouos;e+vf(F^Q+@Q&tuKMmo0y@uO-YWvlENNdo1R@TaVlsv_J z1D?NpqWZafa#FN>bfvC*r}L<M3_YWKqk)}#Yr&U%X8e(SlroHb^kas6h>(DMPPlq} zNZWLLcKL36*Ai)bZ6{-VH#c2;HbPf?X-H9g(n(8vZ$?FYLOwryOEEcoiXSt4{|GI7 ztL-Lyjm;o@rl=Zx_I?z6eozj4Jsk*qH01$&W2pOk%Vza^Yas7?MAhhfR)ykwp)lNg zCfC(_<#^9~-4)4u5U#>|enGr@CeXEe30kjvDBq}if?uM07~7kB>QR+@_`{BSLotYZ z%9(+CkNtXkkz90p(6()RO$=vy30+`&0;yShI`C3^u`*11XmCY)U8z2MlHE6Z2MsZM zyf-R)vRxy4=zbh~Uz-(s7_$z062=F6P1FE;%iZ~Ui{kQnjpFNi(%s~GTh!fpCdSr! zHnY!qiJQoJAb-Jn{9U?w9XPXkf(@*CE#9Ph9jcvrQ*oAh&@+#ElJSRnoT`9&>|S|# zgbs3gW4UU2ieF=T`2bvcu$@$Tur*D3`N&0ji&s8+X5lt^jAk!-`{pNlwPGN8x!D+c z2}}`sq`3)tiXsAfyoUODI_C0u1wre19GByHfau$KGBwnBF@4N=e!#?e8UMU_139&M zJZ`Od!=9yin#!Gd!SR)OI24X~|1pMn7E6A4e_wWaId5)xM0#d<p@3d_P=Z!@Qh!c) zrguhpPHR4SNm@2}mqadkIw~f4F$*4feCHK;9ls5E5|RaZU10rq{wei%_U`O>M6cv{ z=xW?}<RR2}H`L2`;(^0><tn>)LC>;y^=_(o0}7&eYM_~TDl(CHMZbu6x<`O`iOY9* zv`TMyI>lyq96MfkTC7!g^cYQe>VQOeKIA)i??N+p{+ud!Yw{s@HbWVBV2==Z>DC8$ z(HZ}D8Cdprz>)2D$;RY&GWXke{36qLCrZk9v~0n5ql&n9^`ozMsko+hz{s6<JJghS z8QY6@T-}0q1KW9b57cmXfXHWeSGZnxl%rL5Hi}GlKx{*Iut_?1haxd|#`Y(7ZpI#W zeUTM+^;Zmc))@nLA=mkK)sOIY^h4)%eDmFQaGun5&qT|1ndZTE(1W;kavZOAg0Q7_ z{y&^{=*p6IKT(Nx1k-<ZI8b(W+sJHo?L1?4Z>(8%U>8t!!hJ?|l;1pd*gP|KjFl;N zv*{mpOgR>ISA-09)ye{P#|-&(EK2Wn1%u^vQ@7i773a})O%lj;`Z&II9b2?@ws@*_ z#*v_PO{SK0Oty@5!oh-du*i6I7|U*T_{d~+QNmhvCAUy@a;HXhI+HwgeR?u=J6$Ms zbvqt)D-{%VUFr#S4ZHtzIfwOh<4)^z3=-jVve4Fa*ow?_eL%u=q4BqLMxL#7Yeu7V z5$c$9IEjvQ;3I@|3%Yr9xJz$z=-6a*n_*gX(&bNdjb%l2%-}k7kXJBt+Q}t!sW%&R z{-6+a*#!o4JZAfICc^M@ml@`Bk!;&@6T8rJ9|gv9vr4;j(TlKiddQ`7tpJ;IYc!B^ zwPS^IijI17?6hxl+SX)qR`gkOUky)l_8~-b8!|a_&pj@45=A3(<wqEENJb5FJU{|- zz%}=B)+X$7eG}nwxBJy{gWt+><G#Og)|s?&TyUv!cS4?WClHfzY}to$N1l9gxL$E` zzZzz8UD8@|lZ8)mU^GN>#ojn_#Dpz!TP7lMjKdakS6mBnyzu{Vynys^R}ATKkCWYT zViwYI(v-$=-wwNRiiEFm()FWpxnh`cKg*19WiNqoDUWq=kLqc0ltEr`JCss!gyKeV zZY(=-`*AOEE3+eU{_huYbtnvRkX!(9P>l3&wYTVSz~0<&aRJeA$REXU$2qxhZBMOm zx?rJjv2B%bP<DxMn0kG1ih6NyCU#|TZEaa_U|>yf{Z2t}M>#ccJ|8J?;Q$?QGTsny zF}DP8-i!EeJ6!B<MkwHK0r1mrZL`O3igLSeS1qq^*W;pZ2$q&_?L&%hgzbHA&ysO( z&NF3ig3wrR>u5}G3H?8B-i$MEXc#ANs-GHfqaqG(RiOcIz8m#!;gRTWyaU{BQgP32 zqT0f4tv|MIbD*hi_57S}G*yspFR6oW=lFJRT|{bbk&9h!gw9ZIHw{E?sYf?%+kGl- z&aWMAg53~q_yz=SFf8|ND^2Qc>1o|<YJ$*hu$IGZx~8^min6I~AG(}vdcBWroxFl= zhPQQXH?C-Hu%KIQ@{Uez{dhrb(Oxuda6l(*+8r8g5BCgh56u5;+??`kb!p{n+%ec} z5cbM!6Slo<<Z-ZUg(;(K_S}_hHjIdDNH=?IE8cCaon>59+Z*p^7&=ryL<A8OB~(HY z0a1}slnx2$E=2*EnVojG9=p4{yXzQqcXy|G*MqY>p7Vck?~A)X{#bjhy>`x?SkLzN zeG<x+g(O6e@=SPk&px5m)jXlC+#um~;>d(0{eu(Q8L@=fobLD(x;CEQlOOLH{~=zo z=yCjxIoINQubzlEQ`sHwx^!K<O*#>;sJ}4&Ar%~N^T9p-w5nZvZID^K<Z-=tUipal zQgwy+{T@H#%vQI@UB6KoH@GA_&PDuf+@f*!<C5$y#`y*vjvJG*EiQfainz#~DRIRI zqvEWN`^GIlF*okgQLDJ8`wZhAY|)B4!YaijB#6h2@$QLzX;ByJHliT*P0!!4`kx=g z3Qk;!y_I|{wq)kc*dD3XvETkqjcwl;6Pq*JKlZ}Ud9h1wOpnzIH;PRkq#c`ZTPgOd zpLi_Y-yPGrt2QQZLVnDnC+}mFT_45-7hH-t7J4M6sA^lxpokSQ=B3Fo0rMkcgzx6Z zY&V}1bMk~m%r)8ZF%K4M#60_;5c5*^XY?zkEgI#mi+-k+8T~l^W%PsVx1#T&|7LyL zbZ_+S#P!j4PZQCP^5dglN(V-#O?HVc^Pd^rO&doKIiwwJa#tzZ_cJegZADkq<M!&P z@85Ew?8V<k?UA|{)hT&C%1`V-)ZhIZqa2&5sLY~;QSonsqJ~^`je4=uI%;*YNz{DT z(NX5Q%25Vic~KMp?uxY8Qym!_oD+Fs%$vye$~%!BN6$vS^W7KerLZBg=MfQkIW9hu zRt|^^zv~nk<~Kc3*fTNm{8r7#Zk@rA3vU06D6(yf5PU3)F!4-}kjj1*@x|kMg#5?j z5mvT4BR1Vy8S!;YO2nou5fRh7y&{JA+DAy<nG&I?G%mtFc38yyhmsL9<$J>$d}_n* zAIS~BR{19U^O(EgDj{dlf0y4IuKsadc+q!3_#1=R@M2HD@KHj?@Xh-z!gcTJhqtDy zg;&+egv)&C4-1fP2rE@82-~6dK8&w%KWwx5`LOI^`@`G@Z3z3pCBn+vW5fE2{le^D zJBGbEZxOb9qkh<u7`3o{vt+{hhxLc<tg8!+x}6uAvg~c>Lx;Pe)-q>9IdAubeqXUR zbgCsk^lEcdX!N1^p@EJLp*!2Bgv#z78~WT#CG=sYcxZn@SBOBYDrDNO%n;krFG99n zydE-o^6`)_x3-6<O<5kY?CRnWBfXFi-Gi<nvGOxRx&($H@5@JqG&v}Q_?`V0tSs3S ztR7Mry!iI};Gr`2gQa}W1<yUPH@Ku>ZSZqLesKSysNfYByn}<P?1HzbnFcG(8x!0p zPzoM#f*X9~U0V>@R2p<e?sL#I-N!+rW?l*k@;n&S8@@59VKEV8LBs}Cv%W#i%V!69 zE;9>KCC3I?Bn=IE5XlQV?A;MqKC3)%wLx0orom4FyW1`WKL2zuu=DK3z;!GUxY8pw zuu9uE@M5z=VBxJPfk}i;VA3?@z@k2G;Farb0nIU`0ejRx1zddpFu*AFLV%{`{s8Lv zx_~+1{D4)Qr~u>rUIEU=wgH9DO#%wtwE|p<2L+f$eqXSqzHvcdT)~3lwQm;$hTd7Q zCHK^VsdINN@V&QUL5I$g1(KVC7wl|zUU1OEYJt{Og9Tc$Y6}j9NG;fVquXDRSLH9` zn&H2E&r^Rv(Pe-1Uw{3Z7Hss7-7WNw{TS`v#F_8kZEWYiB5;!bUu(4d4KEJzcm4Fu zudk`WPhUFUuX5BIKV8#Xem!<4{M<aY`<Vr>e&@m#`kjwl;AbA`=;s|e)lbZStltb* zWk2~@T)%juR^Px8#lGd-zkU0w@A{s4ecHG7#4g{*^a|ezUW<K=b%T6A_RRAYdtu?5 zzERiL!d=DJN}1<dklyB_vbn^k$?k(sNdG;b<nw2I#`^x{lPb2-C+6H@pD#{9K1R*+ zd?Yql_^@MieGc6l>J#iF=JTeob$(WK@%(jd@8?%7y*t0Q_tgAdsXOO4v@e_A5W8sp zUnL9XH@P~_Z+&Do|A?N>{2yBt=gW5e@P6&p<UQ$nzPGFV8}E_fH@y$tKIWY!xz+o& zC*|#aDAxN}<$Uim<Ltb{qfESWj%#{zi{!kk75lwb*wuLDCue#!9(n3@_w6Mwo0k1v z>xZoK+HADc%WYnmS4Oz2*HEFAm+D4+ufl!9yh2a$yv|>0^Sph%*mKRz_nsOz?s)Pq zpY+^!db{U}1B~b7&GDX>NMFykD0|QEE|Wa7Cy(+Js>plRclLScey;YIbSA??j(Xy8 z)A^#uM2&qO{MywXJFX;oEK3RYur_n@$m%lpn0|Gv2N|Q}v32B6cj9xSyA_k?o~!@L zeQw4z_dWc>?oZS<x<9|mcR%VC;U3WJ=H9hpx_i8ef%~(Q!`yp~dF~4LTiqnAi`+_{ zzjfPhbIZ-*$uYM_=3CsxULo9)M@PGz-Qnr>^5;yqx3Lr4Zl(=)+h8i;Ht(-?*Pf1I z*UirFU5A{y?Yg}GxNF(mt*#UIk*;x7(XM+Zc)8wQV(t3o#st@Q9m8Fp8cMjHk8XEa zf2i0cDD9n#KIgVe<Amcbr{-^Q@udkDiDOYN7oK^zIG4_FY3Mg_S*57zqCSr2a&>B} zvz<esbDsMf=LG*7&fJhA&U?c)I-7*?oj(PIJ1_QibspwA&H1^Fu5-#{WoJX}pH6LZ zjZP0bbDXy3J$G7k|FV<szWq)vOV>ELxg<G-=ma^jy^c;7-%N3;-=*y|HCVxE&$#}1 z(v4N~HeXDeH#Yv^y!3Hr=j|-rIWPV%cAo#7_<5my^XDx)Wi#)ttKmG^UbT7r0}}H% z)7$5sDlD2C&3`-BVaUz7_NR}`4Y$}h_xQWixm=&Hxhu+@=c2@6b6c8q<`yIlncL9v z)loaX){&{oa1>kc*zwAzbB?QK{^hvp(lW=(!xJ2N%Y7VI)z~;rb~JPxd```AgrtO{ zS4i8O$9D?n_{qPSGdAe@oUxY<&GG49H|McU${fG-!E+2g&Yd%9klCCiPTF(o*2>S> z_q2ERik^zuXY@bKmh`_j`}o?Ev&rjQXKybcX4m|ToK1{&pY38}H9I_57a5S1W_xY_ z?qG7d&cWkuro-Jgj~ybi&N<94+2ydUnsrcWh;t}z^mgcIuy$~-9q;h1Y?wo5F4tk| zhbH@Xk8<tLUwm#~u=kR^|BAi#6Jl4|yE-qje{JYzzfHl;{&Kycz4i+=`^J6Z_Hv6_ z?N-h#u=5=B%8o9$V#hhT->x)nwVj&LV!Nwt{&pv?*xU8Q8{3^7t8RC%NWyOX_BPue zHifpP9j|OZ9KLG%Zq5N)gSOSS?YkD+j+(l__C}7q?bT#sTa`iTw$-O3Y&EB~*}V8z zV3QU2%Eq(tip|W_{Wkl4tg;DTm1uKV%HPIuot;gzq>)YUay6T;UwAetOIl`yR_D!n zHUGt|qpvQ_>NMFq>+yjVv&47{XFZGdnf3MEtXbFeC(No^Gi=tba?Y$57LC?Rwq{!& zsd!@TGWonUyL^}Rq>qes?66pCoj_0P$Yay3$5-lF3q~thI|qN8d46Bb%<buEGsUDH z%=~U~YUb*=tuy!S70#UYGJIxyv-3=Yq2@CQ^U*W?{pDwV5cbSS-(5B%_S*Xyf4#do z!>9D{jLRMCW*p)rql8_7GiGVdp3$#sGGnfh`i!Zj5;LBfwN9@w%b$ME^u=^JW0a*p zf6w%bqn1x^QI4OUA>lpUuY1Py6%~5Z-9IW#e|hVhRmp)Gt0Qchl~m|`tKrrstui&X zSWWHaTg~|#Y9)SZp4CFZ6swhvqpTc<%UC_G>X=q}xoFzG)YsE&tgcR@f9{)>@O0(0 zA?(6wvD18}3A?SQ&AenV?Qz)9X+0`GENfraTJBt$ZuwRFfu-h$la`#6EtW^r1eV_) zhFYoz&9m(PW@@=_pQh!v$<mhEAKESC!U`?!cfYhST6@_dZrEOng(sF<m>b4he7x;t zF=6@)3-4#T7V~TsEe5^#Vt&}V%KY1dkLCtb?wIReJZj#jwZVMf&SY~1@j!F$BnR`P z5+n17Icnw;u8Nuet<p5rR+v3?XTjsCk1ftleX)D{)D!K*)R4ImQ@<Q_o|@ckHue2% zt*K&rWu}g(=`b5QsmQF2d}Vg^#bq-unZ0HuPRq@lx5Sy9_~>a?Av@hn#$lY98avo* z=<U8KUmD7%d>Hxnlua%-r&!R3revR6GbJ=DaZ1TI-ziRGY^GeAJ$?!&Zs?Rb8@`*a zK3ijY=jCV9@{+rzV!g*qH54|Q8fmAPT1^f#wYPOJb#yl}ofkOVbZ#`)bWUQ!WQV1h zlkJ2LC)<&yCOeQ@CeIV{CwnaonH-krI5{<X(&X)d>XUD{i%%{=urVdmoJnq@pG?{} z=<KA{zHO7-%Y~Dky$PFSeR1BT&s$6<ElM0YNz+AgQn^8^$sLJ2lVc@MO^)6=XL57H z4wJG#(qxQLgbDk@$)xM=DJCoTXqp&?N||)&wHfEN<QW%Se`fq8<-D=wl%2*0I!WV^ zS0jv{#W@?VA7f^`v_R8%%~mPnM>cIn>Row8M^8L6a&<p%H17KjBmFa^(R|kkqpRIc zMpO4sF>1EbG)ga)G^(ar4fRLl86Lg$)X>rOoZ;Bo?S^JFVMr;48}^-;XL!-n)Ns!W z4Z{a+5{7C;%@eOj=1g4E{CMJ?<TDeid$&$ZA_Nm>d<~g6mvEf8r`KfS*yIrtdz-{2 zDnvF;h%U^WAnEpSLi6*J6GoVBp0Mr2(h07LK@)swhY6Qz4JSCesZOxG^K*QPM&0-y zY})wT^1I_XHpj-3N7s*^^L@$q7+?SK#n)`cpOzUv{&leOc&nRV4P>RN3`~4K7+gDZ z(_mBgL4y~wRvUP4T4-RO?QO7AV}^ls*f;~{(}N5i)b;3Z)GyV49P>us>+}_U*NQ#* zS2ULCr+UZepWNi8Z~5F@-?B$b|Ad~5zQC_t@9w&My{OyI^p;ng)00)$p(k!e=q(Hk z({o%oS8w;3NqRo-N9b*86w@=4Yt)^upQ+ny|3LR!$O+w5#75oKJCb#|=N9PpKeE+b zm_A-NxKdg7Q|H%lkHo6RO&s!J+(?a^<F<}FIBu2Es&PNe62^VC@*2m^vKqJEPG_9D zgZ#KD4qapO?TW@q*}NS4bo#}ylIFX{<{49CE%YMB>S;QSJ*#9o_O67+SpQz$*sZmV zIzBm>IyYZD&^dkOgpSevjXJI?lXaxx7wGu9+v?bvjn{dvrmWNS^~;!xMHORY9=;zV zvH$v*qpADH6uYk&bANo?m}%l3V}c4T#*DkBJ!a=>nK5U4+ee2Q<d1&&<>~0p@6L{1 zy=U9#>Ttp6cEga-=lf=lR(xhOdfYnI(GAW&wL_F@wbx{S(hlBpTf5Qcu=aS>HQL(Q z3$;IN_trLYp04dEHCB7%Q+e(4{4TAIiA7r76)&`I?Y^Kj*>R^<;uk_I{(P90uHRg( zlaiCPs;;YP)dq64E=$#qvb>r$YNOBHQ76A28MXTO+EGRhiKC9!%^y{^e#WQ<{c)q7 zzEv0%5!J1kBUY@bdibTLzWGH>`ShKd&tpi<xj(}+&+MA3nXflVv+RMI<`ZYG=F*b7 zk>ZQeMlSqzXXLf@M@FWptR4B`=%SIQ4d#ywx-orZx8>N83D4w5zH;c&;QU>vG0NqI zhIZO{4H@?x8aZh~jg79M8oD3nXk2tO)=+<?s<CwDPxbqEYt);JKdH-~y`?@v^N_mg z&Q<CXQVHtSLQnPEjh5<Uz-V>T4>IbNmhB_fp3NIETIK18+sn?3uxZ>f;;mQe2**c( zBVOy+kC?e}!id{#$|ELte^onvw?a)-{k_^&>YAEN@m{qxR?F0+4n(VM>2pz2_cl|z za&@HIJUI!qwuq+TM;~Pl_g8r^Tr1)D@XlxJhi462GW<oH@9-CoW)9CBtUJ6bWYBQ^ zYu&1gImN0UU0$lrICw$zb=?ltC{sdpBpa&Q@^+4DzM`>esh_H<*s&kOX4O^?J2K(p zF!d!jhuyh(U|34;ieaAU)83nKA4c3aANI0;)G$+XsbPOFZBbcwJzFKQ<)I3ze^TXM z_(qjchmusTW&5ctR+yy{Xs4$_5QA0TT<IBVUsXJ`OZC;z+_@Krwop5VT3#lGJ}e0x znli|7XykO`p<Cirhqmwip}gf)wQ_X(2W490rgECY0p+m8E0ibii&eIJ=BB)-ZmRNx z!K0KVrbsGl`!_4CWU`dTAAg{v{_41rN9}s04Cy6G2laiGt~<_DQjQ&`^lYty(xX#d zic&8M6_1xbSKR#doZ`D-+ZFvx1d3Mkf)$e^927b9M8&FILlreIejRfCdBu>!dGCf) zH(wpHR&4K(HN)5;2!k=?fR*!*n=Yn9CWfdFky;`)#CCc8;PP#0gR73*8SHfV@L;uv zs|UNhOBmdc?K!xm+;VVWv-V)KKIy@vSlgfp^0|ZNsXQK(H}ce=?6I2$*-T6xq;2Xy zD9LixATw*dL5cQ*2WiahQLuI?Rw#0RsnF_mL4owyp|HYFsK8qgqQD85tw07CDkKM} zC^RhiCSUGfDevd^Uf#p!n*3+4z4D*kS$R*FDEYv-&hjnxrt(s=)aB1i6O+%FQZKjG zC{6B--W|D}+K1(u)mF=8D<;S}%XrFpb1dardbH%!nx*7&D_Ugr^Ri_XKR%S*^6Z4{ z@mm{YUC%9%-F47cHhJqz+2-ZrWO*qHvbQ3;WF&nGWx8iSmsw?gPUeQ*Hknn!`6#zY zkj&s-JDK;@<7FmfDalNJ-Y;EorA*rL&>LyX4VR^>Q~#2-3a6xJxQ0u&Sk9Gp8fPNy zhtk6h`}RX>bxpO@sk9GLg8Mh5(C<kptIf-$3{zvIa)Vr@%x9ZPc^Hk98mB5Qb@NAq z<d5ofNr{hlCEwjRBI&e$jpWv43nh0%c}e;>O_MA!87--=DkEwBtyMz4JV)Zpt49(t z=TAzQZrLcImy{$?<LxJrXkjh!QbSjw_NRhGUPYJqo>zt9x@Vt@uiJ1={6WGt@n<f4 z@%_d@;*Lu8;vYN5i|b`8i7&X_&x_tu#&Z_D;VJlC=AE<ni#K`%#S?r9<6SIp<UPA@ z%)7N;mA8rbA!g@aEmm&vK`d15hS;0FePYUa%fx2ii53gn?IISvbc&ddmxh?hWS&^B zVgvU=TN>B-^Br#SrNi8yO{=+SaS2>gM^EksT}y7Zgf@3*l@!<Uc?)Oh(QM8><{{@+ zzzNRZmK!)l!<TUCdwe(@Su;3&*T-^xY?J@Z|Hqtv%=5?Ge$3~`9DdB($6S5P&&Qm6 z%)`grd(5}T9DB^G$6R{MpU0ee%#+95c+7{#9C*xo$6R;JZ^xW=%wxygb<9`C9Cge~ z$6R#GKgXPN%rnQ_a?B^k9CFMX$6RsD567Hv%mc^VZ_M|`9B<6)#$0a9-^QG6%+to) zY|O{T9Bj<H#$0R6ug08e%%jHKY0Q_#9BIsp#$0I3f4(K+JY$|S<~CzKGv+X3-ZJJY zV}3H`Bx4>j<{o3dG3FR!UNPnpWBxGa3}c=!<_2RvFy;Vb-Y@3*Vty~?^kN<_=I&y? zF6QWBUM}Y1V*V}W++v<B=GJ09E#}Z--Yn+IVty><#9|&S=DuRSE9SUjUMuFZV*V=T ztYV%j=B8pkD(0YK-YMpqVty&+lwuw!=8j^%DCUS_UMS{*V*V%Qd}5v_=5}H}C+2Ws z-X`X1Vtyv(WMUpB=3ZjHCFWRSUM1#IV*VuNOk$oS=0;*ZB<4V3-XrEZVtym$G-4hj z<}PBsBIYP!ULxiqV*VlK9Acg!<`!Z;A?6Tb-XP`*Vtydz1Y#Z_=Kf*6ALjUBULWT2 zVg4TG>|vfB=H_8O9_HX--W}%JVSXLv)L|YS=FVZh9OlSjUL5AaVg4KDykVXj=C)xz z8|JWK-WukrVSXCsq+uQ!=AL1`8RnQ_UK!?+Vg4BAjA5P_=7wQD80LUs-WTS2VSX3p zbYUJB=5ArW7UpPSUKZwJVg427Tw$IS=2l@o73NT3-W29aVSW_mL}4Bj=00J*6XrN! zUK8drVg3^4EMcA!<|bi266PRb-Vx>+VSdqXPLYU5gt<eQFN8Tlm=}b(K$!o7IX{@^ zgSkDJ&x1KUn74zuI+&k>IXRezgSj`DZ-Y5Dm{)_jG?+hwIWw3igSj!74}&={nD>IY zE|}kfIW3sSg1IZ0uYx%$n3saND42hOIVYHBg1IG_Pl7olm^XsCBA6e7IU$$_g1H}< z?}0fUnAd^19GJg>IUAU#fw>u&kAXQDn0JA>7MNdwITe^kfw>cyFM&A{m=}S$5Sagf zIS-iUfVmBr&wx1$n74qr3YedOISH7DfVl^lZ-6-lm{)+g1eia7IRls{fVlyf4}duU z*zb>h{n)>cefrpskA3&pUyptC*e{QL@!0>4eeT%Lj(zLcpN@U#*l&(~<=8)ted5>; zj(y+Q-;I6T*sqO!+1P)Leb(4djeXPDAB}y`*zb&e&Dg(;eahI6jD5%0UyOak*e{HI z!Px(ceZJVwi+#J;pNoCC*l&w{wb(z4eX`gOi+!)y-->;#*sqFxsn~yteWut?ihZNl zABugT*zbvbo!GyLeVW*hiG7#YUx|H`*e{8Fk=Xx;eU8}Ah<%ILpNM^k*l&n^h1frc zeS+8zh<$(9--msC*sq6udDwr4eRkMShkbL{ABTN#*zbmYZP>qteQMZ`hJ9z)Uxs~T z*e`~CVc7qLeO}nlg?(GtpM`x`*l&e>RoFj;eNxyDg?&%h--LZk*sp|rN!WjceMZ<% zgndKUAB25C*zbdVJ=nj4eLC2WgMByHUxR%#*e`>9G1&iteJ<F~f_*F4pMrfT*l&V; zCD=cLeInQof_)#@-+_G`*sp<o8Q6b;eHPeHfqfI$AAx-k*zbUS4Zr;hBA){GBVgYF z_7`9u0rm@EUjXF$gPeYlw-0jjLH<3+u?KndAXgsb!-Jf6kk<}!*Fk<d$Uz5r<{+0G z<couxaFF*6a=StPHptNidDtM=8st-hoN1634RW7Bely5n26@UL7a8OmgPdZJHw<!v zLH;ku@dbIjAXgXU<AR)9kXH+GXF+}}$bkiUt{|5c<g0?5RFHQHa!Wz}D98~7d7vQI z6XbJ(oK29I334w%ekI7E1bLDm7ZT(<f}BQ>w+M0*LH;4gF$8&pAXgCN1A?4Ckk<!t z_dtFg$iV}7b|9Ay<jaAaIFR>dAh!+5UjsR6AP)`Xnt^;WkTVAI!a(j9$nOF<Tp&*i z<YIw*E09wK@}@v;6v%%9IZhyt3FIn)d?b)_1oDbN?hwci0y#h+&j;l4fP5X0lLPW@ zKyD4lp8+{CAP)xQx`2EZkh22vQb6tr$S(moBp^=&<br^F50KLV@-{$j2FSkvITj#~ z0^~}7d<c;90P-3@?gGe9067RC&j92SfP4Xv69BmX!1f1zKQQ`%!w;-|;OPT1AGr9y zz6ZWNFzkU-4=j4%%>z>&xbeV-2mU)S-htx|tajkB19Kg?>cCD1J~}YafpZQlbKsQ& zlN`9?z!nF7I55J20}iZj;CTbH8@SxS-UhxlFtmY_4J>TnT?5k^xYfX>2L3cKrhy|3 ztZ3jt1M?ZU&cJR4J~J?wfwK%OW#A<P6B)S2z%~YcF))gOLkz58;0XgW7`VW|{sq1- zFnod23oKsX?E+I5xVgZ_1^z8CZh>P9tXkmF0&^C)vcQf7J}fX`f%6J1SKze*lNGqD zz*Yr*Dlk%kg9@xu;F$um6u6|o9tFNAFhqe93M^3IeFD=HxShb}1pX#4Hi4rFtW4lx z0`n5MmcXtAJ|!?Hfinp#N#I2S6B4+Oz;*<FBQP3)!w9TJ;3)z#5x9uJJ_NoYFbsiH z2rNS24FXdTxPib11pXf|{($2LtUloJ0do(ydce*DJ{~aefO7{dJK)s;lMc9Zz?K7k z95CX50|%@(;JE>_4Y+K;UIV@wFw}sP1}rq-odMGfxMjd51O6B=#(*ORtT5n#0rLyE zUcl}GJ{K^!fU^ZGE#PGV6AQRkz_tQ@6)>uRLj|lU;7I{93b;_fegeJ|Fr0wX1S}@t zEdf&rxJkf90{#&&j(}qXtRmnM0dokrLck6JJ`gZ~fb#<^AK>)>lLxpvz}5kN4lr_n zg9EG^;MoAP2Dmi9o&mlLFl2xe11uQey#Uh%xGlhD0saawR)C`dtQ6p(0P_U6CcrKM zJ_#^LfHMLt5#WUY69l*)!1e%s2QWH-!vU-f;AsFe1GpH#z5u=jFf4#m0W1pOO#o8@ zxDmjH0R96o9)RNjtOnpQ0CNGj3Ipr}#76)I0&ot1WdOVaU=jd#0N4V+4**60Z~(yT z4}N~|?1L{Ky!YU*2M;~?<iQIMes}P+gKr(Y>EJ&Hk2(0r!7C1aaPWMCuN%DE;LipR zHu$W;OAUT$@I-^}8NAKlUj~md_>jSC41Qwp41+HiyuaY@1rIOybis=Yep~R=f^QbQ zvEY9Nk1P0C!K(^>RPdaFuN1ta;12~4DEK_V%L#r>@MMDT61<h*p9GI2_#naS2!2NJ zEP^i)yocZ~1P>wj1i=f4^Z(*FKaS_)xIK=~<2XEyx8t}vj-TT=IgW?pxHpb(<2W{s zSL3)ejz8l#Gma<YxG|0o<2W#m_u{xNj^E-qEsn?HxGRpY;y5afm*Ti6j(_4fCyr<0 zxFwEH;y5IZH{!S=jvwMUA&v*)xF3%1;W!?S*WtJvj=$kJ8;+;pxEYR*;W!wMcj34e zj$h$86^=*YxD$>q;W!eG7vZ=Nj{o2|502;HxDAfa;5ZD9x8S%6j-TK-366*0xCf4J z;5Y`3SKzn=jz8cy1CA%)xB-q2;5Yyt@8fYj9>3#pIv$VXaW@`c<8d?|FXM4B9{=KT zE*{U~aVs95;&CV*Z{l$!9zWu7A|4OoaUUMv;c*-uui<eS9)IC+79LOGaT6XN;c*Zi z@8EF_9>3sm3LcN(aR(k>;Bf>VFW_+jJnw^Ndhpy1p3TAYH+aSd&(Yvn89WbzXI}7J z3!YuU^C@@+1<#q_SrR-if@ebT+y|cR!1Eh;Mgz}b;8_biPl0D9@LU9*eZcb#c!mMb zDd1TIJa2$!3h>+jo((|!A7cCv$A?%w#N#364smseokM&aV&D+xhFCVlt05*0ac77v zL;M(G#1IFDSTDqLA!ZA4S%|$td=+A-z-@<ED8xG<rU`LNh)qKL5n_xGM}$}*!~-Gb z2XQ@!-9dZ~VsH>=gIF5G%OEBOaW9B%LHr70R1k-PSQEsPAZ7${A&C7zd<SAU5T}7y z48&U?rUG#jh>bw}17aKy$ADM`#3LZ)0C5F~9YA~lVgNAChp{}2*I`T!<8ByR!}uA- z$S@9uu`Y~fVay8SQW$%}_!7pDFiwQA;CKlRM+_3cbHusfTn>kO6wS(@ng32=T*+Oi zjdzcSRxp@`X2rPD_t2_hViH}b;Ppe3nHT&OlX{G{z`F)ZU8A5yT;ek-cr##SH^D%Q z)J4=sOj=?M)TE{6p+a0-B6d*<>Mb=NmWfMEfGu#P6JRxIaj}6$@l<G(7N3F&2`<l_ z+kw`Uv_yY6l0Q%zD;a|RGLp;CO0?uLG*3xV=r1W*g4QQEQqRx=o*(xyT0v?Y%*SvS zpm}NW9|M0K(O;P%yk4pGsJpBy`ors&f=0119NA9j|3%7ppeiQoj<(DDDys5i&%x4f zQnGNIW5i{fP%qwhsUZVZY1t33^oM9u^0Mi$<)5PJAdXZR^yWwpL(MW8JifFl+O}*l ztRs|ODB3+LI6OjB6_=d~n;@ls9gVc?EI1lURF#qShjnRDXL;EgSjtHMI=CUSvtV6T zR2?ci3_33x*s0i<;pk$(*-Pob{+3Js1;;d;*CD+hZ9~q5!|Rg97vw$k=oVGQWHn$j zJ<@O`;R*~~kY3SFq-E=1X`iSnFRKab_77}SY|M~fhww#o2t&~!j6{2r8U}~`Razev z^4vXW1Ken>ftMVu%sB{u(8Rrg7ASafxG`EVHvu&b5=U!rW3}LreWA`mzc?+ptUJ-H zf(wTmuLY;^3288#2RC7$F1DRB7|w&cP;1~kwu=wB4%Nk4aHoAiQj~*jCu_lbL5s)f z<8qGd6z6fuMCCG3iF%@TML27&0C)Z-TG%EkpW@B~rIHO=NdmnmmARf=V`Z*8uaoPc z%ymPByRsO~;hMmWdKB$`D7=vG(56)mpjCLBp>VAExc-wf><t{Fa|3FT7&t^#=seJi zBhKLrhqZq4IK!5pR_-=M)P%aBGf-{C-E@C<8_ZG6fvxT2TKwvV&QNt2njP4j>+gOu zIf`BAsJOc&LVxu``%s;M`=z3pfrDi^id$fF7gW~$>V~d~Y838v7|r}TIxa_XHXPk2 zm8-w{p=+kP8TWhryPp(CF%RvD>pm>+S3h)3Rd3;bEx-FAZip=O^B&GYR~Vfx2lXpP zi`BRjP=&Wrdf>+5j(~e+1l%Yi;EngsAGCrZckCc#Io=@kLEe&zTo3LLNpz>{aOC7> zphmQfVI1BdOSx%sj>_ocOw=xob|%i1lSAziT+}Y9hdOB}z|H-uw*>T-9*njEGcwA5 z^p#ck-NhUoyd0`Z!*(2%p(=04ohd)CLkV4ZM`cB>64zRCkBvO+MM2_sS21*ugHRQY z46O;(!GBcIhK88_YpLQNRkT!T{R%ERa}%^x<qa#i95LDLHmG?hm+PnuyHe5SI4T>- zaX7<7>!I@yLmgDdp?36Y4lG8OT<zb7YbB2^uEanWbeYk091uNFqE`i#v^<pXE=Tjj zQSo~+MRQz^8x(Vp#5tW@w<9nIozVjH_xA+f4P1^p6tj>dpzoL=FpF1p|6^5m)c$+2 zLUUY>2NeITI`q#~J^onL<M(=KX2!s(o>1W3c>dAX^UuDMP(_Smd<079%;k9DbAe{u zRb!wV)ZnuGn&5o@oc^p^b78afXt5ag>mUUV7fq;w#5FWD@=6L_lpK|qw9sMcqVSa1 z#6`)RaLa(jNr}-(p$oO5ViO{hwe$q$X8Hlqi<WAoBqk;#YlS8))QVgf78wx{84+M= zI8|$svB{(*rU7BGivrLl6Jo=RqQk?DP`8PT5*I~Ij0_EnHA4LiCmW%~zyJMvdF-O_ zgryOYoZpKbMGYL(FE}DR#WXl}QEW=^lF259;c)cHDG}&*f?*6gxq&VVLsMc<4ZVla zs|$A#hu8d+!{rSz<jGj^_*y~ZM)L%Qdc2`4bk^`9tWdl5L~Wj@7SB+Nm!xIH3pV79 z)*5HT^D*QZXz>WtvcQlxPD^YEx?)jLDNd1#Y=o0I;fcw?QK8`}iAl-slTCunO)MsH z7JH!O1ILhrX3$|Kr-UvFkAy?z%<^y!cAn)mC)m^5*4EzBlar9RC|Yaa&(cN7vC)en zBedY>4xD5-teq4Z5i5Xm{bM7Z!L|+#UVp3#Ylv13j|okJi}t@SB)kCsbKzXV&`AvJ z9=7qHvzcUUYR*|~6Pw}@nd%i9mJrEVih7xt1*dQ#5|={V)-u4>+0)VU*WX~z$dsjv zMJ;xoZoy8mVF8|rOOwJQ17_RWa$?a5IV6NedvF$e@na(*5+ZFpB1026s2N>O&c80e zY!`1WTdje+WZ-@>nPfawOD`!hAu=>MQeSHUx{yZrrkiLQJau9ix^u&0w2~rIW0TQ+ zt7T$gI@x$i;IEVW*QS$W5|dK2{?!yVaE=ZGFXTV3k(a%vSFpn@M<;I&dyY?JY;;V@ zKd-W9um`*dDZfs{EhXvC8}pABD>;^kOc5@Q{B<Cr>-KAZkx9vszplr>>;D{qlfBDq zbhFrdc({6Sq7o8AQ~p`z>F6@s+i8}^e_u6|N#_4_a+&4g=;FXZFWclv=w<tT(gU~2 zAEyK>{Zak(%8Op4SpzT9ud4=k$?to3md9*wXL}bf(eW*|MK2_05&G~5O+Y8jLH9e_ zCERtQ_rb5X>%dJka5Vq9-KLmK8n~RciIGtr&WRDRQL&Lpoc|sv^!j}So{s+Z!Oo7J z=q+VC=g${Fv@3j{p-+d<l%>f7yEYdcl&A?_K-lB&9sar-2hMr1ZDO+Mroi_uhlB29 zOD#RPKfMM%{?MnT7CIm;d(lUrmRnL{cw}-i`bg0K|8WD)g72tM_|W8V;a9zcExLUp z1rwc3Ig$!e&N5=Ml1k!3xhh;$-f*rOcLZ0Rr@_?}8_m^$&vo>53h}(3=nI+}B(K2v z^9vKc7G@2SU&UK3wuL)u&~T1nvT$KaXc#I}l75vjcn<9`g<}{Ini9$}3`<Vt7^1hL zAv7BP&&LW{miVh9^ofWJTN;f%zoHV+>I3tkVPWW9`fCfnS`re&|Ez|hw{mo(Xdl0N z{BKJ_>>~8-@M|eLNRDB6;=+ZI==L;3FNR@gN=i~}*wU1LZzT$SiAAE5{(qd*Q9rnb z=%>-Z1V1ePoCdyCP=huS^c(oDLFIq@!7`Y1k))CSZ~fp^{_lSO{0hfk^f086LBbO? zRE%SdD)1UZ9}w*C*RKco+W$4BLGc40)jvNRyioH$tsG7o>SKg{qTvZIgCA0V?)M?u z?{IWduwN-8yx$NskJmx7XlNnE@%y6z&nEq+pD+5p9*Y<Lv1}2V|8u`t=;ydK>IeHp zzbt?6cljUdpjkBH7vpHbjA+7}rcwRR?G05E;|xJ<uswL+;Pcpp=J7gcmU9Sg5BlK= zFWZJ1{@k9<AKOFYfZy9Yjpp$>u#HP-dv<>`;MwD7*`M1pMcaed6OIq|kB{#<num3a zkzi_<661WsHyNtKGSDMYiTgF9A?p&<h9}(bHEKYsFUIXb@?xB6xPnUP2U7tOo`?ED z)DP}SJi+n7l!Nr=d072%T~yFAd>)Eu{*S}vyhYoqMQwP(`+^eR%dqUJq8JAa137AF zek2lX53UdF^Uv*FRTks?joR>pw}<Pv{Igx0)BY2;L&^Ks8AJWgBZ2y#dxW|;2hQ=I zNqpe-TlTvFU!`9SJoIS;ukSyTBnLi+eob7ocS+9pfeJdxWpMo^IWwWY4ArfF)m@?f z6xFqU)x)7)hU(~|K!13@4O}pEGeLbd)KS0!E*#Y1e&?X^H=G*O|D1RO@9=+Gc>j83 z;e6qp_|L=}cqjc?hj+q16YpQ|Bv@bR_x=5=*T1hG?>|?Q$3cV1KPD9P;G98qbo2wm zJ|%Qg_x@N8_r?GIP(VWnofM8v#Gk)`27b%`=O@ZQ+kby2{in~sZ>K-{zz>%Hbb+Qn zdccpb|MY;S|NUb9-``RLBT5LGEkmazhF;HAqH>+6+$1WuiAs2Fq2FFn39qggx`gm~ zCx&i3cn?AeR~<@tPl*lVaK=KZ`YVhE?Ixn-FqDP5y{MckDt$y{fT)ZWmGBu3{Yg=| zLR797m3u_xVNrQXR9+O7H$>%qQTbL>eiD^gq7puvU_WJ|vPM)kiONn<32$!brv<k= zDvd<t3{mMUDg#7iyr?8Z<pxoCL{wfDm5)T_2T@rlDjP&)zo?W(?=je~rl_<Qm9C;P zNK`Hql@PUt{u@Ol#Im7&SybMKQi8*IEGnNvIh4c67L^51qW;yQdV{FmEvoa-#~1XM zh7$Et6_pSahq{SqxtXYL3njXr=7~yAQMo`=hC_+gTP&&zMCCG3xlUAWgAyHg0hFkJ zji{ZAK9iuX043Uwo~S-WRCg2A14VVdsJ=>6KO(AM6xH8|>RF<CtEm1>RF^`>0Q-Xp zjt4allmqV%REN(GXooIPYKY1SqS9DYP8F5#y$Sv7MWv&t^c9r@?+>&*TvU%1mGBu2 z>!pgyWukJGsN5+k2i`BJ-@y9?mB&Txmqg_?QTaerJ`t4z?-$fRLsZWfmBpeGzIS2& zjiU04sQe)+CE@->%Lg7Epi)s(R}qy1pDRlLTrafRK!1Eadqj0~t8v6e{Bu2xOwFch z{V|zLHk&kcim8dQsg{=UK>M%1CdS5QW@cJiQzuO_LyOQ9BFox4IsA%d!QS9IKyToC z;8%^qxi|<N92!8G4m9X-MsQR)#<x~p<>)->8}7yp4euN*9@#m{PCVpMzlOC<=#6d; zy9!az74y+t;+Qn_H&{9Crw&((vrXDT(P4xGXUxyuA3sB`^R5~{S~k8tUEXO`h`iIw z5ROh$tsI({8<?-Dm30#D=0vUvd4-mg)=HrzQUgo!YdPQ0!N5g@X&F)*(o>`|^m~~F zeL*zlE~FNuTBLHMLZob@Pe^Z(o+CX#x`lKF=^WB=q=QJik+veOM_P$QBc&oOMv6lU zM+!vpL2^f$i)4p118FLf3DS6^u}GRo!;zGb<d7tO{$PLp_`&ugbs#k%)gYB3<s)Sx zeMEYV^c3kn(ha0bNN13aA?^S1o!y1B1!*19awHOIDbgaO7^E<y03>fDS0u+D-&h+Y zD<m@{V<df~F-Rkkh9M0>l0_2#@s<7l{VUsx)Q;4ERE1Q6l!ug#^f%J0?_b!*NOzI0 zBV9x~g>(dIAJWe6{p=>BHAu^lgh<Iq3z4FcLcaI0{zzU(&PcP7W+6>OGDR}{-plGC zX(Op4sUQtTl138y-ot+T*28uqwIbCcRU#FA>t=J1(vaREy+C?|bO-6`w=VWP(n+Ml zNPCdBBW?WF$*w|TkOW9cNb%n~*hr*cBwr*CBqt<?Z|&?%Bnza;NE498eQRU2kVYUW zBPk$BeQRa8NMF9TvRz0mNVQ*E*m9&oq->;5NN>M3v(J$pAl*W`f^_a{6MG!#AkuE6 ztw`&?HnJ;`Xrxr6#a|oPIHU-qKqMa|_pkNrTqHZB8A#?|>sS+{@knElG{4re!;zGb z<dG!4*04Xn)Uf?Xok&ezs@WQ(GNgQ@%r8~!N2E7MPm%6_sbp^=T|zp8bnHt7djM${ z(iWt3U&`6#NF>tIFJ){ZQVdcUQoxr|c0Q6Tk|UDsmlD<r$qdQ(OEGJJGzMuTlIoWt zRuM@SN&HJ8`=h^*?L}(uFJK#ys*y^N^7`}H45Yu2UiIg(Pmt~*UGL9jFCv{rI@+JZ z?nBy%v>9nle>S@ei9kx}&texMMI(jwXR-^BypUY_GuSyuvyi6sr?XR#jF9yD)7a5S z8c4(XKeL08WRQ6MpV)7GpV%Ixw!V*S15zbYao-0v7b&gpZ}vUXOQgqr@7X&@*N`ss zy<<-y9Y)&Q_m<s(w6X6EyBdi_6861jlaLaSqWWI3AxM5mo_#M_XC#Nd7pygsC6a01 zb9N$<Zr?Lj8%Z5$Xx~$I5Rx>KSl<)&Ywr`byZ15Mid2VG(ff!kLdxlV$bLq8hxDTN z0s9E)cJF=mD$@Dhd+Z6MLr8mi@3PyGHuT<MS0OP-g5KNg5~TRvTWlm!Q14CF7s&(3 zsrLqJk2JIQI%|P6x%V170cjkPR_|4I1d?*^6;=UBs`oO>LHg2jneFPi#I_*S_FQDk zkqUb*u-QnTdd{<Nk)HRQV;>^jLb}p(mOY1byypyi5NUVMX?7dZ`kqtlN+i1HB+Exy z+;f7BLyABO>N(E(Ai4J(W9K2+^&DkqAer|ZVJ9Jt?>WqlMH<y}h*d*U>N&{DBT4og zU^z(r-TT>2q~`8@Y%Nk*_g=ODDXV)A`w{6)_ipwX(u3~5*qca~yLYi?k&buoWDg+y z)xCq=inP9aJG%mj>fXktA}#LT%ElsvcW+?>k>+=AX5EnHc5h<sx;L`Zk*0QUU`>$5 zcduu4kTkp3vBQy+y4SLDND|#^*q>c%*#557YzI<P*DAKAYb9HTl;5?2%|!axg(&w( zPrH_}_mOUPvFxQThCPFHtczw3Anod+*eyuwx=3~f64^ztOS^<@B2sLZfDJ<m=;E{U zk=(jcS;wxWtS!>?t`v4El5tluYtWU%>L6)$En!uW6uTC)a!3+giR_QgMQk5ZN9RJe z38}g>fi3NfXY-LVI^)<6NUu9%*{7W`>^-C#ozd(iq%)mS?9t9hc0bat&Ioo3(%Q~& zc6nzQOCl}p3}qK}hOjY6VV%Kj0FrlS5bN3*$T}j~b_TFkoeNkqB;!th)&OZtryo1A z)0b66Qtb3$Wjp7y;z&O_yxBga_6{$$vBQ(CMk?*_VDmcM*$ku)9d7LF4p;UG(!CBB z_C|*@dkN`uhZB3WV;;L7X;;Twc5{a#yB2AA#~haIn9ZgjE$VP!V>;~FFr)<?cC2@Y zE$fQp*kQxkbj)I{kjy%)S>ukGtUl71jv4I8j_Ir_(vS`-R<>grD~|M|-IDEXw_w|m z8r#j;>h`H@NxK=Fhm_Gih5gWO%DzT=(mt8J*FK58fpn?eggxDE%pPqwV)rBMYByvz zw@+l(A}w#9z>@9b*_3tzb`ercyFMG%uE#Dw@^05<UE9a8j_qSv8zifC9oDRU3~P*} z-#(fh)2_{qY}aB{k%qL7VrAPkS@HIf?2opQY+suO+uo+mHnxpmtC33E)Y!bX;cP~m zD*FNHb=xrZNt+6LuWcxM1L;zmGJCpBi9On;$nHnl)i#9P+%}k9+ct<@jzqR8uuI$I z*+p$~Yz$IZn=Bj9Cc}ESNwcm<j%`w`ZJQ)()h5B3wTZLFNCs^@c1)WXJF<<-sv;@2 zaag&wpNx3h59UYfccu@iqxBop*!q>JZvDcPw)QjmNExkt%!k%q=5=ci^R%^_xrcP4 zwTrpb+R2=0?O=|zwln*YcD1%KTUuM0b*(MT^44aCL|WR~#3Z&hGBK?UOjv6@6M!_o zwT^LXtz{frYZ%+sYG!(C6*CpdxV4foXsuv$TFV*D)-q;zYbm3MB-dKPNVFC+KU<2J zzLr9!qosgpLaJ%WXG&Z0nEaMpCbK1n`Ph=pyg_=}lEvI_$z*P}WH6Uo(wQ?YY0R;f z&&&a&zgj*qTU$Od>smfAD_Z_$sFwFkYRfw&5h=FiEfe1Ih6!wW&G@vuV%%C@GILvA zFm^~YTAnjgTb?l{El-*8El-%SEsvQ|EsvPtEe{!`mIsVHl4Q$$=4bOgroZ_v)7gB7 zX>PvF)HdH@%9?L71xQ)VH<(Y&*O@oX*O+I`SD6RRSD0JPmzgWgmzcB77n$SD7np-c zyPMB5Tbs`@>zmIqE1S<Sbn|J3-+YQ$+<cOWYd*n5G#_VznvXHQNbb!?nR(4e82jeK z%*^IPjCu1xW>WJ3W<v9RW?b_=Myq)*qt?8KQEuMNC^Y}YNHy<bxJX}`b~0T}JD8TH z?Mz+MHm1C3D^u9Cg~@K(%zSRz#Jp?T$UJY_z&vbP&)jZW$6Re%%bago!<=YZ%^Yf4 z#q2@a-n5e0(6oYC)wG;pnwBwwCYDKRVwm_Qnu%<pnBXRo@oOR&&n6+`)FfaWn)r-$ zQz~QGw3IPzN?|58B{RBBNsM;W5=OmgF{9Fy$P8*)#7H+SWW<^hm~V~oOm|}()7luz z)HlX3m5tF%abpyd(-_I5HAXP+8^f8GjbY5=#!%)?V+eDtF_^i~7{r`v3}lWp1~7XY z7ce^-{h3XTe$1LiUuIdO4<l@x&m=c`GYcENnCM1NCbZFm@o#izyc*pYmqu4+PNNHB z)9B1hYjk3!G|pp;8s{?ljgHKi#yN~e<7{SFqXRRf(VmfQv}42@ZJF;4HcW5BET+A| znrUp9$y7JYU`iUMGkFbGOh&^r=0k%e^SZ%;dD39c+-sQ1+-R7>Txu|7&NNJBjy6nU z_BWU?yBds{Ee%G@x&}jLdBa48Y?#0-Z5YoaHW)Cm4f;%YgB}ympv%l}7{|CZjAiCF z=rDE-qnYUq+RW4jEyko_6f?d-lNsAElF@9?V1_rSGfE9382JV@MzUcz^Rr%+>8~Hg zbk+}Ln(LLB+Il6Xyk3zhs2{>))emMq)emCc)+;d2>*bjT^>WOudRgX5y)<*KUWz$U zFUcINmtc0+i!<BmdCZ1-F=kagmtpET48Q&-y`=s-9bf;Aj;#Mm2iJe0ee3&akNQ5^ zslJzXsPCbz>$_>o`c8UseFr_UzMa;sZ=<#ATWR(B7J6uXGd-xjiI%Q!pvCIz>2Gy) zbWdF^-C9>e*Vk3km338gaa{$STUSn})s@lj>q_aDbtUxUx?=inT@ih~u7JK!mrtLn z%cGCf<<k4=a_F6P+4QEmEP72{2ED8<ohIti=#;w8^rE^?badTEI<)Qsy`b(r?Oped zcCC9$JJ!9SZR%drR&}pvv$_|waouy;pzawxrtT>{vhE43TK9-ntb0hy)jgmk>h9A& zYVXl~wRh=`+S_zf?Jc^d_9k6cdxOrey-sJ=UZp?QUZLOAUZ$VbUZNk=UZii<UZ5}6 zo}<s!o~4i1o}mxco~C!#o}#zbo}ky)9;a8<9;4~nqcp$v2)(5C5FJ;0kdCN5KnK<C zr+sVp(jK*YXs6oUw0-Sg^vv2_v_<U>dUEY{dSdN1TDNv9tyQ~)9#OlA9$LGR9#p%5 zmabh-i`A~Bzt*gwyK7d{tu?FY`kEDVWzBNBxMmrhQ^V3}H4Oc}hN55Ako4mkg1%cL zq_5ZT=?gWf^r@Pq^pTnrdS6Wvy|ZQsy}4#Fy{0CSURJY^CTbGsl$v;YQB52jQxijn z)<n|_YNBZGnn>EUCY*Mx38QUmLh0!>A+%Xd5N%u&NE_4y&^k5#v}TPTJ-o)3R;=+s z*<QS9i5f5ZXSFBYU+qD6R=d&7)vk0+wF_NV?L-$;&!e-d=hC059qG5#v+3v64)lX+ zd-_(jEq$fhhCWw4i#}03lRi{EgWg>|o!(Y$MQ^CKq*qm2&`h;CEvPo5ld7lC@zthu zWc4IExY~sFt2U-RtBq*q>WTF1>It-U^?2H{TAwzp)}sxpb!olovGnL_9a^J$46RbF zO%JZtqGhT_(Y)%B^!F+ay0=Q5Zm&|K8>)uWRaL5VNtFtnS2dK*s8XgsR4LN0tA@}| zss_{dsubuORr2(uDmnU0l?;8XN}4`UB}MP5lAyO#iPP(<c=U=YE=^T&=+vs8)Z(h| zR9w|JD!l3|6<F0z`Be2$?o~b1ysB=>zN(9wS=B+ASG7}<s@kXtRV~!Gs%A>7s)-s= z)j$ocs;353)lyPbH59k1n)+H<Np)9NP_32aRDESBRasd=6;&2dIhBP}T4e$CzA}$` zS(!^cuFR(HR%TJxD>JDJmFd)}$~5Xo<!5SN<wt5~<p*kW<$G#v<vVJ5<y(rVd`+cP zzM>XYzMx_%pHpF#&!~XPC)E7P$CO*;L&~x80cBfxkD6Y2mzr96hcc<WMUAh#NsX<% zPK~O(Mh&mLN-0%drsOLxQIeGxC{E>h>Py90s;lA*)lzYqs;f9jl~<gg3M-CL*%e2r z&lQKMcNK@I7ZnGoM-}_2I~Dt=s}*~w^A)?PlNGzD!xcNJy%pQ39TnTCO%+?IH5Hqw zWfhw!VZ{b2xne!FuwpG0U9pA=tyo1Zs8~sPSFE61E0$4?6)a^_K~q*06lGRHP{tKP z%AkTz=~SdrniVP3@QP$gsbUEwSFxCqs8~e(EMG|VmnTr2<#AMVc`Q|19!-^(M^T04 z5mZ)rIQ6MKlzLkpLOm}Jq8^q9Qn$+&P*=<Ssq^K&)X8!m>TtO?wWr*R+FtHKZ7g@E zR+qa{Y`F_1EO(-k%jZ!G%N?oc@;OvUxdY{2ZcllY+fpv&Hq@MQYs#j4CS_GVoiZ!8 zqKwNeDT8tgYE1c5YGk<?rCM%EDV9&B<jPGbiE?A=XPF_@UpA5IEE`WXm+4crWqMRu z**L18Y%G;kHir6CHkx`{rbRt38$~@V8%f<R)1a=FjiAn#sZl4&RH;K{!>B!FL#gd$ zO4P<OMQU}~V2UjpL<!5}spK*_YGIiS6<sDxg_cQD{$&!BR~e6TDdSRe$~crw*$>jH z>^o^z_LVd)`$8I&^^rPdJ)~w?H>q0INh+3gkaA^hq(oUO`Lnc{>@RI3J4+kL=F&Q{ zwzQTkFRdmEORLE2(hBlZX&L#pw3K{aT1-AHEh2B17LZp<^U3q2x#Y>xZ1Qkv7P+@H zgWOS?PHrmwOs*;YNV26LNMY%FGP(2}xv=yN8D08{3@v?0E+~CYdY3*UT}z*kj-`)C z+tP>R^wRs})Y5yTap@h>p!620Q+kusEWJ(+FTF}Cm0ls`OD~a<r58y~>3Q-?$yu_i z<P6zTa*C`gIYCyG948A)j*{6WN6615hsbv&2gnyC`^iTod&xT`yUA-Me~}kTc9N$` zc92I(wvqcvwvanZHj|r6Hj-;g)|1Oh){#WX8ZxD16}hNnB^gt)oD3^r$$%1uoL@qb zZY2acw?s(VmGH?KC8?x&NeVfsB#E3*vV<I0l1Pp!SxBmtB#_D_ail^?3@KF-O>#>j z$*;xXWOs2G*;*Vz))xnnmBoQ%aq$8&x7d$NFZMy%o#vCTioM7u#UA9nVt4X-u`7A8 z*qJ<C>_i?do=fg8o<r^`o=t8kwkOvW+mb7aZAhxvnoKR8K`t(~BIAmukrBlfWDv?E z<y&k<dK8<IPQ{Z*hhh`by4Z-cD4s}8E*?)#EH)r@i}gtD;&G&U@mNx&cnmqXSeuk7 z9!2tsHOcQq8f0(L2(rCMjchDZC98{6$kHNZGQUWP%q$v0ek>Y9zA2I?pB2fG4~k^S zTSZdj<swP)Y>_y5yhw~ZSi~WB7yTr*6@4c*6n!OD74;KLQ6C{F>LHSfx`>3L4kD_k zod_vvCH#w;39q6?!lkHzm{U|o*c8<eRz+2WSy3fnTvSdN6qOP>Ma6_>Q4uk`sDMx^ z$|K~9atO(yY~p8OCedG*PIMN2CYlRB619aNi1NbsL}B4uBD?T4@wxC7@viU%@uKh< z@u=_#ai{PRajoznaiQ=&ajNhxais7zv9ItJv9s_7vAOUXv9|CEvApmSK^9&lmKL5T z5)01~v4y9J@WPWsVBrbEr|=lzUU-C<S9pl9FFZiZEZk366z(M^7w#q|7VaW+3wIFO zh1&`B!mWf#;bvlR;YLEHa6Q2*TuXc}SVQy{tRmVARuByZ%ZRE1hA1hZiM#@m$S4pJ z9}4)y>w=}k(}HB;enAp(vtTiCxnL1-wjhBxUJyqdEQld?7eo`=3L=RO1>wZ1f>44f z2qpvtfkaY40FhAOPec{?5+Mci3I75w!mGf8a4B#j<`lRRHU-XvRlz*MtiX{lE|^Ui z6xb6w1-67{fekUdz?x7hm_f)FSP_y1mISB3ocNM&Ms(#*AzJb$6Lt9}L`A+4QItQC z$jKj1q~+@q@AGwum-*v}$N4(M-TcwS^?WVjV!kGEI$wi0nm>Zrk1~br${$8-$yXuP z<tq~_@)Zdxe=w1nuRtu$mm}ixWr&D;DIzFeg7D23Cp_}S2&a4w;gJ7BXr2E}Xqo>- zXqw+AG|cZ2>g9I{N9T74HS*hps`;%##r$TWTz;caBEMevGp|<IpI0sH%&QbO=ama< z^Gb!~dBwuQyh34iUcT^iUY_t>UXJiZUY77tUWV{aUYhV)-Y4OOybr=tdGCcs^4<#f z<-Hc}%zG)^ocCO~Ht(r$dER3onfFk*H1B~hG4Gx*Ht&uwJnxn;Fz<%YC-0ijJ@1Ne zUfw04eclD(%)E0#i@Y<!$$6)Q6Z1|8b@Pr1weyY$)$<MsRq_r92j}e*%I576is$_$ z{E@p;*q6IQ*pa(U*p$0PSd+U+SeCm%SdhC;n3cOm_$hal@NMo2;q%;O!iTwx@OCaG zyqZf0&*ut+Cv#JUhjUYedvlY7J8~BbH{~u8uE|XhF3XJ*61g$Ll-wxcqTC2!Om3Jk zJU2ubm>VSY$qf*?=lToh<@yTkbLR_Z=6VS&ay^8TbKQg!b6teGxlTgu+_^&a+&My( zTnFLcTsxsmu8okFYc2eqGeg*$V<l|Qu@pAum<y|O%!Fk*row`pNy4lgW8tS9L*d(; z3Bu<&2EvCqdcxZ|<AhgpbcE+~Mhj2oXbBJJXbSh{Xb5-Ys0laas0!EQs0f$kC<}=k zMd8w%!NSBG1z~KCoG?5`Mi`hQCG^RW5W45^g!6K^Li?Pbf|)tr1r|A91(S371ru|6 z1-d!i0_~hmfqG86KqaR|FeIl*Ae+-55YMR-{K&2m^kr8GI<hMSP1$9Fn(PumS$2`2 zAiF@2m7OQ}l$|4Zo1G<io}D3hnEhFBC;Ow|TK3<93)$}kr?TG&j%2?Q?8|;3*qQxI zusQpQU~Tpz!Sd_}0y6uaU}^SkL1OkzL2UMQK}7adK~VN(fp7Ljfk*avfm8NbfkXCb zfpzvtfo1k_fob*;fnoL`fnN3j!RYLL0*&lF0@dul1d7=^1#;Qj1rpg?1wXSk3Hq}( z2s*RY37WIk2x_xd3Cgop2nw^739_>oL0T3ic%LN{yv*VY9%n5T+|5cBT+dn}xR{kF zIGwdna5O7kus<tCuq!J{ur(_}us$nHurez|KxYLB_*nshC0Txg_$(hmWR|xeILlMu zm*p<-%yJdDWH}4wWX%=WWX%y+WjP4Uvg`!LSvCTLENg*I)(nAW)-=KJEDM2B)>MH) z))awM)?@)U%S7-s(@@ZzIYH2xX&|W2)Du)@juRAT>IiZ(wFMcOqXZu^M+#nNstcZG zstN9AstRsq4i#L^R1%!c93nWLIY@9QQ(mwqQ&zA&Q%bNgQ$nyhlP6#^xdLJ4PkwUd zH~zxRFZ`IyK7Lqc4?iHYi$6cJo$r>}%AcFr%(u&I<j=^g=bLBN@F!<h@h4_h@O3lG z_}ZBzeD%yizDj03e{g0lUnVn~&&$l@|Hw$=_ho$IcVv9vH)Xu%*JQlqmu0--7i7HP zXJtI&f6jQqf0yx)|03f)|53(W{+*25{A(FE`4=*-@lR!3;UCSo#NVHBfxj!`EPqSJ zY5uy5ll&DK$N5yoQGRO1A^wt#1N``mef-FbJ^bK|U3|Zc9emG>ZG7j9E&SOT8~HXF z>-kn0Yx!mwtNF$mEBFQ(%lJAO3|}*Y;;Ur{`N|o5zCy-QzEnmspPR9m|22IPzdJpF z-<lrBZ%B{kSEWbtOVY#ndFdhijPxM>hx7pc>vVtqvveQ+gLH5Ht#nWRm2`LhxpWu) ziF7Caq4c@@z3H?0JJRj>o6>FhYtm=&m!;3(6X{m`lypmeV!Am$Hhl^|Jbf}hFx`aj zlWxd&PoKb_mu|p!NY~|Cr;p`ZrjOy9rfc&J(>3{e=^Feo=_B|f(^dJZ=_-81bY;F= z`VhWE`XK(#G<p7)G+BOEniRh!O@d#S#^YC{ari}PKT>nkzNMz8^{4)w)|>h&tvmHe zT1V==w6@fnX)URj(;8FHrq!n&PpeHmm{yg#JFOyhds<oQ#<b$p)oF#PY+8P*FfAuF zIV~%7QCdc7Oxowvu(Xe<0cn4y&QE)r>X!C8bza)bRQt4NssD$edth&bQKA66wr$&6 z+fJRfN!uiCY-4T5wwk1E(l)hiYj?l3ZQJ+$i+Rq>oHuZ^h2uTi#Bm+1=j<G<<!l+P z=Byj7;H(%e<1892;mjE=;!GPY;7lB~bN-Fwa(;|hIiE(%oHrvz&a)9c=i!Kkb9+R^ zxjLfYjE+b-{Uc&d*NBkQGQ#83ja=YVjGW~-M^1C{M^17qBgZ)Uks}=C$RUn+WIu;H z!r`15VRDX*&^ZT2s2t`9i9;SCaIhmd4q^n&fs7zIX(QR3#1R-LW(2|sA4%r~j(|Ac zBPkr$kz~%!kwngxkvPt}5dde!NEBz$NCaojNEm1ONHAyONFe9mupj5gun*_cuovg; zusi4Zuq)@`@E*?X;a!}o!`nF*hqrPDhBtG%hBt6phSzcGhF5bchgWinhnI8g!%H}p z;YA$%@O+MHcrHgWJd48{p20aYJdJZ~crxeU@I(%4cpQf^JcffC{=-HL|6)Ujf3QKr zU)f2+pV@%n5A5*ackH0y*KD8Rmu$D;XY5_WPuN?AAF<aD-)FBJzRO-be2YDI_y&8% z@KyGt;s4lUhA*;z4vnxs4-K;44fV5M4E3@f4Rx{a40W)t54Ex{4K=d|hZ@-3Lv`%d zp=x%+P$j!+sEl1QRKj))6|t>D1#II`K3hGM%a#sV+590h``nO`ePT$*J~X6Zvxk&y z+K`-07?QA2Ln1b8h|dNOaoND3bL_aGGwjHrlkDK3<7~g7BW#bML+m|6``O!uIP8r> zO!n#_I(z95g*|_W#GW~XXHOZzvd0af*uMu6?5~4a><@!b_N&1R_LD&{``%zG`{p2! z{oi0Bdw4LO-8%?icML|en+7A;HG^U7vcX_>(O@7uZ_tlz9`s>r2R+$}L3g%j(1m?r za5wwZ;7<0@!ENmQgIm~)!HsOv;CeQ8a19$ixQd-QxSX9jxRjkZxQHDyxPTotIF}tT zIGgP~ID_pvIF-F~a58)I-~{%%!Ex*rga25I2LG_;4E|(IAN<amIQWJ2Z{Q>A$H05m z=Ycn@w*#+O&j+5f9u7QZ-5Geqx;F5Db#dS>Yhd6Ot9#%Et99TitA5}=R@J~oR>{B! z%P}y>vJUjIi~~I^^*|>}I?&GI545n(4K%S%4Aip@4b-sM163^AKsk#rP|89LI9XW( zg{<@eI}13F%ZeMYvZ4metdIdC%Wpu(@)%IF_6{gn+XrN<jRO+angJne*#M8VVBi94 z*1%cT)Pd8i@dGDVfBKKIzV{zyee6HLdfmT|^|YVGdeBd2-Rh^ZuJn^wqx}R{e?OMh z*^gp1_aj(!{aLJvehACipTV;CgIJdSRF=L!nWgMcU`hJpSiJri)|vh&*75#u*1`S| z7OOvqMd|lv;ro49$bK&twBMZt?ss7&_wQlF_U~jx^lxVc_ithO_HSgl_pfK|>0iUz z*1wXqp?^7Rb^j99(*A|4`Tg@*GyCVTru5Hbjq9Jz`rS8$^{sCb>qFmo)~miTtfzf{ znfLpCF>m&LXI|<1${gwY#O&*P&+O=X%WUp@#jNdn&MfbH%5?TUV&?bVXXf<XW$OEG zF_nGSnc}{yOkUq*=9#`x=CQtE=E1%JCabTPN$Klm;`%z6$i7x4w6BQ?>T6&o_ti3E z`>L1`eHF~$zEY-dpOfj{SIFGcXJ>Bf%VlopvocrrnVC!b49xj`I_9iCHFHXzf;p~F z%KXzSW`64xFhBHinXh}#GoSXJVczdO$-LEjjCrN^2y>+OAhW-BAG5QU&1~*vFzb4$ z%!*zT)7eX4+Iz7~OD~G4??o_Gy;)32FNDeKO=q6%1u>8Jru<(;O=7Zp6PVQASSG$V znu+R-V8VLCnBd-ECa^bv8Q1H}jO_JhhV*(c{d!%Q9=&^*dwX{=xA$&mZtUH{T+_RW zxvY0Rb3yMK=Iq{;%&EQ0nd5txF#q-}WPb0N$NbbYhxw*wCi7X(H0Hye$;{h56PZ_g z#xXDU{9_FC{AP6Z{9v^9d}Gx2d}dVkd|;IHyk$6gUNfvcFBrz2rwnz^BZjQ!0YlJp zmvOG=7UN{kb;jYID-2H0Wd^-xltJtnVxW8a8QDF(jEtTxMruzxBcZ2-5#7_s2<xe5 z1oYG}ym~4bu03UpojoOtEj>kybv+Koik>{i;vOqwZch$ldXJGYsYk~c)1zkm>{c+o zbjuj;y2Xr_-2%qrZZ6|)_c_Lm?lX+b-6t8t|37)??LN$C?>@k2>gF(Nx><~}ZaSl= zo5INJCNgrmaSUBIhN0+2GQ{243~o1+ak@K$ajYA}IMAKSV09-mDBTGRTz4!3*&WS* zc1JS6-C>O6?qEi2cK{=@+m{jC?Zxox_F#B)yE684?_q53-pSb5y^XP^do$zz1PEh6 z_d3Sx?$wN`-76U5yO%Qlb}eFj@0!o})HRp!rfU}CS=V&N!>%ce+g%eG*Sf|rE_VH+ z4|e^bcX$1yw|0G_H*|fbS9N`$mv+6S7j(U%+qz!RO<hmvnyyE5dDnfqu<H)}eAi9- zsjh4EBVGR=NV_i48C@fEQr93I+to*hcXiVtT^;nau2y<dR}&r3)j$vLs-*{YRndLA z%IWT1CG_20Mf9y*4*G_!Jo>6GD}8BK4t;)?kv^+SN1xKArjP4V(EoHw>EAj<^pBl< z`s>aM^k<!C=?^+j({FX2pkM7gN+0b!L?7tfPw(nv(_1?k^!iRJy|R-;FX_b79i3RZ ztrJBzcEahJP8eO*nMoIPg6ZcwQ|Tu=lj%n~6X^RoW9f{}XgaAgf{yJBrNcXe>6x7Y z^t4W2dSa&+9nk4c5ASrL2X*eI`*iN0yLE1*@9x}0-`csJzM*pseO2d5`qIv2^!c5O z>9aZ)(5H0HqmS>LP5;v|gZ`~!D*a=}B>L-)@$_dMW9Sb${?KlB{G?s&_(r?f@tHQz z@qyOW@s`%w@rqX8@tjuG@q|{=@sL)~agS!}xJ@&4+@NVXuF~WkmubR|i?j<J!?aT! z1GFO@y|n!uT{K2VJB{4YLc?}6(hwbWG)PA^4b)LVOX?`4#dbJp5gi4z;EsHnZ-<TM z(UC*j(_y4->(J3QcBpBqI~25K9a7qY4iRm32cI^z;{t6$$64Cn_EWSU?Z;`K+K<rQ zwjZQDZ{J6I)Xt*aX{Xb!w^L}B+KIHGb{wsz9ZhR*N6;GEvuHK#5L#J#I<2rhjh5FA zq?y|jX}b0}nxZ|1CT@?UaofXaXWD~l$JzsE2itvVtadLNwcVYDZ+D@g+IQ1n?K^1c z?OSQU_D!_-_Vu)=_BFK7_LVgM_N6qh_C++8_W87(?Q>|G+h@|&wNIn1XrD}5+&+Oe zw|y*aM*Cmtr1oFbvF+cfzuLY~zqWm(zHfU+ebx4w`lRgz^?ut^>dm%C)GKZGsUvN7 zsQqm>shw?CsV!}nsda6m)XKIYYH?dX)zQ{NwYGIqjcsjIbz3u4*4983wAE72w^dP3 zwUtwkw3Sfzw-r$tZ4N5AEtiUIvrrLjCMu*&PX)DUs7Y-~YHXW~8qp@E2Db^QzHMBp zN835-p0?A}?QJKh8{3Xh*R&m^E^FIIUD(E=&TgYqr?pY26WfT?f2}y`&sH?`b1Q=S zt~HDLqBWEHxD`yj+nP$f(V9%X+?qfgZjGh(wnkGsS|g}Ut)bM~*1-R_BKlFCt=?37 zs|VH6>Pj`V?xCt$cT%OT+o=53&D3+P>!~MN*H90)uB7g3T}Gw1E~b)N7f>;+bE)vw zS=7wd>D08=$<)Nw2~<GqSZa9dUrJExFN$yLcZz%K7s{U250q`KZz&sFUr|=KKBp{e zeL`8#`j9fa^&Vwf>ut(}*6WmiEmtT%S}svOw~SEUwhU5UwDeIPwRBVNwzN}jw6stz zw=`0QTk0siE!C8cmI_K!O9`d6rHE3|;-ENN@+kHeE5*`crWjiE6jh6cB5hGp1T8Yk zxfU_yWQ%}uxa9(6U&~nvz2y{z)N-7HZ8<`Lw;ZHES~!%n7A7UBg+>9ikSP%@1WIrV zmg3ujq<FMsQ}(n#Dcf5zD4SY9lr=3t%JP;(%EFd7%AA%M%Cwe9%EXp1%9xfQ%Fkwh z%9myz%DZMy%FAXq%9G|jlzYuPDL0$9QT}V*Oc`n3K<RH@L+NZ@Noi?bMyYFFOsQ;M zKq+pXLvb|Eq}ZCLQH;%#DVpX96j}2aim>?)`9kwg@~P%;<fF}>$ore$lbOwL$du-n zWL)zzGP3y*8P<HC3~s(d1~%U$$2DIiM>St2hc=Ir{hNo#Ud{bvm*#Hr&gKsCmgZLS zy5=VG%H}%q;^u1dyygn>%;r+^<mMvsxMm0WPg5TGTa%UivB^Y!-J~Z!YtoP(HYv%s zo22Ax|JSQ8Hu1@WO&7>LO=rk$O()5XO~=SJO^3;4P5a43O>A;r6N8-7L?!E+h-76G zjx1?Hlle^u^4TUB`9xDD`EV1M%xOv`)0>jW#HM&MrU^iXH${;%o5ILxO~K@(rT{Xa z$%h=#<Vg-{awGdT?In9O?IiDM+D6{qw3)oAX+3#O(;D*frj_JHP0Pr0nii3#H_azc zYMMhH(=>zpt8ps%OXDQ+`^NF)SB?KjPa1!d?l=A*-D><oy3+WOG}`!%G|>2()YbT$ z)Y|xj)X?~lRMmKwRN8opRM>c(l-u|p$=rC6q-z`|DH{7p;>I2lud$PKrm>B5ys?RN zsIi{JZmc2E8Y@V|#!?cx(Mif~bdWL|^GK<UR#IZ4i3DiWlfoM{q@YFx$+uBTa&Huo z_B8TH+Z)f5Ha4Cit!X?#THbh+w6O6IX-?xl()30aX<{RtG^UYE`qe-neQCgw-Zvmg zuNtyRPa2@4`wi)&TMcQXD-A%>XhQ;Npdpsj)euc;Z3rhdG=z|<8UjhB4Zftp1}{=x zgB!`*u$QE3*hNw{Y$J&qHj{V_8%Spx){u@jtRx+3SVrPBEF#ew=97pGvq_kS86<ea zR8nTcL{eJAI8su>KO&&v7crvYJ2AN73(>dX1JR@5EpczdOX7}(XT(hnkBMs=?h{uu z+#xP%xIvuTaFsZt;WBYj!w7L~!yxf@eIM~#eHZaVeLL}WeKYY{eFO19eJ$~JeI@Z) zeHrmmy^}atUqI}s&nLFmTZxVJW@1gfo>*S5Av)`o#Qb_G(NZrW8tQpORsDIQwEhfH zP=A7WzWyljWc@+nk@|ha{q;;Dvz|sI*OQ63dOQ(Xk0C<q5kzo(77<tvA;#B(iBa{b z#L)UAVnBU7(Yro|=vp61+*Kb&+*%(*Twm``TvhK)Tw3ozTu|>qoK?S@IJJHUaYFqT z;=j6$#2<BQiJ$9M5#QA<BfhL#Onh87pLnls4)JE)OyZTgsl<`GNyPrTam22=e}vY$ zUxfO)?}Vzl&xF#t4}`+Hw}jlfmjrX&GlH(}5kXmZpCGQgP2kntAe^ncLO4-(iEyZH zn82wUAkgc238cDC0;aBw0IzEzK<erVpt@>8Qe6chwyuN_Syw~|sk0OO>T(I5bvXo= zIwN6cotChrPDNN(CnKz^6BCxy@d@+mE)Ztcoh3}EJ4qN{cZ~42_7LHF?S8_iS{C7L zEuHY9mP~kDOCa2>#Sm`PA_@Q1W)VheA%wnKFrl+HmC#a~M5wQgCsftO5K3wz2?e#G zgxuO7g1Od@psV#JC~Dma;#wC1uXY#VZ0&Z!@!HLVL$w<SoZ2-6dhJRAsdgy=Q@e-& zuboGL)XpM+YNr#DYbO(8YbOvQYsU~mYX9K<Yk%OqYQN%LYCqz4*1p4UseOfCU;7-t zs`fE{N$mst{MtMCS+zIuQ){o{C)8fX|E(Fp|EL+jf3E4pzpLrQzpQD)Kdx!Q->a#| z->j*|U#Y3UkJgmn`)i8uT{U)mYfUb`p(Y1kU1PwP)oAgBHA;M5jSQbtBf{%z_;^*# zdAy|N44z+e0)MXN2>xWvLHv;#4t{?P6VIrj;>k56Jgx?ZN7kV5uo^fXTm!`eYclZh zHEH<h8X!KbCIKH<1HgONMB&|P!tlFmg7MpG{P7!WeDG^(Jn+kET<{BPcH`&NY{yTp z*@B-`vjIQ0W-b0#^-BEL>ZSM()r;`2|F6|QtDcR2SUnwor+PB}TJ;3{rRp*Gq3S=l z-s&H?j_NPC=IW2Q+UmEsit1Om;_7EONA)9|t@=LBRDBz#t-gViS6{)2sxRWW)x)?m z)&02R)jhaF)g3rabqkJG-H0Pr*WxhMRXBKc84gnI#DS^{aLLtqxY%kdF0$H$3#r!O z{HxVCuWC8YwOWkZRV~16slI?)Uwsz0s`?~uY4uUug6c!K+12}S)2f-ciPbdRzbX>$ zXB7_jr3#IEUj@g#s)FI3R%PHGRHfl=R{?QXs}gV*s{pvcswiAfRT!?lDhSt9<&Uea z^2SwEx#NnfTyXZPT{vsicAT+lGfq>r9w)C_gA-M)z;UaV;LcPn#2u@ei#u2~6UVNa zhND$Y!V#;+;V@PIu<)v1SV+}3EU4-;Ho59OHn!?DHnQpkHl*qa*1zfj)~o6+*0t&; zc30I^?AEHw*bP-9*ws}7*ripy*acOc*x6OB*lATw*ojqj*fCXA*k6_9*sqnv*bkKj z*w>YL*k_ei?1M@Z_I9NXd#zH9y;Ld34poY=y_EuNN96@<bLAOqUFAt^W#v(9N##Lo zK_v%kt7KwLl~k;@l89AQ;;`aM6qZ++jXhfl#h$24#~!Xs#qO(2!ZIr3vE<4aEUq#F zi>M66LMsEY;7VUCu+kG7U+IR8uH1tStK5MNtlWzAsoaQluUw1WQ@Ikmy>c0LQ{^J; z+RAy@6_vBFiz}yN=T=U}&Zr!Zom@EvJFfCK=1;|U%=e1Vm`@cSFmEf~U|v+bz&x&a zg1J}m5OcHQF6K(bP0VP;Rm?!eWlVR)2&S!K0Ml5}i>ayT#FSOEVu~snG5HmBnEy9C zV+<8#7<GjcBdaLD2rKe17b+~6(-lU{u?ijLV1)|9u8?796(S6=f``FWoX5Z`PGcYy z$1$La!<giX1DLo9HYTcqjtQ+GV*)A&81D)U#;pQ@*<As{Y^%t`Y^(rbR#yNq%PJBu z3o8JaITcZu=@ns^Nfkkuu@!!p-{szzZ{_ZokL7zYZ_0OKo|kXMJSyLWxm&&tbEA9} z=D+e~n33{DnEvwln6C2KnAY;?n1=GnnCkNJn6mOQn4<FE==}2UXiNELw4wYxT3!AI zEh~S47M4FjUnqZoK3#qXeXRWd3(fMYXm<G}G_8CXO)T$6W6FEb@bV5cq`U<UDsMn1 zm)D@<$}7=P<)!G*@*;FVxgG6YZbQ43o6)<=4d`v<8uZ3;1$s@n1iidmfL>J2Mb9li zi=I(_5<R*6D0*D^LG+(84*Gi;6aA@-ihf&0M87D*q92zb(f7)-&^OB<=&NO5^u@9i z^k7*cx~D7_-Ch=jZYm2$*OmpNE6V)Q#bw@TN0~d?R<;*yD%*+HmTf~T$~K|JW$Vzq zvQ_A_Wy{bf$`+vym(54-E1QjGlubvI%O<07W#iGvvVSO8*)LRj**8>5*=JNj*?Uw> z*=tlp*>hBI*<+Mn*?p8}*=>|d*$vdrvMZ=9WfxKF%Z5;^%KA`C%eqht%Gyw~%bHNr z%IZ-Q%c@ah%F0o{N{dlnOAAmRO7l>!OD(A9rAE}FQXT4UsS0(YREGMmRD>ES<)QjZ z&!M_XPoY{%kD(e$5230{_oK@GANduP(op%OB$TBThccF;P@2+gl)Myz5|x5c+|m@( znbIWG@zOZdq0(p+r!*WzFAYYKO8rsTQg0NZ)ExyY-HS>u-HA#m-HJ*m-GquMU55%U zU4;rNU54^4U4-%|orl_6It#U<bQ)@N=_J(p(s8I&rGJr2OMfC4lzv0bF8zd@R{9P( zvGf&kOzAV^uaZZ|uO;`8A4+Z^-;`WKJ}<e9d{i=myjwDWyiwAF{I8?~Ia1P!>@R6V zc9qm3+e#{tjU}bXnvx=9d5InAEU_W&C1#|xM2|F<sF9iyIZ|FCMv6=LNM6Z#<k^zb z$P*>Uk%vnTBlnf;M>0y-NOH;ln?g&-NK^?PnN@;DW|Y8@sU=WkVo5p@P?Cy_C`m#F zm&76cOQMlpCE-Zdl3?Vn5`W~@5^v;&5_jb4lD){~B|DLeO12{BmTW}MC|Qe~T(S~5 zu4F0lPw_(J_u{$8&&4y5?~11)Ulvb9J}DlHykGnWajW<T;%f01#HHeoh@s-Qh~DCt zh>qf?i00ylh`Qpth|1!dh|=P#h{EDah`i!qL{4!(LSNjCP!+c$q{Yn$VR1d;LUA?X zba6T2SaC7pU~vJ0U7UxY7h4ddVj}`utVJM-l?Z6D6ag+4B2tREh=k&^h?wG&i16a0 zh@j$w2;X8h!n2r;a49Aub{69iTZ++$^~G?+s$wW&S#dgIVR0&APH_@qdT|_LQgJk5 zY;ic^k24tY-RY0`<n%_ob-E*7IQJqRJ9i@PJGUZkIX5D%I@cmDI#(hFol6nD&V`5$ z=Uhayb0(tBITcaqoQNoKjzttY|G@K{Kj1meFL1r{16<{N4VO8e!-dYr@C(lS@YBxQ z@MF&F@I%i3;2h^DobDWilbpS9tg{o2bhg4_&PI5;vlgD>tb`{xOW^=#5j?_ahX*^Y za6hLB?&;LQU7afUE~gB>)hU8+aPr`*o#)`oou}Z7oX6mEormBvocrKYoJ{z5Cl&s; zhzS2tgn@r9Lcre_!QiioGT={((%=t@lHqrX;^EheV&IpHBH+VCA@IJU0C-oC54^R= z9o|s17hYYo6JB1l749tB2)7rlg<FeO!i_~s;o72wa7EEvxVUI0oL4jjey(T&{AAG> z_>rRD+53yWXS0evXH$#bXA_EEXQPXrXTysgXG4naWrK=tWhWP1%Z@L)oE=>>k{wnw zkR4dmlkHp7k?m2`lD)U6K6^(|b@rB`^6d3R#o4Qh3bL0L<z+7{vSiOGGG<RN(qvC6 zQe=-Sl4SoW6l8xdypa8=@J#l*!V}pq3y)+!DcqlZzmS!EyO5TBt&o&`sSuYvREWy% zE6mRBEQDmY6oRrF3W3?xg$dbZg@Ej$!pLlUVQ99sFfiL#=##A}^vG5ex@3zBcV+Vm zw`HFz+?0K?a9#G1!j;+k3zufI3KwQm3+HAN3TI|x3a4hn3nyel3ddxF3V&w-3%_T@ z7k<u)E_|OAUidmIsPI{qZ{edXkHULdE`_(Ub{1aC+EREqYeV61*6PCktYwAWS&ItW zv*s2yXU!<A&zf9Vl{LPwEbDK9GwVlzBkM~+Zr1w(bJnW@eb%!Ab=JcIdDfi*an|($ ze%5~l=dwl$PG$8M9Lwr1IF!{^urI5zfRR;OK*_2oAY>I6V6qAd5Lvkeuq<;ydX~N* zHA_{HlqD^Q%MunuXI&@=%Q{^Uly$7YFY8c&R~DzhEsI{TJBwVfJquT`ISW~^J}awW zbyh~fvaHmCMOjG&^Ri+KW@SYdOv?%_n3xq%FgDA(;1A5b;0J6^!57%}g7>h^1+QW2 z3ZBDO7CeS6Ew~3;P;d)2r{EfFdckGbq=FIHxPpGzA4fOryQ3ZU+0hJp=ct3dbX38f zI?7-V98TD6hXZ!qVS`<Em|?>XJ*?lMhIKh)uvUi%*685DY8>Za6^@gzV#iUK!*LLn z>)^o54mwQdAj4D+JWT39!vv0O*aZg!cG>}k9e1R_4mlEG90vf#a74n$j!+oR5eP#$ zd|+7)4_Kzd1(xR62}^Qpg~d5G!lE2&VWEx{ut3KWn2%!t%)>DUw%0Kow!<+Qw#6|X zw%+j%y2|kry3Fwvy3p|vI@j?QI>Ye-I@$3AI^OXB`qzFN`qO?L`o;bq^u2u)`r1AK zeP-{0KC*W}@7i0SH|+J$EB0#WsJ$FIXm>(;><(zVJr~++&w<w2_0TH28d_?XLksO9 zXuh2Xwb;)=jrLPejr}N8Za)YW+c{94oen)`Cqqx#@z5i7H1vQy8_Kdnpfo!eO0)x^ zSbG8#VFy5=_6TUYJp`I!4}d1xeV_olJ2cY17aC&U3H7&cfqL6FK;7(XpnL4gq1)|? zp_}dVq3i6kpeyaupiAwOpbP9{p>yniAk*zXAd~H1Ami-sA%F5;Lw@8xhkVX|1bLr- z5ArJi7UWs}Rmj8qOOU(y!;l;KeUSh1yC9?aZIFTdMo3S7Eu=la64IPs0;$U{gjD6{ zLrU{4kivW;BtKsZvE(Zt#(W7xlP`cM^3OxW`KKZL{Ns>w`G+8<^7lcG<})D&@+lB@ zJ^@0@$3RH=a0oUZ3PI+BA+Y=uNJf4lBsCuZNy?9e#O8-WqVfYEq4_?Lz<dvgPySwr zd;U(y-u$hQ9r+s|Tk_XH*5@yWtj=EyS(ZN^vM7HRWN!X6$jtmnkSY0NArtcdWd6(h zk@+j{bLQ8)_n9B_US+<?dzSek?@{LCyt|qA^KNF|%Db9*E$?FHrM#ic;k@3=zP!%N zuDsUF*1U%QM=&*+HF@Qk6?w&(#d!sp1$nudxp_I6IeCUmeV#f~ohQ$f<%u#ydAv++ z-nq=Pc_%YZ<Q>gCl6N3;e;zxNl}FE{=8-aqdALkW9x@Y=mz4?4%gjvAOUq2jOU_Kp zi^~M$MQ29lg=dE31!V^0`DJ?Nd1kujxn}Ok+nu>1Z(HW(yiJ+w^VVjr&RdzeEN^M% zqPzu}bMxk8&di&hIVEp$=7hX)ng4SCX8g+inejFEOUB3C4;gQAUuV3?eV*|+_i@Jk z+<O_fb8lr_%e|U$Irmb=aPCk>e{Nq!S8i8ETW)JcV{T(cZEj6QMQ%k#Np5jQL2f}t zUT$uNB{wI-kZZ`$<f=2|x$+Egt|)_-%gZ>Idp6@_?#Ya!xkob&<{rpk=dv^CxwH&Y zE-3?-i_JjhA~UjbVHugZ85wE0X&K46Nf~juaT(FMQ5j*mVHrWWff>HJz8Rjm9vQB= zE*ZOWcV=wM-I}p6cSFY7+%*|1a#v(5$z7bWAa{Po?A%!y({ra~Ov;^@F)nv(#$Vg- z^dGkG>0fN0(%;+OrN6elOn+v3n*PZ4ApM^0PWnyTjr6Ow|I#noM$?CE1L=LXp7c&z zdwQ#_IlaMFmtJG5O0Te$rWf0a(hF?)>A5y*dXCMQZm?<7)iy=C+$KpE+4$)^+xhf! zwo~aRZO77&+76~4uyNAaHhMbUMouT$aOpT3DjjLdO3$)orf1sH($j3o>B+XZ^mtoz zdbBMpJ=_+Q9%S=P_p^DXd)ZvlU2VJ4ciXn6Z?kPo-(*{pzRtEHeWh)2`cm8c^o6!r z>2qw;(r4HvrcbtwO&@Rj4gP2S4*qHV1paD$2mWY%34UXJ3VvaI0DfY<1HNy)4!&*u z4}8r!0={e=0FPL^!Tr`YaJRJy+-|J}H(4veb=DGam9-FDYRv-|SuJ3@)d03yHDHrf z4%S-5V5OA@mRiq&1=f?`3)UmxGu8v(<5m{<u$2bhZzY16RxFrmMSzJ`7#L$s2P3Sh zV3;)#oNfhxQ>~HUBx?vb)*1kgvU-EVtnT1I>mIPLbqCnfx*6<ZT?gJ}T?O7|T?*c4 zT?k%lodaHJodI5AoeW-J9S@#k{R^6I{Q;V6{Q??qeGmF)c@6q$dG`M|;YXm4mb;)g zmK&fKmMfqqmQm1s%OL2sr3ZA~(gC_`X$Fm0>OcdQDp0qj6x41h0ySImLG>0ZsLEml zm02_(r$qs>Tf`ung%2`Y&Vh86Qy`V)C`e{G01{c)Ag+Z5I%^?;PFk>_BNhbcfCUC( zThc*vODc$DNd)0601(O&3CgmBfHExsAdtly1hlw;;w^hXF_!J12+L+ruw@;{-?9?q zZCMI(w=4kdvCIMOuuKPSu}lJOu#5w(w){z3Zuyb6*z!4Tp5<NIEX%93X_lvHlPnL@ z##-*A{mHqW_9N%Nv@ba$Y43CT(_ZIvr#;VUOM9Htly)ztHtkkUMcTETlC;Y?1!==M zxoQ15IceQF`n0wjby`!7EUhj_m{yg;O)JeglU9^-Jk6eSIL(%`FU_38Ow;91(o{M4 zG+7QhO_Y<J#?8r0JDUSaJDHQ5b~Gn0?Lba+8apQ}jh+*fM$Yj~!{vCSp>kZ(vU7H( zL2|aFfpRva0drQTCFCqi1LQ19i_Dpu7Lqd~Eg)w~norL7G>@FWse5yNrtZx7lDakL zed@-X*QslBo~5qHd6c>&=WgnPoExcga;~IK&lydfoHLL*KBp)3pSeBtm$@nRtGO=q zqq#Emt+^!irMWQmsW~t8fjKAjj@giU!>mrdVwR;|G>cLP&D_*p^O@96^NG|}^WoG+ z^S;y?Gb6RaOi3*<<5LUGsMLIOcB;jknQAnrrE1N|sY-KPs?;2nDl~_sUN8ryo-zBR zo-li)9x?AtJz(CE$}(?BrJ2{KlFX}8apt9|DD%S9Z1bGdO!M?qka=<{&^#_R!Tcu$ zVE&O3Vg8&FVt$trV1Ak6V}6?AVSbR}V!oZS(|kQ;tNC)uM)PpWT62HON^@7rQgds{ z0&_#k9CJ;|40CzP6tgpBg4vNW#%xRZZ8D{NGig&knUpE-Op=sWCVt8@)A^J~rc)_* zO-EC1nhvB~HL+7JnP@4)CSppz37gVwLZq~rpeaoza7vviC8f%gkWyxfNpYIOQyiw? z6r0H}#cc9S(VJXT)TUi2a?{onv1vmJ-?S#>ylHvLDbu2qW2U(&hfFh5_L-)nFiqoA zsHVR_qUk3PYx)92nBD_nrdPlW(=%Y2=^-%LbO#u3x(<vn{RfONjR1p9{Xl<H7tq_( z26Q(y0{5C~fICg)z^$fY;6{@JxYlF?t}vN^OH4Z80+SLr$0PyHF!6y?Oy_|UOs9Zj zOh<vgjR%0=jcnj2BMtb@NCdtzVt~(#2;d_l6nM`F2Hr9Pf!B=jz{|!M;D|9CIA9C{ zb{l<x?M6>vv(W`uZ`=v2Hf{ly8`lGijjMqL#$~`f<3gatI0tAn&H!qSlYt83IH1J% zCs|<po_xXhIr)t7UGfRz%j6@*C&>ql50cr&+sSm}wPdpKQZn8+l#Dj^CBu!K$xvfU zGT2z3oMNm>PBfM!#~O>0qm22<VMa@GkkOFrXVfHn8Rf}tMp5z}BR6@6@l5g-<B8-A z#>2^LjQf&T7#Yb+jO646Mtt&YBPw~iF)MkpF(Y}rF*W(0Au0KnAvXD&Au{=sAtd>o z!9V$x!7KTh!7cfbVR!O9!?xsGhK<SB3~Q1v8&)Kb7#1fF80IDS7-lAS7^Wn*7{(_z z82%;I7=9*I7``Nx7~Urp8eS#k8=fXv4G)t{hC4|*!}TPU;c}A9Fq|YZ^d<2OT}fvR ztw|>h4M|50)ky~pWl0=EQ4+&oPofz9PsJIGNf?7B31N^Y!3?6L3<EDI&2Tm;*>EB$ z-f%c6#;`9b!oWxhF;J2M4EQ7;11ibGkd@?O$Vl2{NKM*iNKD#fh)r5&h)h~#2uWII z@K0J~@JgCza7~(J*p)Qhuq|n_VPn#G!<wXj`sGQ#^ox?d>E|YW($7eGr=ODaN<Tj7 znf`C$BmIxWd-~6bxAgB4ujyYVUe-TJ9ML~W9MIoR?9pFK?9g9IY|#%THt2g3YxEt7 z75bLM5`BGQp}s0HUtgMN)fXn3^m&OoeNLiEuTPZeRf!_KERm-dCZ5+{NIb1Sop@Y- zEb*}ZVB&r~JCUWQCDQbyM3Nqxh|?nyQF>@%wjP`a(E}5~`uM~YeRN`?J}fa-AD9@W z_e~7bdn5+w_a^%5cO-i2Hz&I5*Cp=NuT0#jUy`_0KR<D!es<zo{j|iD`iY54^<xqj z>VGB7)qhQxssE5LRsT9+lKxr3IQ_$fzq&gKKXo?}zUux<_^2C6c&qDAc&Y13c&ckn zc&KYgxT~v9xTz~kxTY&gxU9=h7|~f026V=R9-StkLnlvY(TNfoblij*-I;_6-SLDH z-Jygc9VfxAqbJyOqy)1Lo1oVr64W|af?SuLAl9WM@O23Z=XEg&XLR8SCv-sxM|8dk z2Xr0@Y~9`jx^71TS+_X>uUnUZ)~!rH=$0hFbPEzPbh8uEbkh=&brTcfbz>4@bid*w zbYJ5`bsypbb+6-nb<g5Gbr0iRb$8-->#oOd*Ika^tQ(HspzDiYqw9=cp=*g>qN|Tz zpsS9bqbrM_p(~1?s>_d`sI$b6)fwXdXw~sQw6gdwT4DSL?S=R^+SBnbwa4P0Y7fRg z)UxC6YH9H|wZ!<VT1@<9Ej)fi3yB}lg5rC$$?+Z9xcC-rRD6RrG`?0F5MQbFjxW`^ z#TRLJ$J@2r;%(YZ@j2SH@doXRc#U>(yh1xKUZR~DFVIej=W55tpVj`2JE{E<cU1d1 z?x6Nv97p>yj;VbTN7desBWiEOVYOG|klKrJ+1kN4h_)vVtZk1=(Kf{;YHQ<SwH0yE z+Tyryts^d2Ym4*On&P~*+BgrbBF;rCj@zZ>#ck7`joYL>5w~7@IBvCeU)*vnBW|&l z95-K!i<_fG#?8>e;-+ZR<0fcR;>Ktb;{Ir2;(lnt<GyHu;y!46<KAdI;$CX@#y!>S zh<m8n9Cuf<F7BpgW!yE*lDNy7`EetfS#blJsc}7;32~j8f3dBapRtXaFR`_n_pw!) zSFvT9r?F1WgII^=R&1{3YOF<bG1jOVjMZv-VwIZqSed3NR-~zo<!LHn&uNNdPiq{p z$2GRt!x~fUevLMktx?3%HR4#Zh8K(1oQ*|mPQ)TKhht%yeX$uDMr@jf91GOoViPpT zSbzo=8>vZ;4b!B=25Ayv{WQ_BUYf92cTHgIUX4%ePK|r)HqD;cO`7eo>ol8US83M9 zF4wGxU94FgJ6|&|cD80_><rD6*eROvu@f|Z0b?{j0Dsh<0YB950AJKE03X$l0dLj! z058=y0Z-Le0FTt8fP3lzz%6w*;F`J(@SnO7FsiNr464fkeQGD5OKk_VsjYw}wGmLS zRs*WlGC;Xn2q;ls02HcE1M=0!09N%ufLYB3=+!iUT1^Bf)EIz74F?F+5CB)51~{uu z0-RLG0*<O90f*EffPHFz08{M+ps8H}B=s%;PQ4X?R&M~n)vEze^-@5FdI2C!JsXg$ zo(70lPXqweV*rurUooNTuQ5UD4>5k~*D+q|XEE;T2Qhoqw_|pyuf=RrUy9kJ9*S9~ z?u}Wk?uc2gZjM>3u8Ucqu8f(ZE{>U@cEn6o+hQiFO)+ED+L*s8Ma)l?IOeO07xPJV zHs+n`M9eGIp_u0?PRwH!J?6fO6mv&~jk%#h#9UE9V=k${F~h3lm;qH>Ophumrb87P z)2a%HX;gW~)T-QKs#LpU%2eB8oT?2m1*+9Cd8%bG7S+NSlWI<kPBlG7t(p`gSB;Gk ztA0fbR9~Yns6IrWQN4~nsd^TDRP`|Wpz2QaKGpSTrs`5OO*IrvQuRjTR2|W1RdY04 zRTm9aRYqs1ilft1j%c9D7M-9nMFUjY=qQyUI!q;w4pwoa{Z(h8y;aAfJyeIHT~wUt z-70$Yb`>dlvkDWvK?RRqqk=@QRDq(Gs*<A@s^X&OsiLB1sY0TstNf!UtGuGet6ZbU zsCGsFR&I^{p<EyRMY$^agK}x~Tjhf2m&)1E&y>@mA1NnB-&6jJx~=>fbzS)->WcDx z)J5g1sA1*PsD9=BsBY!0s1D`Ts21hLs7B>rRIRcns!G`wRi<o=aw==03Y6thc}i!L zRcVhhDJ@ZYr6EeKR7c5`vM7mC7$s1ikK!s%MV(b1jXI?~5Oqw+iaMmEM(tPPqgYB* z6kVAWMOJ1+5tOM>7-d2fQW+DKr3{bCR0c(XmA+9aN{^@{<({ZG<@Tr;<))|z<=UuF z<%+04<)SD*<=iMQ<%}qI<>aWn%5hOUmA@mmDZfQ-QhtnFuY41^TKPP3h4NwK66Kx9 zh05!ZbCs7PXDNpxrzv|QCo4N5$19s7$0+L}e=8~@e<+G0zbG7$9~HL9w+d6_ONBP_ znL-}<NFj>6ui!@BR-B2vp*S9SMR73ll7byMte`~>D2S0g3Up+rB0I8Gkr~;fNQ<mf zBt=#$0FmX2h{zH}aAcvvFVe2?jI=5CM&>AXL>d&EBejZkkxIpiNSR`Bq)0I@lCPK< zd0sIk@{D3!<O#)}h@*<{5eF5YBK9fXM6eXkBWQ|85oE>P2)yEY1V(W=0-+d=$Wru0 zWGXr%z>4OG6h&P`lA<yqPEisOqi{q-C~Og-3R6U&LL1?ykVkkaL=o-^ZiI{COvEn5 zv54)8gAtn*?1&8tTErR!A!4Nh9kEoA9kED}88J_h8Zldu7%@Wuh?t^?h?uAdiWsZ# zjrc3~i1;br8}UuPJ>rvmQ^b4u+K4yu6%jAwiz1%N=SDn~&xp7upB!;ZJ~raI{CD_& z@^9f6<sZX`<*&p0<<G)<<PXC;<afeb<=4U+<(I<i<U`@r@}BT=d3$(?yeYg;UK?(g zmxtTr&hQ+$J=`d_hHK@9aFtvgE|bf`#d1NoKz=^_g8WqYS^3fMlk)xH$K=fLLvl*^ zemOpzEk}ma<*;yyJUyHs2Zm$i@!=?Wba=KrEF3Bi2v3)Lho{Ni!h!N#;R*7s;j!`! z;ZgF{;o<V7;UV$`;Q{j5;lA>z;hypd;coJOVSD92!*<F)hi#L;3)?Jz8Ma>jIBbpl zUf2rx&9J5N|H2l@N5baG`@?3-yTYc+Tf(Nu>%%6>tHQ?0OTzxj3c`NLa>KsKOktm8 z+OQ8YMc5meIP9g28}?LoChU>yc-Vc}!LZvhcGwLWE$pg{5Ozt14jYkWhYiXy!g^(? zVO_Gsur?VWtXUQw)*uTCtC9JJRm$AM%4B=OoU-j<1+tA{`LZ=(R@w3}vut6QK{h8$ zBby$kluZni$;O0<WWPfBvM-?*WbZ@I$X<n>lsyUkKlL4YNOmjq{||4WY}sfiT{aL( zk#&U<Wv!uDSwkpFRuu}Dm4-rPg`pX;+)$9r914`_LK9_*&^VbmG+M?BjgXxQ4V4`a z4U`=U^^>tfy=Ame4;eAkMTQRDEz1twA<GQiB1;Y3C`$}oCj*48l7)vZmj#6`k@<!$ zkhzD>mF)?gCEFf4UA8fFvTRN01ljV?v9g7sf24Cle@dr^ev?iN{VW|5`d<1o<c;)8 z$V=(_kf+j@A&;a_LheiNhuo3g47nk_5^_~K8gg0MA2K5C3K^8PhV)76L%O9^A??zV zkQQk{NTW12q*iJQsgi0#%B6~s5~(PpP|6LlOHYU7N{@wDqz6NcQdWpgN)1s<2_Xt8 zDnug93K2>(LU_`Ykn_@nkkiuWkQ36dkfYMTkV8`MkbP3O5SDaz2wl20gd*J#LXfTs z!Ah5gpri{z;L=$kQ0df=4C(j~ko0eGiu6ZtqV!X6ob+vQjPyltr1Vj6sPt}dko0=6 zzw~mjk90WLL)shcD(wi~BW()aDXk6OCannGBy|R_m)e8ZNG-uDrH0_8Qg!emsWf=L zR1iEzdOmoj^knc<>5<?`(tW|>rHtS)QgZNb2{!nL1QGmI0tx;k0R_L8BnQ8i#0I~R zL<T>V1P4Eo_yymWcm&^;><zvl*%5qIvMKnoWNq-MWO?wQWKnRRWNvV`WO{IiWKwXe zWK3|Q<X2Fg<o~*{<b6<u<W*3q<VlcIazDr+xfzrvxe{cRj0Tw{{XqsvSCB^15~P&W z2gxK=L1IZskU&xp#Ff~B&Pq%{rzD!7;}Ut$5s4`1pyWc(KFR4IrsQZ4O>!WJEMW!_ zB$Obm1RsQwAcL|cupp=e9F!pe27x4TK`D}`pd?9XP@Kd+C`RHH6e)2D3X|*%3YKgR z3XrS|@{z0z@{}wNa+Ayp+AEn6v`aEMXuD)=&=$$>zzveGfomln0#`|12QHU94O}95 z5V%lsD{!9VYT#_iXyA0oK;RTfSKvfRYv4FZL*PGgRp4)NY2XiWLEsm0Zs13;De#?G z8~9o*4}2jO1wIvD2z(?y9e7`SEbxx_K;R8AEAXnA5_nmR4;&RE1Bb-0z<x0}uty9G z>=efZwuz$xo5Z1k^<w|P8nIVkrPw8~OuRF&SiCu~P`obCE?yCsD_$I!Bc2;*6we6M zi6;fB#bW~%;$Hz$@z(&6_(K3+{3_sr_-Vjd@%?~P;#&d7#8(0ii$?<vi2DOL;;sOu zxFvult`8uKD+37Pk^rpO5r7ig0^nj}08Fe2$P~*0Kw@D)iuim$lK50Wy!c1}K)gR7 zO3Vxh7n1`*#JGS!F(SZE3=QxWg91Fn$pNn7*nmCa$bg;V;DBvnzkp3*kAU^!y#Z^) z+XGgLHw7#cuL)QzULLSOyf9#{cuv49@w9;H;)wxM#Q*#!ihuf#6My#qCwk}qTlB*J zhv>2YSJ7SnPof+C??spW--w3&Uy6GDpNTsBABmd$?~7{v?}*C%Z;G7$*F^dL|A{RA z7e#viVUfyzKqT?+74iMML}&fmMJN1QM2GwvMI8S+5zW6^MD(u^q5Vrm+5S#ZhJS%5 z)jwa9;BON}`{#(l{Eeajf1SwNUoCR=SBQ4`OGR7!MWXfoe9=n(3!)|dXGQb;Pl;yw z9~VvbKO!3Ee^B(>Z=dL!A4~MXk1l%cM-e^sBZ?mQ;Y7Fm(4wn;2+^otmZ;wkBI@!> z7q$4MiR%4;qDsF+QHfui$l(_wviU`djDBGvjbE@x<`*Cm`uU2^`+12@`MHaZ__>Jo z`Rx`l{C0{+e%nM?zs(}J-v$xHZ>=cJZ<Q#?Z@CEIw?q`-w@?)1H&5j2H(TWHH$$}B zZ>ngU-z3onzwx5geq%&S{r(6S`27^l^7|&7>i1bV-tU9(kMCRIci-2-kG?O2Z+xE$ zpZPu#KJ>jWyzP5Oc+K~w@S^WE;h^t-!fxM-!dBm5VT130u*$brSnAs)Ebwg?=K8h> zO}<S+jc>hB?pq@i`c?`r_?8Jz`4$V0`W6cJ``U#}-&`Tt*CNFFnuG{ny%6H75rTYG z!X#h0FxFQhjPMl-gM4{HU*GdWci%I@J-#P}+kB4+H~JnHuJ%1BT<W_|xWJbsoaIXw zPW7b-$NLh6e|>Pm?>=bZM<0anjZc>FnGaO>&?iH9+Xp1P=9414=#wNI@QD|8`v8Qk zK2gF3pKxK7PpGiOCrDV}<1e)N_y|oto<fa}n^5kvS19z^B|Pu5LwL$(tMG`=CgFac z^+JZv8X?JNr4Z}0ObGW`EQI(h6sGyi6DIo176N=`2*Z7*3WIzm34MIV3*CIi3U~Yb z6>RnSCD`EeU9igMi(skGN5OobcY>KdZv<0(UJAzfJQMu!ek}Or{Xp=+`>x=%_ie#b z?;C>q-d6>;ye|u`c#jH3y@v$--u;43?_NQRcbA~fyIoM}-6AOVZWP$P>jYNs8iB#P zQlR!O6G**_1$^&9!8vb-;DmRc;IOw<!0|Q<Xx;_^(OWA(d#eRm-U>m6w^WegEfOSn z^99k~TtTS!IYEH;X@Qsb34x3EQNd2{LxRoT2L$W9If515Ou-^=nqaOsSuow3D467p z6O8dj3x0Yb1Yf+e1n<0{f)`#Hg2!GU!CkKu!F8`B!DX)m!H`$1pw}x}(C!r>X!Hsb z)OZC8%De&ug<igbJTEVS*~>$q^Kum^y!Hr0UONR`ukC`<URwl5y*3ICc&!sKy;cjz zUMmGSuVn&+*J1(GYk>gdHBXS_HCqttHA4{LHB}JgHCf>6H9_F+HCC|O>o0$s*DwAC zuOIwXUSIi3y*}~hd%fq+^m@ym;`NF@&g(h<kLMHqH_u1>51#k=uRZVZpL*Wp-}k)E zzvX#_f5r0>f5dZ?-|soZ@AT~FH+%N*>pZ*o6`t*Ur)LY_?%Bw<c-Hgvo;7@xXC+_a zS;psimhjJd7V(dJI`{`Y^Z9H~8=vZ#!^e9X`6y34ALgmygFTgepr@Q4=PBVwdJ6d= zo;<#v=LNop=UM(<&r|&Eo+tPlJ&*F&cpl;}^W4v0;K||7_GI#>deZpgJt_RZ9z_0k z4;=ra2b%xJ1Id5pk<EYL0p;KJ$mC!30P{yZQuzZOKz^4;BEQ8Wj$iKq;8%J?@ryme z`3{dzzSSd$Z}9Nvt37=9QV%aa-@~1M*29H=!ebBrkjG9w+hZG_=COrO@Yu*ld934S zd92~5d#vOGJ(ls~J(lpJJQngpJm&HJJ?8K|J!bOvdQ9VQ_n5-p<S~)I#$z0Rna3FZ zLXSVZ*&aW6Q$4=(CU|_|{dNDu`|kdp_sRVY?~VH_-ZS^-ya(=2c(>gj@vgex=Z(7G z;SIRo;&r)S=e4+B;nlle=2g0n@`~Mud3N^!p4GjVXK?T4soXnwQukIK-@Tc4*1dsu z+`X1}$i14!cCX-3-OG3c_hKH(y^sfUcksaOc|4%IjTh&h!;5q`@<QD8JU@30&%<5G z+v6_hZFiUOHoA*=tKIp$W$qVv3*67~X1Sl{O>sZT8}ELM_s8up@0;5}-bc56yw`4Q z-cvUQ@4g$Acgu~;yW&RRjkw`>{cdPpryGLT?3T@|b%XLM+%kDiH!v^XEtQw!2IT48 z5_w9uc%Ik|z~i|^^Uk<M@Q%5K@(#EK^H^>HJc^qi59j91L%4bJpl)tFklS8flG|<` zz-<RF!fhKb$Za#v$896e-EAFjx7%voR=1VB^=`{}tK63GmbfkC&2yX2o8dNxH`#3# zZ>-yN-Y?fFyf3bkc<)`u^Ip1+<vn)&%f0LRn|s6c2luk;H|~(@XKs({2X4FTJ8q-v zYi_mcOKz#_Gj5^l6K<~SL$1m7K3C&<hbwoz#TB|<=bm@H%020NnR~?bB6pwbFqiH+ zz$Lo&aWSsl+-%oQZiZ_cH^sGuo8a2Wjdrc)hPu{p{avfLUasX_7uQnm4p%34lWQS& zt*f28+%=E8(ACPF?V7`#=4#|laMg4Fx@frHT~yppE^_W07b*9di-`NcMZmq~!sT9d zInNz+Im7LDImPXCInHfyIm)ebImE4SIly(gaJY6C7T4lJ=jvUkT%`+%D|R7pc`jJ) z85cD7m<xh?z$Kf@a)ELwE}2}MOF9?flE#I)q;NqlN!%ot1TMfOmK*L8!wqtY<odXT zbKP7*xVv0}xLaKOx$9kgxhq|~xQkspxN}|HxHDY#awoa${vT_1-Q32~IC?$~nVFfH zlbkR!8D!bAY+20AJTn>+In2xqC(Lxh%*@Qp<iPgHbD#R%-CJAx&+1#BcQ7^T>FMci zZq=cv`FnXm^T+bs<~QZp&Ckj+nje&>HQy>vZoXQc*nGY`zWHQ%O!MLLsOG)p;mteC zLz_332Q{xP4`^Od?%TYmyj}C$@;1%W%Ud;1EdS*lUEbn#m4EYM<)6Lw@{e9)`FpRr z{Eb&y{>m#Tf9_?KKlRefA9-uaA9zQW-}4SBzwPZ;e$(5d{F?W#@+;ob@=M-=^7G!T z^0VHQ@>AZp@)O>O@}u71^21)=@`GNV@_pWxvVXjv%656*mhJF9FWcsQShm@FyKJNP zYS}vPg|ao?Q)R2YN6J=s_mwU4?krpE-BPyDyRK}$cV*ce@8Ysq-nnJdy)(+DdMA}l z_Kqo=;B}Xc^I~OVy!NtYuc^%A)s#8CvNFOeD8sz0GQ`_Z26?GvHg83l**m1n=<Qdg z^Y$pycsrM=yk%u_Z$X*Vn^h+Erj!Z1@nt-3WEsaBT*mbJmNC3OWpr;#Db4%2wATB! zl;V9}TIGFMTH(E2I^27;bg1`2>0s}v(gEHhrTx77O8a<smiF{+F757JSK8IPvh*+S z;?j=Zxuxaa>7^y!iKRu}F{K4wcWJH{E6w)WOEbO3(loESG{q|`P4Ws#<GrlXSTDUa z+FMf^;T>5T<{eTR;_X)&=<QMJ@BORP*IQcJ)|+42+M89{%9~R9(-T+P;)y8z<_RkO z>}g;6(c@G4-qTX@*7K?4mFG>#3(vEXXPyTok3F|a9(t~p-1nR>x#Kxma?5kL<c4Q& z$u-Z8lFOdWB^NzwOU`>%l$`M_DmmquQ*y#Ht>ma@Ldjvz=#qmTSIK@4TJn#_R<he; zDB0;zm2CHbrTQLz$tDl8WP_)^WUYr%^0#M1$tusFk`<mlB}+ZsOBQ=Nl`Qm>l+5?! zmCW&El+5xZmCW$OluY%6mrV8qmQ3`tD;e+kT|CC~t$38@L$TNMs@Uy$QcQa87vr9r z#i-|UG2%H}Z1)^5wt5a0n>~Aqjh^krI?u*pjpy%Tm1kM8!n2@Q>X}t6_Dm@jdd3y= zJ<Y`&4_VCeAjM4{OL3z|S4{UPit9Y0VycHzOz|*^t2}kZBRy5c!#%@_hk6DS5BBsb z9_Z;(+|N^9+{aT?+{=?w+{2Sr+|`p%+}RUV+{qJC+`;2lT;^$AT<rN-ROtCql<#?0 zl<Rp>l<j#`l;OEkl;*isl;XKil;k;8l;Am96zkbv6z$nn6zSPg6z*A96zW-76y#Z4 z6yTX#<mZ`Q)ZR0xsI6yAk&nk+^xKUU{dC)lTHMB>Z*FzbXScNIqnls!-pwj{>!ugI zcGncWbdM~0<{n)1#ND^(k-K}*19zvQd+w5=JMR3Vo9@h_>+a;DtM1sM%kJ=^i|)Xp z^X_&<XWYLFPr1Jpo^XFCJm!8~c*Om*@R0j{;ePkc!oBXxg?rp*3wODX7w&K$EZpYa zQ@F*wt#G4zL*aV&--T=4%L@N?FDP8)o>jQQJ*9A&dtBjSx3_Sin<$*`h70Gq&4shw z+QOM`Md5U}sBns#Q#i@pSUAC5TR6^LSvbZ$v~ZNWf1%giv(WACTu8dh3UPN~A?nU9 zMBJ%`kUPH6=8i11xPuE#Zr?(K+ow?LZYfZ^KNTq5Zwln@X9ZIC!veAUc7f1+wSezF zU%+*rEMU107c{x|7Bsqd6wuw93TW;%1-0(w1vT!41=a4^1(oh;1tZ-P3WmE!6%27Z z3kJCz1q0mHf_`p&K_9oWpqE=*(8J9w=;me=baB@e{N=7H=;$6+Q0^XBP~z@gP~`4f zP~h%RkmoKc$Z_WsWVzD{GTaFTY3`_k6n98LlH0E!!QHwb&ix}l#{D@z%KbJ!!u=vY z)cq(w*nKBI(0wi6-+dw9*L^C#o%=|B8~46^ANS7u->%L1KV56{TU;yhzquCWe{s#p z|KysM|G_mO|D9`8{u`Go|CI~Pf8ny_KXV!KpSV={4_%V{`z~JoT~|~7Z5J*7rmH&t zx@&m;RoB4$%dXz}7hPTR&$~M0pLG@GpLXTspLC_=A9p3@A9Y3NA9jW2A9VTW?{~Gy z-|PCBx5xD*Z<p&`-VWD`ylt*Wd0Sj}@;14y<!x|X$Xn++mAA%qByY8AU*1aB&b;NW z&3Q{*Yx5SnR^%;oEy|nknv*xzH9c>(YhvC^*XX?IE?3@E7n(QOWy_oBGUSbSsq)6U zBzdD<yu4;tQ=Z2~%X7J^^GMh5Jlr)f4|Vm<LtI_+AXkSxo2w|#;>yW0xzh3su7o_D zD=JUp3dvKs{PL8p)_HQ*k6fwibFSF+E?4M!k;`{I%H_K5<g#7Ya+$6RxeV8-+y>W? z+<Mo(+&b6JT&inxF2%Jrx5~95x5Bk3cZ6$B?l9N1+##+Bxr1DzatFGcx&2*^+`cYr zZf}=9x2H>)+ubG3?dsy@c6KpxJGts|JGiQH%Ur{9OI!nTi(I{O3tU}t^IYY*xvs+8 zY*%(}rYki!-4&mk>Wa)wb_M4qx_oovT|T+7u9lo=*QcCF*PEPh*Rz~3*Tb9;*X^7j z*VUW=*ZCYj*U6mruERNPU3+s{yLRNXa&5}_<y@2V!?`@C#knx&n{#%~7w6QRPtNf< zADqoO@0?`L8z<Nf+iA&p;nd|kcPet8Iz>5;ot&J9&c>Yk&f1*2&dQwI&Y?Lso&9sJ zJA39_b9T<T;w;O#<SfX!;LOT7=S;~t<BZEW<&4NV;S9<-?rfiP)Y&TMu=9KNLFdQp z{m$3fd!0|S_c-ro?{?nI-s!xYz1?{>d#m$!_Gah7?2XPn+3TI#ve!8`WUq0q&R*?Y zn!VCFKYO`zX7*C&<m|=HvDu59p6mrqJbRuK%AVsiWzTYIvS&DD+0&hZ?5R#x_GBkL zd!n-@d%SaG_E_iO>@m*1*`u7@v%Stv*=}b^w$quHO*k{Mac5FC>Ws-ooT1r}(?8qh zY?Ezu{>(BvzhoJm@3QpH7g<{8qb#-aPL|4fElc6NkR@}T%91#bWQm;nvV_i^S$yZ_ zEUt5H7TdWZi|JgH)#RL$)##j-MR!ifqB%!p)jFM7RHq}0;<RQ}IrUi;PG!~zr#Nf4 zlbbcv$;cY)tjikctjZeT9G2D3IUuW#vsYFxXP2xV&ho78&cdv&&g`tt&eW_<&iJg3 z&d98CXK+@j(>JTw>62CH{GM6h{Fs^Ne4Ux&e43f%yq}rryqTHqyquZpJe!&9Jf4~4 zJeZl_+>;sS+?E;R+>jaVT%8%|T$&l~oSzx$oS7NooSYft9Ge;7^kn)u@l0PQl-bT{ z%53A*WcoN|nXR0H%wHrc^9M=K{7%+nej`U@ejx{Cej@v1ek8kPz9&0oz9ow@Uz53+ zugLVw7i41QGcr2!2^pICnDozlNVdtmPyWofM}En;L%z$nMZU<mK|ad3PTtA5N?yyj zOkT*iM4rmHKpx3BNAAryL+;2pO>W9KNv_E_PA<<lMlQ@aLe9=OL{7~(NRH3gPc~=l zCCQ9EB$Bb4v}Eigbs5`9MaDK#l(B{6WNacEGd7a78SBZ)jJ4#Dj5TDxjMZe1jFseH z87s)rjAdkg#u73!V-cC0v5<_-m`{dh%q0Ue=8)|&W|6<sXOQ30r;{Jjr;@MICzDUo zCz1EkCy>|E$B`G)$C9VhN0Ud>o5}s@UUFBuo7|G_BG;vp<jQoMT%3-PbJHE<^mLe< zm=2Mn(`}?H-9n=2X40B&B=zZfQkkwJ#pxQ7o30`m=}NLLT~1b|%gABr5^_Mgi0qXv zB)g>Z$?|kAS(wftv(s5*N;;E_OJ|S~=?!F1I-P8vP9s~T*OK4UsN}~q3i&#%ntYm8 zN#0KzN#0BwL0(Q9MxIR@LLN&SOdd!ZNbXJ>KyFRzN3KunL#|5eO)g36NzO~_LC#3) zMovoWLXJu6OuExLkyu(s(w0_E8q!KhRayxtNh>0GX@z7{T0Tij%O$JRa>(InS>(X9 zOtN=cI@vWXjqH$?LKdbak=bd9WNKPG8J`wMMyAD(!D-Q?Z(1bjlNL_4q=u27QbWi$ zslnv4)IjonY5;jN)sMWK+MYa{+KxP)+J-!s+M3*x+KSwk`kUC0`jc3l+CnT%{Z7nF z{YuP8{X$Gi{X~pO{Ybb|-xFBsJHnp&hA^hSCe*1f32Ewcf}i?~V5UAHXsM5h>ePqC z@YDyyz|?z0@6@|Q*VNlYhtyj{QR)pMC-pj!mU@+lPrX7!rd}d~Q!fy{spkow)U!lO z${FHQ$|>Sa%1Po`%5maB$}!?r$`Rsn%3<Pc%0c3I$^qhF%06OG%3fky${u1v%5GwH z%1&Zw$_`?F$~Iy~%2r}h%4T9r$|k~{vVp)-))V%WwS+Nc4WUk1O-NH#5xkTYL{rLg zf|jzBs7_fz3{P1^3`|)_^iG*ibWNE@bV!*)6s622vQuUfsVOsv_>^fxWXe<`IAt>7 zn=*;;NtsBrB#$RPC66OsCyybXCXXiWCpQx}lfA^{WH)g(*+m>rCW(W|1hFR>Beo`^ z#QJ1}Sd|PDOOhdCUb2mtk!&R<C7X#c$tJ>`Y#`8NJz-1M5{6_Ap-NU0l4K>pOI8p~ z$ufeLEG4Rw#l)~=5iuZHK=exH6J3&dM0qlYC`@J(*~v^IHMxn1OKv10k{gJiWIEA4 znMSlqt|PuDQHhU9HN@+rYT{{96>%@AlDLsHlDL#Kf;f{jj5wAwlsJ$ygxH-lh}fDm zkXV=0pIDjHk64`4hnSnxo0y)|i<p?ygBYFEop2>}B^*gz2y0SjLZ8%$P$qRG#7X4@ zH>r$ZB$X0%NyS8EQV}sUsgUTOluz_b$|E`_<q%~_*+fB77Lk>dK_n-o6R}CDM0ipP z5tx)rv`b1PekUdn-xA}A_ldE@%fuMsabgs4H!+g9o)|$~ObjDVCx#M76GMo7i9y89 z#6V(mVgRu=(T`Y>=u0e0Y)8yVY)ec{Y(tDs^dXuPTM=a9ZyZVdiCYqX;JU;XT#@(< z7bbqi*@<89hQv=eHSr@}k@x{0lK2kqm-rU%p7;jul=uoSNqmXtCBDEj5})BoiBIvE z#3y)Y;v?KY@gd$O@d5rb;U4}a;V%9z;ST;H;THZd;U<1N;Rb#+;TnEE;VOPI;R=2@ z;S#<#;Ud00;R3!f;T-;V!dZM-!Wn!)!fAX~!byBe!U=qA!g1V_a1_TAj^I$jVce8( z5C>b-;If4MI6q+@&P@0RuTR*6QxbOLBNBGvgA#V&y%V<MT@$wA9TK+SMG2enoP<qy zTEYfAAz?ipnXnEIPFREcCj5>2B&^0;;#c9H;#c5r;+Nx3<Co$0<Co$$;}_$X;}_v) z;}_z`<LBcC;^*PJ<LBaA<LBV(<7eTk;%DMZ;%DIV;-}-&<EP>i<EP-G<0s>;_(?b# zKLNMJkH_`#<8WpCSX>-G8t2B3!Wr?+cwM{~uZ(x&L*rd||9B_fGoHjd$K!ZeJcbv< zqj+Y#15b`e@Yr|=50AIwf$=uHUAz_l6=%l3#+mT<aYp=QoB@9vr^D~YY4K}u8vH_> z8b1}M!jHr$@O^P|d}o{t-xMds*ThNi<#8f>VVn@39Vfu2#_{oSaa`OR$H9p>HV((J zaC00J*Tyk$SzIG7h-<)Eadey>SC7}k)!`%KYVkpFRJ>1I4c;w|f_IFo#*5=B@!Yry zJS}b{o)9+zkBS?Phr|uV{o;n;t>XscEwO{}Pq72>H?afoXR-b82eEzeTd{rc%dx%j zv$4JK<FP&PgR$N5J+a;Jt+8G4^|4*>Rk5A%C9$3Gd9fYw8L=JkiLvGQ=-4vc6<dm< zu_d@Iwg@-G7UIg-0$d!Mk8@-5a7Jt{UKg8#SH@=HLt``X{;?T&&)9Ulb8H%37MqIa z$EM(!u}OGxY$6^Tn}CPM#^V98ad_L<So~K^4E{AH3V$CHiNB1Az#qkg<9A}h@M|%l z_=T7d{8UUZemEu&-y0Kv?}+ioH^unjYhrxy<uUE?1u^aLSut(#DKTyEaWOu)H>MSi z$Na{im|vJF<|n3!`GLt|S};M(cZ?bH4Xcm&icw-dV<TcdVS{2mV!dNNU|nP0V;y4N zVMQ@-v7DGUSZd5`EI#HH78&yr3yyh?`NllMd}5wr-=m*kAEO^*ucIGfPop1V_oE+R zH=^%jm!j`sXQJ<7$D;3G2cmCdyP|JmTcU4Z>!Pn?E2FPri=(e%bE2<c)1oh96QVC+ zqoOZj&gcu6Bl<jMi9UzvqR(QA=rfoo`ZUIgK7}<zpTwxqC$Ng>W7v@BqgcP_BUtz7 z!&s;2Ls&`lK`bx&0G1KGA4`nhheb#4#X_V1!Th85U~QszV?UyHVV|RRVsE2%V9%qr zV-KUYVYi~TVppQJVCSMXV<)0EVTYnNVtb-CVB4bBV;iE@VXLFoVoRgeVDqB>#%4sV z#wJCr!p20c#N1IUFf?j8W{X;e8KRbAs;DKHBx*6njaq~;q84IcsXJB`H6I%mH4p0_ zH5cm{H3#b)H5)67nuQfa&BQXJW?;!t)3Mm7X;^sFR4g!R3f4AiGWIKS681H6BKAIV z0`?+uJoYGZ9CjyiEOsq&40a)MG<Gs_6m~eW8QUA_#dbt`uuYL}?C(eywk*<#Er=ws zS&;-bB@)NRMq-#J62<UH2L?qVm?;v*)R7P-1^AJ6j2UUeXpvT|I?{p-k2GTgBTZQE zNF&xI(twpm>aoH|9hM!b#Zn_RSX`tUi-=TVL6J(VeWU{W9ihO!MaZ!a5i;ymgcN%c zA;IoOh_UMtBJ5&>5IY?qz>Y@nv3(IdY-a=)+Z@5c)<&?g<-o!S7B)MAiA{}Y!p281 zFmFU7Mnp7Va0DGQN7Q552pT4fsKW#RE20*oM^G_JL=83~f`Sc-sK)w4RAJpBDzOd` z6<ATkNGu0Pix`2$M-0azBZgtY5koQGh#{Cy#9-`u_#o^f@H%`T_B4C|b}zg?b|btW zb}76sb|$<Jb}YO%wm-ZVwhP!2-V<9F-UC|^-W^*M-VK`*-W8h`-UXWgG>3P_$nd`~ zB)k)53Gaw$!#iN|@N!HDu*1u+hVW9XCcFe28D5MH4lly`h8JSpfR5n>SaEnhmK&aj zrHAKY3E??d6c7@gjroOVVLsuRSW8$2_9-kKdjmWTOT+GmrD8Y3Qn1Tm$=KPjB<vV) zAS@Bv9hQJ?4U5Otg~eej!(y?;z}&DHY<gHUHX$qu8x<CbIRQsl1ZD{f$8=#~m?A6` z69Me75Ue3A7^8*-VHIJ4*bty^SOC^N%pdC%=7*Jp`C_?1dRTibF{~XH9o7~L32TG- z0j<MYV?RQDuuq|_us5N<(PzMe&|m1S(4Xk#&>!eo;CN^YdNA}mx;yk6x;6AGx*k{+ z`UPDa`Wc-Y`U#y5Obq>qjtc#NIz!*14!|1v4%LUgMHQiMP!YfheT_DTzCx*?FVPBM zNazc+U+8nRd+0N?6HpTR6wM2Lf~JQ)MiYVP&_`%!=tI;m^a0u$_z`j+{Ty-+eH(HY zeFi)Txr5#cxs6^4xrLquj)&Yt4~E=8_W)Z%uA}QiuA!?!uA)nTxgl53=^>ZViNNTP zOQ<vCBI*dafLZ~4$az!|at;*%oRG6<W5^kl8gd$~0EUE|Li>fBM7sl>LQbG1A;-}? zAS2`$niz5vjSe}2h64T}htbv{htMCvhtSW#2hq2|2heB0gW&z>t>As=72s^}Ui5hI zKj=YVPw*aeYw&J#J+LZx7rG>PCps6H9=rpc7`z=F4LF0hp^o6Ks1?u$Z$TBon^6(K z3EqS@25&^EKt=EdbV%@ev>(ttcpcg)cr982<OQ!m(}Vv;6M^X9)o5t&D%1~X9lR3# z5wsHh9JB&`6SN$C20RE_hTaNVie3iJ1}#C40|$c^qq~C^p<99VK?~7UK?~5uz}%qu z=yYIW&^&Zh&|K6BID+P&)}Yy_4p0QmLPY>4XeQbaGy|mq6+zR{Awb`tX=wMLsc0vl zBxnkn3#124MiYZ3p;16c&_vV^XdN^GZ3!HYegfVEjzgaT_XEeGH-XE6W6-mKqtRo) zfxuDdZeVL*GrBI&i>?F~2YS%Cz_dU&Isq6J=t7-=P80zwfh4K}6oCXP1lWN%+5k`k zF?3`giVg<)20GC0K*vA?Ee3J}VKfa$2!zn6Ksy=&_y*cgAD|_`ihcrK2UyUj!2JL- zdK0)5U_#FT#{!J#0bo~v0o@XyN7n%>19a#jU`~J*od%2#(4fr#8K6cHz#O1LwSYW8 zi3$N$fC8lhH34#TBrqsIhV}uv1xV43Kv94M%>mK^#ArMa86ZM~0p9>2+6wsYFF-#6 zul@Px6X2dd54{0g^5>$bfusH$bU(1mpN(z?*7}2wtAU07OmsFd6&UZ|gn9wOpMk=F z*}oCh05bmuQ~<F2>1aJb@vlcm00V*E{xq~J(80eBEd;XtYtd97-k*v_073pWXnUX) z@Xe2cegIziRilrAyM9&Zb>Jd!%C8bV0_^jvKz9P0{6?Z{faSnKzY*vxV2a;xbR6LE z8;0Tl1epAWqG~|uHw5JaOn~M$7_A0|`wc<|0KNPMqFsP;pulecngyiz^+)4?a3IjH zAKDK1<=Yqi3cLqi`u0H|0e66FzP-^4z)9a;=wV<lu*0_}x)J!>w+Ffmm=DbK?T$_c z#sY5NZYT!W0i$nMR0T+UyP!OP0o3_+Myr5fK!4xA(4IhNpv<=unh#|9c0`kb7$D5I z0~!Fd1%9?KN525?famSY(1*Zn;A;C)^c-*kIMlub{Rh|vY-nGMt_GF>^V%1oGk{6J z==O!E3qS!|`vO!CC;>6RX`hca0<}P8`#f|A&=2U*J{SE9C;{@?=b#xtA`lIPw$DcW zf!4r}c3J3W;0^GsT_*YfxCLAW&bG@yj{^sR-R;uRt-yL<C9t?%8afx44om<>wM#{v zfCI3!OF?yj0uTc1cFAZ1Km|qugWDyceSvO3N1(V}BAN@N0SQ1<y96{C@CAH;mbUTe zN8mN^6u93u4!r?f0?q(O+s2~%fnC5BU~StNbOo>om<>z?#<z_|n*joV0dw0ZR13%e z0l)(4+eV@kU<5D-=nZsj8-aEJ3W01O6^L&ejz$1MKzrbKn{f17n=teP@CtYg+y$<; z2}Lggr+_2CK43?i5Ofo;23QU(0A>MG+61Fx0S|x!5MXQ*gsK54zyq298c^LP5FG{# z0D1vkfHI%}$Z8XSCIhiRI1mW51%9>mN52B^fEU0c;0|yVIN#b2Jqa8F{sFcF8-dlp zQeb{-UvvgA2^a&o0Ti$S20+=mJt_vc00XE6DuJOuf1pR}cIaO~DUb(b07*a$5DNGM zZGay>ZPCxbTi`kH0JsHQ0nP%)frG#vV5?6XbUm;NSPaYsrUMg!QGgS002V+8C;*X9 zYm^N%092p?7!33Ux&s}7Vjvet2NHlNAO!FQe1Mi#KIkXlHSiR;58MDQ0cU_?z<yvC zumxBPtN<1PbAYM9c%T^|02nX>T0jN}02WXWP=FD@AfPwU73csI0@*++5D!EEK|p)p zx8wisemVZX`sw(8>xbk2ti|zv_TBOS?3?5N-dD%}-WSLJ-e<@E-Y3WZ^wIG@eQ^9w z?;Zc`o#TIc>-cYP9RKaL<G;Of{I{2m|MbG~-<~`E(=*3^dg}OZPaOa0vEx5Ia{Q-< zj{o$)@o)G4SN9zM>8|77?)<NAJO0xx$G_eD(+$Uey6*V5Yk#`x_)k|H|91ILmmL3g z@lO{V|91XQ=N$ib_D^RV|91LMryT!w@=qrm|8^X7>`zA>|90e0haHC<hyHZX@oxt} z`~S4hvCpyhPyaanZ4YSopLRKRId=YOhhv9hJ80XVwmP;tw)|<cW3yuuXyczYI5s%e zgVur8{%MV4jpJ|7>OZY=ta7XbtpF_tEdwq6(-OxL$70YT&_d7x(0tH5&|J_Q(Ck0W za?Enf1kC_V2TcP_1x*1>22FBI1Wf>q2aN-b1&slX28{wWgS;S*!wqtQoFEcJfN%!} zLP7uj5D@HuK;S%ahYe%}SsZ4N31kEr9D0xrq;+UOYLLpI1Svprkjx<kNkC$U2qbg} zKztC-!3A+ZYzGU(bTok&phiani0-Hd(HwQ4S`gJy1EM&pK~;`QP=#Y8XoO=pXqaOt zXozDlXpmzdXn+Hp&F$#t=nLxO=nd-S=n3lK=nm@U=<4VK>g@On)XC8i)WJ~>Dsz-N zN<hVqB2b~Dz>yEibL4_@9NCU6P^Kfpkq%08q=Hf$$&MsYq9ef(4~lcdI$}W4jwnYY zD8do$2m^&WLL9-MAV;7hz~K+_bND*igW5UTI@&l|gM1vV9KVs@$S>q4@&joBeMi0_ zUy(1M&&Vg_Bk}?C9(jknMc#m3Bd?H`$P3VO<Qei5d4fC!JwhHL50Lwyd&phn4ssj0 z1-gmcK&~U#kgK38$YtabauK<JoClpl&LU@!)5t00B<KWk965#@MUEhcL5Glo$N^+O zvJcsd`~%v9>_&DWJCPm8c4Ql9E3yUIjBG+SA{&tP$U0;#Xbti=vKm>1tVC8I%aLWs zQqU4)F|r6*h%7+nBlD2C$Q)!gG7B^lnSo44rXf?2Dad4G5;75)fQ(1RA!Cs-pwY-E zq#5xd9>k5f5GO(+1cD<Nf`ZEvL0|+z?1&AqA{N99G9gC9fann&qD3@_8c`uiM1jZ= z86rg_h!_zeLPUV@5gx)tI0zeIAxxwRVIYl2142jY5gJm5)FM=*2B9F;NEK3vR3Iaf z5y)_47%~(Yf(%9mAp?;9NI#@6(g*2{^g?<fJ&^85H>4}l1?i0Zg>*tXA{~%&qzoxV zN|0it5Gg?Nkvt?9$w9J_EF=@jK+=&kBo#?Pl95Ct0f|TAkXR%JiAJK3NF)LYN5YU0 zBp3-o0+9g3AMrzck@iSCq%G1K@j+T4zu{l-PxuGi0)L0U!e8Le@F(~q`~iLszk}bv zui;nlOZWx+9DW8rg&)I@;D_)7_&$6Oz6;-mZ^1X=8}N1b8hjPL3}1pT!WZE4@HzM_ zd>TFlpM+1q$Khk}5%@5C2tEiOfcL|D;eX&g@NRe)yc6CIZ-ckOTj0&`MtB3f9$p8p zh1bBV;Z^WT7@QdlFNK%Di{VA^LU=wr51tFpfoH=r;TiCBcp5wfo(xZdC&Clpaqw7p z3_KcchP|){cEc{1gb5gjG1vhkFbqSm4YtA-*bEzC1FVO2um)DcDp(22VHqriC9nt< z!UC8Nb72n5hFNeE%zzu=2Dl!k!F4bdu7N3VHCzc-z$4+|@Gy8NJOmyD4}=H6{ouZE zAGkN%6Yc?bhr7aE;LdO-xFg&FE{99u61W&HgbUz&I2X==v*AoQ15Sri;S@L-PJ|QS zcsLf0furF_I06obL*WoO7!HI3V1L*bZV$JE+rX`1ANV))3;GGQK;NNn&==@4^bz_1 zy@%dHZ=l!EOXvmk40;MZfgV8*p$E`C=q_{{x&_^Yu0z+LtI%cW5_ADN51oU~K&PRT z&<W@`bQC%Q9fA%*2cUh>UT6=r8`=f!fVM+hp)Js6Xd|=%S_igu{2N*gt%6oS%b}&v z5@->$5Ly7ugXTiBp;^!jXgV|vngUIRCPEXSanM+3G&BlohCGlPazZ48Lm0TtBM=1H zAuD8o%#aZ>KsrbZsUa1lfaH)Al0YIz2=O5v#DUll6KaAQp$3Q!(V#kr3e`Z>P!&`G zjf93n!=NG1U}zvT0O|+zfqFwdp&n2-s4LVN`U~m^b%4sCQm7azf(oE~C>P3svY<>T z9ZG{zpd=^}iihH$7$_Qwgd(6YC=?2Y0-*rN5AucDL2aSdkPr0R{?q=${@wn~{>A>; z{?Y!y{?7i!{@VW1{=)vu{?z{1{?Puwe$Rf_e%pT2e#3sve${^2e#w5pe$IZ@e%gM@ ze!_mte$;;0e#m~nzR$kbzQ?}XzSF+lzRkYHzS+LfzTUphzQ(@VzRJGBzTCdlzSzFV zzQ8`uKG#0mKFdDCKFvPWKG{CeKEXcDKE^)U-fZ{U-FBy)wBvRZ{8_?wyWM8D*iCk$ zU2oUgHFlL<VVB#bcClS#7ub1ruAOaX+MDc+cDlXZUT3G;YwXqbN_&NUgngKOh<&hq zpuNAnpS_R0m%XRGyS=Nui~TQqM|-)w%wA$IvKQL(?YZ`BdzL-Jo@P(AC)*S4@%A`- zj6KR8X%DxD+Jo&u_5iz|y}iAiy^Y<+{@eD;_QUqw_SN>q_R03a_TKi^_S*K+_QLke z_Qdwc_P}=EcGq^>cGGslcFlIhcFA_pcHVZ@cG`BzcEWbdcEonbcF?xpw%4}Dw%fMT zw%xYXw%NAHw!yZ}w#K&Fw#v4`w#>G~w#c^7Hs3baHrqDSHp4c}HpMo{Ho-RDHr6)U z)@<|G+%~6;uwh_00ox#(&1SKgYzCXornRYUN}Jp!wTW#ao504iacnGGlZ|0(u+`h@ zY*ZV?R%NTSjkFE74Ydun4Yc*Q^|SS{^|JM_b+dJ`{blQ9>tHLhmDq}G1-3j}jxF1k zX-l`I+LCRFws>2tEyfmQi?D^+LTo{{0Gpq!y{)aSjm^jQ+xpYmV*O_QV*O<OV0~wO zYkh5fX?<>eYJF^dXuWT}YrSo~X}xZ}X1!v)WW8WLXFX#*Wj$d%W<6p(WIbTrXZ^>z z+q%oT!@AA7#k$G5!Me`6#=6?N(z@Kb)VkQZ&^q5b*E-ue(>mQc)jHWa(K^98&N{|A z%IdYctxhXp#lXM{w%V;$tJ!L_>aAL<+N!k5tx~JlDzx&gTr1nkv@)y>)_QB5m1?C} ztE?5)5!PYWA=W|G0oH!jKGt5=9@cKwF4n)S9j)coQfslb(3)?}wPss0t?AZOYqB-L z8fT5MMp+}QVb&09kTt;SXKin7Yi(_9W&LIOVfk+PYWZyWXnAjWYk6gPVR>eGVtHhF zV7X_xW4UFyVYz0xV!33wU^!<wZ8>Q<ZaHc>Y&mGzZ`o_vW7%cdVcBNcY}sg8Z&_>k z+p@~C!m`Y=#IndT-!j)S+cMKK-7?iO*)q{G-ZIuQ%Hp-SElvwz!7L67Y_VA^7L&zb z(OEPWl|^BZTErHig>T_n*cPURVWC@SmRd`VrP@+y8EF}28Dbe^8DQyW>0{|>>2B$2 z>1^p_>0l|d6k7@{`IcNuwk6Y&W=XLmSrRO9mKaN<CEOBf3AO}U{4DJ)Z7r=Wtt`LH zE#`0LFXm6?59YV#*XEbz=jNy8N9G6Sd*(alTjuNLtLDq*i{|s@Gv-s~6Xs*)!{&qL z{pP*qJ?5R}?dGlK&E^f}b>=nZ)#jDvW#%R3Mdk(Ox#rpCnda%{DdtJ$3FdL;(dK5e z$Lul_W(*9QVYAI_F`LW=v(~IOE6sAV#4Iuk%seyO%rrC14Q86T)?8z*GFO;Kn1`7M zn+KZvoBNo1nR}SKnLC?1nLC(E&Bf+IbG|vpoMp~1r<#+^iRO57j5*32VGcD1n*+^$ z=Jw{cW*_r!(@)cP(^u1H(?`=g(;L$(({s~P(__;E(>>E2(@oQL(^b<Y(*@Hx(`nO5 z({a-g(;?FV(_Yga(=O9?(^k_a(+1Nz)8D35rWK~8rp2a(rg^40rdg)xrm3b$rU|BT zrqQNmlgH#V5hl!pm>`qYWHuR1I+MnvG|5d;lgK16@l0$J)6{69n`kDgiDIfWjWi86 z4KWQe^*8l3^)~e|bu)D~bux7@m70o81*SYxwkgw;Zb~sFnc_{crf5@yDa;gX3N-nd z+MC*%d`!QMKaAgvUyPrO?~QMbuZ=H^&y0_a4~_SXcZ@fU*Ns<=my8#TXN{+gCyd98 zhm8k~`;7k>cNupWw;4AZHyYO&*BDnBR~VNX7aJEC=NV@kXBwv&rx+(1#~a5OM;Sdv zmytAL;PD1B+KgtS(Wo<Oj7p>2C^3qRd?VM$GBz0-j5K4dkz%Yejx-K84lxcg_BZx5 z_A>S`b~Sc3b~Kh7ON>Rvd}FRL%a~zIH6|GojIqXOV}vox7;FqQ`Wf3B+ZcU}zYHyg zZ-&o?kA`=KH-?vn=Y}VShlcxxJBC|^>xQd_ONR4?vxZZK6NaOP!-fNfy@ox8ordj( zErv~o^@cTu)rJ*@WroFu1%`Qs*@l^hX@)6=iH328F@|P?$KW*J2Gjr>>;{X$XwVxp z2Bkr6kQhV;zJX(485o8J1I<7+Pz;rZ5r$!g!G?i`eumzLo`!CQ&W28gazm-1$dGTy zHDnpm4XK7CL%bo@5M>BAgc^bj0R~?~TSIHZZ~YJbcl{UrNBw*K8~scDbNv(jL;ZdI z9sN!Hb^R6nMg4jG8U0E9as3hfLH$1cKl)wz?fR|yP5Slvwffci75ZiR#rg&MdHUJ< z8TzUE$@&TUvHH<^uim96^_U*f+x1qxNw3#y^(t`4hD0yY^Yt7(OV7~L^>uoxzFJ?Q zAFdy&AEfWE@2l^n@2>Bn|4ZLNU#c(C7wB{KSzy^;sy;~{uaD73>BIFQ`ar$EzP-MU zzLox$u0{7%_eu9b_g42x_gwc>_eghNcSm<qcU^ZycTsmvcSd(ocT9I!cR;sKw@0^A zw@tTMw^6rF_qT4PZn<uWZlP|TZnkcwZklegZh~&CZnVy;bLj{jszY>kokeHV>2zwH zQYX`ibpjn%$JRCJ8gw)rRadR6)Q!*$)eX}1*Y(l$(skE$(RI?5>q>M*x_n)ZE>oAL zOV%ao;&jov2wkWyNawHf)wR|6=zeKiv|qKKv>&u@wXd|#wNJDUwfD4lv^TZaw3oFP zv}d)aw8ynawTHC(wf|^$X}4>)Xg6xtY1e31X_sr4XcubdX=iI^Xs2o?YbR*OYDZ~3 zTBjD*I<%11sx@m3TCG;4m1`whp_Zp*Yn#Bvl{9UwmZGiHj?fO(4$}75_R;p#cGGs& zcGQ+>i?xN?JZ-i%Lz}8i(#C6Jw2|5{ZLl^#>#J?6_0j&)v}nF+K5IT`-fCWHo@<_H z9%}AsZfkC6u4*o6&TGzSPHK*64r>l*_G)%(c4)S0Hfh#t)@W8~mTQ)17HZ~cW@~0> zrfMc>#%soCnl)|>slhae#;&nwj2fLrtx;&C8j*&t;b@qeMoqn@RzuNLYDQ>=Y6fZg zYx-z<YPxAUYdUJmG{u?%O|B+Oldeh8Bx>R`(V7TNs3u6`uW7GoqiLo2ss671qW++M ztA3?^u709^sJ^GZt-hhYs=lN?uRfzbsXnGYtUjRLtKOyFuHK^Fs9vZ3TfI`fOubmW zKs{GIOFdmZMLj`1Ry|7XQ9IQ*m==N5R<%j3S8LP?wNx!q^VJ+RQ{AYpSJ$d3>I(I6 z^$_(ybw71)bq{q{^<V08b&0xAou|%LXQ)%vN$PlYv^qi^st!{7tJ|yFs9ULjsJ^K_ zt3IgSs$Qv{tDdMHsP3w6sjjQ8s4l9`sZObmtB$A+s`jb&sCKBfsy3<CtJbJisg|o2 zs}`u{s%ELCtEQ+XsK%;BsXQvD3RfX2yUL<6s&p!~O0JTqgesnjtzxL?sybDTs!BCd zHB>c7)nC;|)l=0~^_QxHs#H~^%2Q>lGE}LmBvqU$S{0!RRRyX1RP9u)Rlk)j%CE{# z%J<4Q$`{I~%16rk$~(#%%B#vt%Ja(8$`i_?%0tS1%00@R%5BQc%Js@M%2mqc%EihB z%DKu}%4y2U$_dJ`%4VfoNh&cVth6c3N`q3PR4Qdkv68RkD4EIzB~3|HRx3v;hbadu z2Ppd}dn&srJ1aXVOO-{+JY}{rLz${fRK_WzmEp<|WuVee*;eVJ{H6G=_@elzc&m7& zc&>P&c%ZnexTUzJxU9IKIHNeJIHowH*su6Uu}iT{v01S}u|}~<v0SlOu|P3bF;g*3 zF<CKQF-Fm>a484{s(=($g-M}Ps1*u@L?Kl06f6ZpL08l&D2htOaK#YCKt*3gFGV*+ zXGKRvsiH`cugF$pC{h)Pia15IB3u!o2vGPc+A3Npe#*bgKg&PJ-^gFepUEG|@5}GV zZ^*C8FUrr!Ps@+XkH`<m_sVz6x68N4H^|q@SId{nm&g~$=gMcvr^zSF$IHjao8>M! z0jA#|xm9kI>*OlATrQCd<XkyZ-YBQZsq$+1Nck}NAbEdzZ+Q=SS9vFSxx83jAkUF! z%G2aY@_2c)JVG8K50v}L+sb|9KV{!#pJg9pZ)7iJPi2o}_hh$aH)L027iDK<r)0-u zhh_U^|HyXAw#hch*2~t&R?3#i7RlzzX3J*CrpPAB#>z&?+%i&z%3zsQW|HY-YMERn zkqKm68B^9MqsgeUD%nWcP}v|^KUr^CcUc!%M_HMyNR}_lmSxCNWQnp^S(GeH7A*6Z zwU@P){g$>!ze+z!-$`FdpGhA}?@RAUZ%D67FG|lzPf3qU4@(b7|B>#JZj)}3u9yBT zT`65ET_l|+oh_X%og$qe9V2a)x}*e{o`j?psZpwxDy1^1NXnP8rA<=0v{p)yR!E0S z2TKP?`$&68yGlDr%caHA0%?vkLz*g0l*UP;q+!xvslT+nw6*k?q($;Y@=@|u@>23l z@<?)Da$9m;az%1Ma#nIua#V6ivQM%{vO}^(vQe^DvRblSvRJY}GDk8)GF38BGEOo| z;+BvSR02t?5~D;XQAuPHv4k&SOPVBfNv(t;sgMkp43_kl^pW(CbdhwDlu3#t`I2l& zx+F!CAc>JgN<t-p5<f{>Nh`@u@i*}&@q6)W@pJJL@dNQ4@lEkn@kQ}D@hR~!@nP|P z@gDI`@mBFh@jCHp@pADJ@dEK2@l5el@kH@h@hGudOo&l2B({i+Vy##ymWoAUo|q+O zi0j2vakY4)c&K=wxSzO}xSP1MxP!PvTp-RBXNptBN#ZzhlsHTrEcO?-6Z?pNiN1?I zi#~|nh+c@Eh#rdWif)RoiY|%HiB5@*i4KeQi}r|ih_;G0iq?u&iI$5Ni{^`Fi)M(X zh$e`}h?+$%5iUYRc9B`67pX;ZkwhdAaYRj`22q`eBB~G#7Y!Em7xfl(7j+SJ6qSk! zMY*CZQJN@86eo%ng^Pkk{-SoG)}mj+@50Z*_rf>A7s4mP2f{nTo5HKYi^8+Qlfq-d zL&AN+-NNm{&B6`BHNus`rNV{6dBR!3X~Idual%nTkB}6iLP%&48iZP*QYaM)g<K(1 z*eIk4YlM};;ljbf0m44Q9>Oldj>1x5kuXn~B}@}03FCxO!f;`*&|lb2=p+0o_$K%) zcrSP@crJJ>xG%UZxFNVAxF9$qI3YM9I3V~(uuHH_ut~5^uv)NOuvjo(Fk3J~Fhwvy zFh<}NI0cvh7FY!)fli<j$OIw*Prwp13TOhVph_@8Fhnpw&_~ci&_&QuP%0=C<O#9_ zX@Vp{oFGaNCI}Mv3EB!;34ZXu@jvn3@n7+u@gMQ;@o(|3@h|bu@lWxO@elF$@%Qj| z@VD?c@YnEH@|W@#^5^nr@~84A^2hR<`7S=rNBB0rnXl)o`EtIP&*!uG41PVI%CF*& z;1A&s;P>J8;CJD7<d^ab`MLZ|ekwnaAIp#Ahw=mYzWg@)-@F#y7v2ZnTi#3FQ{F?~ zUEWRJRo+G3S>8$BQQkq`UfwR=Hr^)QI^JsDa^7OzeBNx{blzm%c;0B9hez^I9>lZo z^gK0B&J*+aJT{NPtLIU9RlE_rA-n;+KD-{hF1(JsQeGi1mzT*)<t6fBd6B$OULenx z*M|3-+rs_A{lI<Aea?N%z0bYPz0SSNJ<mPOJ<dJM-Ot^_-ND_$-N0SLUCCX_UBI2g zoxz>LoxmN#^>UqDj0<zETq9S@RdOX<0hhyV;?lXb+-mMf?ojSPZeMOsZWnGxZYj5r zo6F7Qrg9UxvD`>*C^vxHp4*!Hi}RiHne(3Wn)96Vm~)SFi*t>0iF1x~igS!}h_jEg zi?fZhiL;KgnzNj<m@}U<n=_3wi8GEfisR-G;B|?eW8&yIDvpdJ;_x^uP6MZoL*Z0# zhH(aQ`f+-4x^g;k$~Z-wJWduTm6OPc<wSBqIe{EsPHWCD_ILJY_Ivhg_A~Y)_C5A3 z_BHk;_F48x_EGjh_Fncb_Ez>r_FDET_A>S&_FVQ%_Eh#n_E>f^+sVe*Fx$#DvNdc4 zTf!EwIcx^Io=s&}u}826v-`7qv%9l9v&-4V?0j}MJDr`xj$=o$!`MM=Uv?YzZ&nNI z3+p}WHS0O+G3!3-7V8@866+l6B<m>aAZssc7i%kPBWo>d6>BMLA!{ycCTj|70&5J* z%W|?%7R0i!3@kNE&JwfuEEcPgMPt>lDp<o<gIN7oJy~5@omge8LRKy-la<O!V8yT^ zSRpKbRy&pt>nHOo^CR;u^9Az>^8xb?^E&e~^E~r3^BD6Gb02dTa~pFLa~*RPa~X3H zb1rixb1HKpa}3kVbTUyU#I!ICOf^%^6f=2D7PFCA$D}YTn8TO@nSGf(nO&G2nWfAE zW)3rhnZk@`Ml-{iK}<hpTjuYkmZmRF@0(sXJ#Tu{bg$`F)77SnO=p`<G#zO=(6py% zN7I(3^-X^_t!P@@G{0$f)3l~ZP2-xHn_NwJ6WnBNGB#<N6it#QeiOTi(L`&iX{u}* z)-<T8UsKPfu1y`AN}CFsa+)%lQkvqMqMO2-f|~rA+BE%Uv@kw1-ZNe^o-rOV?lNvN zt}-q#&M;0e4m0*Mb~Cm!HZ#^SRx_3}7BS{AW-_KSCNjn_ybO|oG9ZSTp=YQVGKPr3 zWiT0ZMlGY7F@iCK(Vx+q(Vg)ZqnuI1$YW$NQW=Si7)AsmgyGL<$7sd)(fFnDL*tvq z=Z%jW?={|PyxMrN@oeLX#v_gU8}~GBZ`|CtzHxQq^2SAt^BQM1PHmjnIHu9tNH(I4 z_C|A~u2Iz}YZNwe8=D&Gjnu}f#^H^F8~Zi(YV6k7sj;lFuraqWqcNp1zA?HntTCw3 zx3Nv*?}qOUpBvsaylQyb@UY=d!;OZ^4d)w9H5_X=*s!-@XT#Qp4Gn7=Rx~VWSkN%L zVS2-)hH(we4Xy^P0dBA~7#h?K@&-`@uYuXn&`{e@-7unINJIaI-VNOv{%R;|C~C-U z$ZSYyNN9*|2yX~#@M~z(@QePP{)zsM{*wNb{(ydmew}`qevW>Mew2QY{tta8eG7d9 z{crjT`eOP#`YifX`b7E|x|dGUQM#ROrt9b`x|A-UbLb3uJ-vorNgqZZMDI)QN$*1M zKrf-^)3fPm^dx#LJ(3<m_oug`x1#^3|5E><{&oGc`bYJ5>u=UyslQNvy8c-Gq58e` zyXv>rZ>(QazoLFg{rvjb_0#Gn)sL<B);sIbdZ^x9ud7$pOY4R8ocgBv`udvs%KBmT zgX;U%_o(ku-=V&wKEFPzKCM2nKDIuhKDge$zHR+)S_|zn?LF-k?J4ac?GEh*?K15g z?G)`O?I7(R+78+l+Ire*+H%?=+C17!+7#LZ+Gv`aM$izNm1d-AXbPH`#-p)l4YXQX zHEjfKFs(nW7p)tu6Rnh1NXwz6(~@a%v`AVgEr8aJ=0p2Y_oePb-RrvNb&u-q*4?bT zQg^=YbltJKgLQlBcGhjF+fes+-SWD{b@S?G)=jOOP&c~HQ%BSxb=Ep#ou*D+C$8hw zG3y%YYU`@%M$`?i>sQySu4`S#y3)FWy6n2Nx}>_;x`?`vI{&)1b*<`JYCqS$uYFbf zwDv*mo!aZQmuk<|o~%7myT5i%?e^MDwd-nE)h?}FSUab7dhMjzakb60&RVn<sx{Z@ zYE`w;T0t$lmQhQqrPNl`4y_$f+q<@V?O(NJwS~30wHdX^wQ;pkwV|~Ewe4$tYJX6_ zP(M&#Q=d^EQtwi4P%l%@QBP5iQV&q~P<K!_Q`b>fQI}B{Qs+>oQzui$QJbkwDoTZ@ zW~z>=q)Mp*Dx2C!rBNx=k<=m70o2~qZq!cHQfeVJhnh}JqQ+4psUcK<YFlb6YD>-M zns+rXYo69TsJUHpt>#kA*_snIhimrL?5^2Xv$1AP&5D{OHS=p`)l99KP&1~+Q$y4s zHP#wqjiyFkBdX!nFl*>F)SAkgVKoD5`quQQ>0DD@Q&f{zlUb8e6JHZm6Iv5k)4s;1 z<_F~q<pbq4<r(E6<qqXK<uc_Q<s{_@Wj|#%WjkdPWi4eTWeH_IWj19RWg=w^#X}(| z2*pM*QZy7fMMU9Jm=rpNN~xp_qYR|<q4c11rj%2PD7lmjN-`y$5=9B61W?*hT2Wf6 zzf`}kepUUn`a$*W>TA^(tIt-Ss6Jf1uX<PY*6Iz_e^;-lUR*t|dS>;M>haa1s$JE1 zHC%0})>o^lrPYFJc6DPlt(sCjvU*5$|LR`VU8_4*msIChXIH0HCsxN)hgSzx`&PHE z{#o_4>SNWLs^?XYs_s_ZsJdKruIgmfk*fVwd#biqZK_&ZwX$kS)%>biRa2`bRE@53 zSK(Dim9@%HrLK}y39C3&j4E0crD|l=kgEPwy{fuZb*d_@DyYh?N~=n&im3{(3aaw0 zYF+iS@@wUX%GZ_8Dj!wet-Mirx$<1)$;u;@`zv=>ZmZl_xu$YO<>JbDl`|`+RF1D4 zRq3k4DxpeCrM^;CDXkP#vMU=a>nf`&M^p~3>{r>dvP)%$%Hqnr%FN1?%J|Bt%FxPy z%665lDqAW(SG=ouS@ERee#Nbds}&b2PFEbOI9Tyd#g2;273(TiRV=MoP%*n=TE)bQ zF%_N)q5`R~Rv0SO6|xFp1*d{hL93utjI0<^(Z8ZsMc0as6(tq<6<PlmTX+2xRr|(! zobK)#x@#C>V1Vfky1Tn`m|(`vM<o>r2}M#dP*4mEL{St)5d_4<00R^i^X&V3*7@zM zy*_`%zOVPo$H+&|N7F~eN8U%uN7Uyx>pN?e^?~)4^^*0JHN$$yy2l!0jj)DUL#(r` zldN7=2dj<M#HwRev-Yxfur{*<EDo!fmB-3trLf{zQLIo_0E@+<vIs0R%Y)^@a%9=C z%vnY(J(dPbnI*@PWQnkTF~2can9IyJ%oogg<}`DXd6#*Md7XKQd5+o7>|=H_+nFuQ z2IhWdCG$V#cIGB#Ig`ySWaco_nMur8W&|^s>BnR+$xIy6i|NL6V%jk+nI=pFrZ!WJ zsmPRJiZlN(elWf;J~G}hUNIIJPZ*CF6O7x85ymiMh;f#2lF`fPV6-us7zY?tj6IAU zjLi%IgTp9h<S{ZC$&5HgBqN03&tNhr3_Qb&fn*>U_6#eADFepPVW=?_88QrU#$Wn6 z{VV+w{T=-keS!Xj{)j$7zfHeEAEpn{&(crQd+8nYHhLrd0KJO7hrWZpnJ%E0(TnK0 z^bC43J&qnp52pLk8FVroNB5#5=}vSzx+UF&Za~+jtI`$d(sVKUAKDMvD(wU9E$t=k zDQ$)}NxMtCMY~SBOgm5Or}fdgY3;NYS_7??RzcfM+eX_+<I_rM1+;8h8ZD6)O$(z1 z(tKz%8j*&kdC**Fjx-yZ8O@NUOVgk!(`0E9w13o})HUiS>U-*I>NDyr^$~S~dYgKK zdWAYfJxe`F?WJ~5Td9rI1Jo+&9_n`LCTcmgj9Ns^p{7%lsIk;=Y7o_zN~e;j7%GbD zN`+HxsOD57svcF7s!WxmN>W9rKPhXJ&y*#~BIOxnmNG@TPq|IGLAgS?Ksie}N$I6@ zP+BRClmnD1${xyg$|g!VrHoQY$)TiEk|;5ha7qxxmqMcuDHsZh;zDtx*ig(Vh7?_j z21SV?OOc@bBd?Rcl0T8(lV6b+$WO?R$oI%&<Pq{P`8@dy`2@L}+)i#GH;`+|73AII zZR8DP9=Vj9PtGEzk`u^L<WO<|nMtOQ@nml@l8hkRlP$?6WCOA`S(U6nmL`jmf0MqG zR!PgGH>4M&Inra&1JXEYlysGJku*R$MLI_6AhnU2NC!w&q&=h^q)nu9QW>d`ltW4< zC6Z!D;iN#44~a%1lF%d%k_*X!WKA+7!ALqJb&?`Uh9pk<L;OMfLi|8{OMFS3Cr%S5 ziQ~kZ#B0Qh#6jX|;xS?;@i4K8SVycT?ji0VZYGu!%ZNq99AY{#i5No+Ck7FHh%_RR zh$eavU5E}uYoZwuM${py6BUUvL^0wY!VkhK;RE3<;RRuyFim(s7$@8$TqRs23=mEc zjuAQtZG<Mm0YVjF4`DlD6M;`)6AB60gfv1TA({|I2q3TsR05vhO>ie52=)X^f(gNZ zphZw6C=jFwqJ&@gZ}=7b5`Gc?3_pvX!cX9D<8R=H@k97C_!IaZd^^4cUyrZFSKxQy zx8j9(F1`exhtI?(<Kys=_+Y#ro{lHsv3L~T74L|*!JFd^@w#|*yb@jpFOL6<`+@s{ z`+$3kdx@LJP2(Qm#&I`sS8*3{1GrPTUR(#R4cCY}fUCs)huenRh~wi*aRs<6Tq-Ue z7ljMO`Qw;4G7g9H#JS;|aJD!LoDohBr-4(($>Jn%|FG-Wuh@^+ci5NMr`T!iB=#=$ zCiWWkB6bja3VRIOfo;P!VGm%du>WDVV>e>?*ivi(HVd1IO~6KBL$Ur?CYFrFVLh>K zSUA=eYmPO->R~mo%2-*f1okgx9rFeA5%U)F5;KpP#yr4`V@5GoF&8ldm{XWuOb4bF z(}>xRsl@EYY{P88@GvEqd`u=L1rvvf!~|pfFmwzFgTbIME*M9QHO34B!{}htFp3yy zj2PxO`a60By^LN&KS$4^r_dAV+vpMWF#0_D47v~9jXr{IMju4ip!cG8qBo-j=rVK> zItQJOPDIC`!_a|f7MhC2qrK5cGy-jhwnQ7F_0gJW6|@{$0{zc>-TSNeNAGvuFTJ07 zPkT>#k9*(rzUqC^d%*jYcdvJccdK`U_kQmR@7>;8y*GGsy-U3FyfeL%z2m$iyo0=b zy=mS=Z?w0Ex3jl{x0Sc4w}H2ox2m_ix0JVt_b;zCug_lZy<U4Qc+Ge{^t$VH%j=rg zC9grR(_Y8CI=tGv8ods9ReJsBwasgT7tgE2E8i>AE5$3$E7B|2%h!wUMfAdWd3d>a zIe1xlnR*#`X?v-9DR@bFiFp0;T=V?w`QG!D=Yr>q=R?oCp0_-&d0zA!^gQKx%(KI@ z&9l*Szh|ZAZqIF=8$7w5C7yYnnV!j>v7Qm0L7u*zG*5yj+SA?B+0)+B($mCK-&4y| z#Z%r>((@ncC+aKeBkCRMCF&_^8ub7*jv7T>MO{FhMV&<TppK$iQ1z%<)IQWM)E1Ng z#X%LJa!~20L{tna3>AQ4p(rRk$_wR&azfdn%uz-tU6ck&2_=IPNB!~m?y>5z?D59q zxyP)>l*fd}n8%36Wsmb7{T|0Xx;zehG<no{RC)a8vE5^%2j8RABi|#-BgG@mBhn+- z!`FlELG(a-cz8H_ICxljn0Od?XnCl3$a_e7{B!^5{?+}X`#blS?(^=`?hoAWxR1JD zalhbx*8PNgk9)g&vwOXJjr(5ro$j06%iYV|3*EEb)7%r>qufK?{oNVvWOuAP%H7r7 z(cRkJ%pK;g?XKpo;4bAZ>i!G4hWw0tk9>t(K+Yg1k#~_dkynuykORn*$R6ZTWDBw$ zS&Q6<+=<+R6d=oxMaUdv8Zr?XjSNNlBbi7t5{vXix*{EsHb^rh45@=uLn<JpkfO+6 zZfkCz-QK&sa$9hlahr4-cf09!)$M}YfZIv89=D@zEpGL0HE#RdcDikL6S$SR6}n}+ zrMV@zMY)B#`MWXPNN!j+l$(p2qnovxshfeDwwtP(yqlDph}%!sudbh5-?_eYed;>x z`oQ&$>!|A$*CE%lt|wf(U5~gnyB>6{cHQH;!*!D@-?h}Wz%|P?#WmhF(lyxC*Ol%{ zbVa+myE?ntyIQ&$yXw1Yx+=TMxk|YHb@}1)#pQ#`8<*!Ub1qXZ6E0&eBQBR+&bgd+ zIquTw(&p0Wa=@k1Ww*;#mklmlmtvP(mkgIAml&5Ymp~Vm3&jQJ;^pGz0(Y@-F?TU^ z(Q#38QE-uV5q0_HyypDb`MvWi=cmpy&XdmL&ZEv(oi8|_bw1(T?cDC%?0nF<+Ig?@ z4(CnIeCJZ<0_QB}6z4eSNatW@UuT*#(HZUR?u>A@bGCFgcGh#&a8`1bbryI2gZPeE zMJyv05zi1$5RVY|5VsK55El`Hh*OAO#8E^mq5)Bh*oWAO*n$us$`FN!Y(yF&0TG1= zLHHpU2oeH=@IW{t91vCr6NElO6QP2TLr5V0I{k3^;`G7kjni|dS*Izd38yiq>rR)P z2Axhj9dqh%YISOG+V521w99FWlfa4NROFQ7l;)J+6y+4^<nP3AA~|84P);sR4o+51 zCQkZJT23lXa!wLXf8jsiU*I3$Z{W}2v+ybS1bhsB9exQu2tN%!2Je8k!W-bV@Cx`Y z_!hVTUIs6O=fKn83GgU*2;2|OfRo@DxCh)BZV$JDo51zqns8;fEL<G^*YStrs^hZb zqT@5iS;t3?_Z)9IUUR(YIN*57vDfjaV~b<GV~yip$DNLw9m^frjs=ccjwz1uj**VR zj=qjGM}nibqq`%*(azDr(a2HPQQc9=QN~fs@wdY_htCf09bP*uILtUqI*dDvI$UuW zayaX7!lB#Yh{GX=I)^HUJr3I)HahSeN*wYWG8~c|VjaRA0v%Wm6bGDxr-Q2l+`-1d z%mL=0?V#!)?;z>$&;F<VSNo6lZ|z^$&)HAePuSnKAF;n|f6o52{W1Fv`&Rn~`~CJ6 z_Pgx2*bD5-><jI)?NjX&?4#^M?EUQN_C$NMy}Lca-p=0A-q>ExUc+9=UdCR`{<qyX zyU%t@cCYOg>}KpH?Z)j!?XK7j*`2XFVb^VU#O{z?on4jPe|FpKHrR3PitY03GVGG< zV(h}~0_>P}WIL=K%Fe~k!Oq&w)Xu<8%TC2k&Q8Mauk8=pRoi9TMce1Lv$l_H@7dn6 zy=HsScEI+eZI5lcZL{q`+Zx-wwmWP$+45~mZS!q2ZIf+dZNqH?ZCSQdTfD88t(z^} z*2dP%7G|q$t7<E6D{1@BX5HqC%}1NJHZN@EY^H1`Y{qP^+g!34v^izdYjf15#irh- z#%8b0PMgg(<u+`a0-G$G6q`7k2%8`q9~-I--p0$u%?55`V`F9mv(d3pvr({-vJtWQ zY5mpuqxD<s7uIvuQ`QsKW7gNLFIf*-pR(??K5E@!U2k1$z0Z25^=9jGYqoWPb(VFC zb)0pCb&$1>HPsq#?Pcv|4Y#(jHnWCVYg?;Y%UerY|Fc@R`eOCb>aEoat2wJFs|l+y ztLs*mtOl)4S@l{SwQ8}dx2mz)Yqi5_lNH~p)GFU9(<<32)+*d8(28Y6vBFt-TDe*| zT3K6}S{YbrS*cjbSxH#^wfteZYPoFr#`3x4tmPxidzQB>uUTHS9I!lT*<;ym*=%{x zvf6SFl(^ey$+Il6%(Kj}OtOrz46_WdWLlCfv6d)H7fT0AD@zkgeM?PCWlLF0amzmz z-z`=wmMmUdELhA~Oj?Xvj9Of=7_vBH(Pz<RaoD2C;($e^#cqqO7D5Y-MUh30MVdu| zMU+K|g`Wl8f@p!ZaJN8M*jZRu7+L6As9PvlNLh$j{51b+{?Yuc`3v(o^C|NQ^D*=5 z=9kO|%}<&4njbZ9F|RkTG2d&x!+euD-@Md3-#pVi**w-f+&s{nWlk~2nR}YMnmd|X zo12;&m}{A<n9G?<nEx^RZnk2!WcJ!@!EDBC(rnyp)a;7ckl7itKC>>f!)8rp2h1wX zcAITA6Pj_%ip+A%(##UfqRc|fe9dTP1T$|lq?wbMt(m!*p_z`Enwf%`l$nUxPt&ia zA5GtyzA&9Loid#;9W%XddeL;i^rUHzX}f8&=|R(K(><o!O*fkIOiN7jOfyWAOk+&L zOan|ArX*90sfVevslBPCsj;b^sfMYNsf?+Z>2H&7CZA2-o4hiaH+gJw-{iK*h{<J> zb0()vj+u0rw3;-S)SB!w*=e%bgl|%6l5dh}l57%d5^fS`!ZM+l;7mMCTumHJtW8Wz z^i4EPlucw!#7+Jfe>Yw+UNU}dykI<IJZXH#_=fSY@p<EZ<KxDi#%;!p#`}#cjCUDt zF%}rJjSGykj8lx`j3bPLjD3u$#&}~dV>e?*V{2nmV*_I?V-;gLV+rHGMn8;Jjh2mG z8!Z^k7)=_D8;u%WF&Z*DW7KEVWpvo6(P+O>h0!jfEk**PGNVGHY@<}8c%ulTAR`|m zsuAAE%gD_LZe(L*W&|_RGEy;;Gm<d+Yxu)()o|Hx(eRn!jNzo=xZ$Yb6~iIJGlqSJ zU51AZ8x8jxRv7Lw++rv&EHf-L%r;Clj5CZd3^MdFq#EK4y$sz9;f6MbriKQFT81iy za)uIye_=mhtFR^5YuEy81~v&BhmFFnz=mM`u;Z{!SR1SnwjWjj+XdSKD~GXR1+Xkw z3M>v50Skh$U=$b*<_U9!Il`=ArZ5ATCQKP73loR^G5Bt<Vz6ZJ%HXNNw7~;|I|erl zh7HaeoHjUS&|%PO&|pw&u+Lzp!Da)#L8(E$L8d{nL99WzL4X0%fNX#@KpD6gI2c$N z7#rvrXc#CN$QXzj{MP@b|4ILy{!9IN{m1(E^>6E6*T1AcsDDboSO2Jfi+;U+wf-Lc z?fM(_dHN;#dHU)4iTcs{q5A&%41JP5THjqCp>L;ep>L$GtFNxFpf9B_qW@FxtKLVw zw|dX@X7wKF-P60JcTMl2-dVj9dfj?Q^bYCO=~e3O*4wHl)Z^$C>E-C9>c#6t>ILih z>e2KFdR}^NdT>1(Ju^L+p0=Kfo}8Y9-e27xx~sZNy03K?bZ2xYb;or_b%%A&>-Os& z*X`78({0eL)!nDNQ+Km&xh`8bUpG@XSvOWUTsKgcsY}+y>Y{XAbRBdpb&YlPbTxF9 zbY*l!b${us>3q_8r}I*0PG?GILT60py3Qq?L7kI2Jv!|=%{m8ls&)R;*`~8WhpSVp zldF@alb{o&6Qbj%L(?JXc<Uf_oOEn;%yeKn+B&K_@;Z__f3<&TuWB!AFKR#2p3$Dv z9@ie#zM?&(-LHLIyHmSOyHUGVd!P1B?akWd+HCE7?M&@t?O5$_?Lcj&Hd!01jna0} zw%4}QHrCeD*3eecmev;4{-w31^-1fk)(fpUttqVutud`@S{Jnjv`%XEXdTfyq*bR? zrS+fIHt5`kqgAApqm`x=uNA2ktmUgk(;{ejX}M{^wQRJ^v<$Sgv{ba@v?R3tXnxmR z(OlAet@%`QTJwSC9nBk>!<y$bPir32?9go0tk<m3+^e}mbCV`dvqUpbGea{;Gg>oL z(_fRJNzz1Xx@#gd?KCYk4K;N%)if0}r8NI(tZRJH_@MDd<C(@2jfWa{HEwEL(HPP= zqtU0)rO~F*sIgz8LSvW4W{q+Uwnl+QmPWEhtVXy-pax5Wtbx@)X}D-OXjp0(Yv^fc zXeen&Ylv$6QeRX5r2ba@h5DTOl=_7FE%j^a7u5&UPpWsTA5lM~UZ-BEzFU1Obl${K zFI3M~PgRdsk5ms*_fe;+<JG;?UDX}ct<_D{_0=`imDOd{#npeSeN+3a_FnCk+PvCh zwfkzf)vl{uQX5n|rPiy~uGXw}P_0^RkJ>i14QgDqVznH#G_?e^D76qZUp1N<LCssu zO%1MQqh_WCQ`1sYQIk`XQ2V3$U3EouN%ghrQ`KqJ2dZ~eM^rDXo>M)odQA1GYKv;U zYK`h1)$OVqRe7o<s=2D^s)?%6sv)X=s&rMNDq0n(>ZEF`YOV@X)mBwil~<Ki{j2gr zWmRQa<+aL!%8bgS${m#(D#I%0Rr*zqsdT8csx+w7sO(kQp|VMZr&6Mlr;?$Pq!O(X zs^YK0P$8<IRoqn&Dz+--DuyaLDrze7Dv~Pyl-HG4m6w$lm7gikC{HSnD~~D<E1y^H zS3a)XsobjEpj@lGPkD#(CS|^IsdAojhH{c}jB=>5zcNFaq>NE^S4Jq?DO)HTD(fh# zDJv*TD*sbjSNfu~thA`~OzDZzq|&(3sL~as^Gf|n$CWyjT9q1<YL)gW?Nr*N#8)a+ z%2&!zN>YkZ3RCh|VknW6FiP%92qilu3nfD(9VIm-1tm$Pe~RmhUlf-W7Zsl=K2e-h z99JAwyrOtsv0w4HVy9xOVuNC>;y%S4iklSqilvHqiW!PYiZP0zivEfWMUo;~(OnUt zXs2kdXsD>8sHP~dD5>~QVO?QWVOe2O;hDmW!lc5u!l=Tq!g+;$h2shx3atta3bhJ* z6?Q0WQs66;DC8+*C?qLFD}*ZeD=-v@3TOp)1%!gFg1LgBf{uc!g1myH!aw;R@~iU8 z@{95d@-y<2^5gP1<cH<Y%lFG4lkbpkm2Z%*k>4x7Lw=(?PrgJxPd;5fQ9fEeRNhaX zE>Dz4%OmBT<Zb26<ze#L@~ZOk@)GiY<$lPm$}P#gmRpdUmU|#~NA8B)Ww~>5r{#{x z9hGa5tCy>h+atGKZlfGeu2?QtE?q8BE=n#$&QFdeN09TDL(0MBY~;-3U~*b=Dspmi z5^{fJzss)3F3G-<eJVRGdtdgp?1=1T*+JP;vc0lLWt(LW%2vznk=-V{L6$39B%33f zCYvA|DH|;7D@&8b%X-PW$--r=Wld!bWHn`#Wo2c>Wq-?jlld(3Ugo9Dyv$>n`!ZuP z*JUor49J|6>5*xdIV4jjQzi4C%vKqp3`eF=CR-*|CSE2&CP>CdhAM-T@sx3uag?!= zF_F=i(U4J+k&zLT`6az3{Ym<r^b6@Z=_%=Z(zm3qNneycD}6$`Tl$D}lk@@UO6gtF zTcicjWzq%GS<)%eanj+^fzm8#vNTp2CG8?@FKsDpEUhQ4F0CjnEiEGbQ|hbKN2xbb z&!uLi9!cGmx+!&4YDnsgRG(CrRGU<z)PAW7shv`rrOKsBrShdRrIMv$q{5^Eq!>~p zDU6hd6hg{Q%0kLWN=HgfN<m6e>YwDg<QK_h$wkR$l20TjCC4R4C5I)?OZH11m+X*i zm28l#k=!e}LvoWOPqIWZPclO?Q8HRGRMJn9E=iO`OClwmByA<lBw>=;lB$w&k`j`C zC4NY(NGwUbmUt>LE%89&j>L$>Wr=eVrzCnMj!Lvh9F(Y**dwuBVuJ)%qF5qFB26Me zB1$4y!dHSOftT=-aFc*bSWB2n7)WSJC`-snh)ety|0e!f{Jr=~@p<vb;uGRy;@8D5 zi4TaM6z>r~B7R7`PP|HdxA<0Zp?H~ip?J1<s(74ugm{oROPnH(6ZaH%5qA)`5;qao z6W0(|5|<Vi75^o+CiYS6t=J2(S+PfA_rz|AT@||^c2=xUtV`^$Sd-X(u?n$WVw=Uv z#n@s6VwqyeVzFZ3VgX`IF|rs&%tOps%wEhw%t%aEOifHdOiD~dY+dw==m*h7(PyGh zL?4Qdi;jw35j`*3FM3?GQ?ymIL9|wMujmfZO`?3!645--4ADf<Xwgtne^I(9Q4}re zF6ty|D{3wZ6V(<~6_pp25dACiLu5r{N#wQ2g2=SU1Ccu-BO;eY&WW5B=@mID(jszD zq*`Q;$aawpB3zMTksOgUkpz(_kzf&D5t;~I#7o3Y1TJDNVk%-FqA8**A}b;;@=quN z?ajY`!n@GUKx>4y8CoW^0BG*e453N>`zu`j`&T##?L4&Bzkh@~pyfgf`TJYw4b2Ri z+}~fql|R3P(|>*nFF|Ynvo8D(TG5{$!boWNKi`Gc(3Jmt6R!RKCY<}dCcO6htFRkd z<?k=Tvfr!1IB1mLD?<C<pM~nbKM8;S`Y3!3?dGo!!oFY2!u`LNgyp~93zL7n6Ec3i z6(WAU5$gO}6#o19TDbW0m2mv$OJV=d7s7_0&xIR*J`<+@ToC&Gd@4l#oEO4=&Iu)c zJ`pah&j=r^PYchjKNhyEPYJiJKNRMyPYQ$A9|*nHCxoW!_k^<RcZHvS+z~$haa(xl z$1P#|kDJ2XKW+#Me~btteq0mceq0q={TLQ1{kSY#`+iY4_x*zK+V}Iq?(c)b%I^ch zvhQbvvENS%$=^>3?Z2N8s((K&{Q0d{`21V9@aDHJVc)k7;r?&!!t!s2g~{Jqh0Jfw zLg#NyLfvl-LXmF=g>Tjl2*=lIh5c*Q!iKd<;ik2H!i=>&LjSehLie?uLc_J~LdmtQ z!sV}<gp*%42+w~N2wT7Mg*(1-gn3`t!qBfJLiE={q50Q*q5Rie;p&$x;mnr|;qaF< zVaJzb;odKa!jdm>!sstCLgJT5q3xG&q3V|q;g8iI;lirF@W!f-@YpI-ShGqK@>a>h z#8sk@zKRn%t)hk6t6svtD;~nvD{jI&E6&2xD{x``ii2?Dimfnx#Y*V6VlG6km<VAj zhC+!Iec{q)9pQt|n!<CR)r2jdm4(|sD+qHx%L+q2O9{O{iwn&@iwNaE{}HTyS{KZG z`X(6u^hMC|>9b((rw@XXPwxcLpB4qgPcH?wpB4nFpXLNVKF$ahK0XqRetaM}{_&om z_Ty~<|Km+T(#Pup#>XoH#K(&QosZ`Q|2~`*y!miiaQ8!>;LL{}LF0!`!R8M~1eqUN z1pyzL1Rfs_3XDJ03Zy?&2|h0G6+BwrCAhG>O>lU5vtZY<P*Awc6GSYt1-RuRfz@)J zKxsKku(p&Ym|sc~TwjV4^ejaQs+Ph8oTVT^!jhkWw!{>`m#6}*C8FTZd#vE~doRJA z_wItz@0|tp?;Qmj-`ff@-dhU%-<t^B-@^ok?{x)I?==J;-l+&4zEcnky^|IkekU&2 z_3mGJ!MmU3;qShc<KC^5TfO^GuJrD0`M0;P%IDuMlwW`Qq`c?tRC)E=`{mrX<K+o& zZ<f>FUMqKcd%0Zu?fLS*Z_bo2zBy4o{-(FQ|4m1E<D0hf&2O5@GvCye2fnE(N4?os zZv19fxy+lb<)0Qelus@4$}cUJmbWh!l<!&0E-zk8E00=CEGI6;l-n+bm#Z!Ym9M|{ zEr0f!UVig6vApj!rhNZvRJq`_YkA6Rc)8DOn{wCJ=H&*jjmpJe>z2QNrBVLim2&yH zSF+`;uO!NMy!yw_f3?mJd-au%ef5!V`RW~C>D5dA+RLZ>xtG)Y>n|tyJuk=k)h}=I zxi7Es6JK87(_aqo5id{jbzb)HMP44|zkSidzxSe^Kk%Z4f9S<N{<ar8`8h8(^Fv;g z^SxiN`Q|SQ`0_8Z`Cp!=@@JpN^RGUS<aa+0=2t%V<#V3X`0>vPeA;twzSDCgU;DWe z|KBqk{+nlJ{JYO!{Ik!r`AyGM`CFgK^K+g_@`IoK<#|8*!83oh%9DS##QU=Fnm4<! zz`MFI!|Psnz^hug!{aQB@Ddg-^XLobcuotacsdKcJduTV-rJ|myn9dUcmq$Xc+F3D z^R_?T!pnUs;DtVA^Ds{fc$QByd5TYycx&@9y!rW1-pITkuXmottC=V8`14-8<at*f zYu<t9GH=P#pEu%(&+GD*=G1tTbMm~QISF3d+#l|)xo_OUxli24xwl-x+;gt&+!L<a z+$48>_73;?><IVP>_zU$*|Xe(vwhr+vz^?G*;a1AY&{n>Tg5e*-OZJq-NIdYQqG-z zQo<d6lFRLUlE$rg62~oj63&f(;?Jc$p>v&{5V$%|Jh>uIT)1y%?6?y%=G?&<18&QV zCU?h-A~%0Vk{dqrmxG)6#<8CH#8I7j!&#qR;5?t6=G>Z|;GCSk$vHSZ%-J}7j*~Hc zk`pl9#X(KCa!jTVa^$9~IIE9$ah^Qh#JT#I%jtex$f<gq$>Bau<Rm?g<S-uxa$FuW zIQowX9P!7VoTVve&ci8N&V?yc&XFly&VN&?oRTS7PRx`jhdi}j<}kHVraASt?C+yz zWs8rd%kDn9S2plyw5<8j<+AOM&X(mpI$jq3=x7=4QB#@qquMgnNB@<rKipFG{2{+= z>|s&asfSr*^$!!vHb0Cg%X%147W9x-=KT;?X8zE<O!1*(+1jLK+59A|?8c-<+3`t* zvICRiWx~my?DWYMw*TZiw#Vc%w#npUw(R6x_UeNX_LBz}*w-HPvwI%&uxlQ)vH1@U zvQr*ZuzenEXCog7*oF^E*wPQO*`Mwwv8V4xvaj3^V0Yc8va9Z6*xdVW?4<klZ03D4 zw(EU8HtfC%Tk^g%`{Tsl(#I2PrI#m`N;@ZBlvYm6lyWBSl_pNyC}mDuEOnVUU1~7V zT`D=zQu^WEfzqjadrL3h+fv$bk5^i8ub`B3FTFJJUTi7jUT~?)J!YxFJ$$L;J&)3l zcO6To?wXfgzN=r_c~`Zx@~(6#_wJvP#JgWhn0McoxZZtM0=qj^B6asp$*1vaCDY^Q zN`}Y#O1j3|ORB~jN_gXyCCTI4OMJ%5OOWG5B}U^JB{Ji2B`bGAN}k+dmR!4oFX_GG zUQ&C<p+s=UtR(G@Zi)XL<r35#i4xO0Ka1t>d@lZad$D-__H6Nu+xLt6ZjTn%-M(17 z@%E|W%-fyCLAMVTd*7}uwz$2sSn0N)_{Ugr@$<3F;<2%~;?rXx#f@W(;;m!2;@mN} z;_xxMV*HqKvF(^<vHF;N@$XwA#f!JT72UhFR5W<&SyAh)siNJtZWk5b8ZL^tb*6}N ztEb56R!foYt=b~7Tf2&uZwiYZ-7G1(bThN4^JZL8<;~zC?oE18@=Z*U&rO#i<W1`$ z<D0M|*_&!bUq+>i=0<-Pj*PAp_KhwU){V{<ZW^5^%o-gj3>iINh#BoGv>rWNs5)9# z`18h|!dEvo72ds3S~zectFZM(eBrJeA%(>^=!G#iFoo0`E`?4vtP1sR7!-=%P%Zp0 zB3bx&<Y&R~$j5^2k(UKEBaaKpNA47)jSLqAjGQU(9O)`BA30Q@G*VUY{ra|o=ht}! zx3A|F^j}XdICMR{V8?Y<LE&|LLDY4(0`hg60{C@Uf$nv+0`coo1<Tid=1*Pwm_K~& zMSl0Ssr;I2xAV)dUCvLtb~-=cT1URuwT66)Yy0w*uWim>zsk;kc{MA4{AyhOz}2Aq zmaEkKU01#Gi?71-W3QU!)2?deJ71N{H@NyYPwMJw-sdZec~7o9$-91KJn#6GD|vNS z&g5;r(v_EUr7<t;N<|+2%9cF4E9^YYD_ME}hU4<y4F~2;4pZ_j4x{oqhaK{&hK=+1 z!y0+1!_s;F!@qL9hCk+748O=#9)6U&e)(4J%gYyX?_Tc99lU%vx9#%&+&!0f=CUuB z=O$dv&t+at%0*rd%Qe2t$d$W{&Rx6Yl)G@rJa_Dpc5eSAx!gmS{^abu^f{;K(#xFK zOH(<tOJh0CmoDbOE}h7czH~Te_2T}Vxr;k<MlbSnPF~E*X}p-2v+ZI?PQgW5PSi!u z9Lhz99H)!MIr<mXbEGax<$S)do;`bEIeX;7)9e!$?q@e#xSqZB!a#QZg|6(V3ys<2 z3;VL2E^N%!zfhblc_A(P^H4<g><}w^WC)vmVhEAlFl3&+ZAdFSe@HevYUo!MW$0rT zV(3|x!O&!u)X+%Q%K5>px%1swqvsp5PMzPE)pUMi){gVg`Q`c4teErRS+w(vESK}> zEW`8gEZOrWSzphoXDytQ${IWOBlFC;_n9r{<}!DmyPH{h?n-9Dxzm}fbM2Y#=MH3= zp4*wJc#fC(V=yQ4)nHuay}^LY^Ml09_CdGIib1PP-k@$~>Y#jP;NYJO^x&rq>%r$4 z>VuORe+RB-ydOB5@o1nkV|buGqi5j1i~|GZ8Jh<3GI9nIGQtOfGN7k68SnvQhTed6 zhSY#=#>!dwjQO*F(r=#qn11@~v-IY(_tSTsy_Q~j_Dp)h*`w*KvvuhnXLqKXo#mw~ zpUqDHc_ub}@r+;k{WG}qi)WnEJI|P<*PPKz7oL$y&pPuxE$qy@G{TuDX^v-Zr|F)# zm?nAVc-l&TbJ|>gMcU2&O=<o8MQP3b$!WX$L(<s&l(fWt_cY&rn>5dUy)?^y`83u3 z->H92e@K0I`f2K;)Av%ZoE}c?J$*8@?sQw~mebX#`KPy}MxQQ8rJYVqbv+%HYIK^G zDt{W4`u&tm>dRAlsrOFFrw*O^ozijYLrV3j`4r))yD3?xE~kW@I*~#=)sg}~RhgoH zYEz2zsiKrGCzDbZP6nskIY~|#Jn5El<fLUv#YwFc{z>VS^poF{gHOIq#-E%?b~t$} zS@-1mWXY4=$tx!slAoT~oji7emwfg_c5>T^=;VDTe3E%5(8*~h9Fl`i7$)ORC?(sU z_?x7A;!~33iG`$<zI#be`-YRo`c5Pb^tB`%?yE?u=-ZIQ?<+{k=u1cn=?h50_u-Qq z`w&U`eI`lLeX2=ckBcTfJHDDYe*8t^x#JHKj~>68SatkVqVRZIV)pT>#PH*r63NF4 z5}l7HBpMzMNR&U0PyBHVk@)JEN#gxus)?75i6-_OTS+)@>{-H=V-pDl$A%MPkDW+h z9&1i;KejKy{FpF7^;llQ-`?1SrC#5J>0V62NUuY}sa{yZp<cy=-Mzo#%X*jNQ+j9P z1AA}BV|&lX+xK?I>-HXum+IXa|D~ra{#j3Y{CH1T{7?@yzOx4zU)y6Dzo|zvKCee2 zKDy^?9KGjd9I|IJ&b;SpoNCX>xWC;kaZBA5ans$xxEtNMai_au<663X;`VlX$ML%D z;?leI;zGLR;)vbru}<A@V`1HoW97SV#Qx|y6T8@TBzCf^I(E2gQ|$4sg4l+x_}HCY z{;{Q9*x2MQhuDBFgIH{re5`%f&ltU~cQMjk(=ltEqcJZ#&&Eu2w#QuRtcmIA+#GYT zvmj=BXM9X?r(aBBCnm<f(;)`ksUKt4DHo&LxgIUu`8N7%$5ix-j*;kzj{fLN9c|G) z9hK1sJ2pgb@5qfV>4=F=>R?6(ba+N%J8YuuJG7(qI;5gyI=)7IJNh!})zSM=4~`B; z4Ie!ob^K^kRO8XzQM-<EqRNh@N2MMOjS4<Wjv^d&iE=t>5@mE$B}(z=-^gF>%aQNe zXCoiC--;Y*ABa5N-X7W3UK3f-z9~}Bo*$Xr9vd0e?h{FG_lk6Hw~4f9*N)U^my8r^ z{}S=}$n%JWBNGwhM=nJS9qEneK2jf1cVtJz_9LYcB}bAYl8yvM1RlXf;Ep&(z>gS2 zz>dg8C>;3_{`2r+_`Aao!yg~M5`N=wU-+5BP2q<R?+&jz%n9FcI4wNya7cLUVL~|T zuv56#VZ(6S!wTU#hu6cT55Ecf*7hjub=$SD$+i<=SKAJSooxFrtfh?;wy!NMth_BG zEW3>m7S-ky#%P0uq1xobtlQQ@wc8d$rP>~buC-nXebw3*I@#J7dZl$&=!sT#XiIBK z=)Ts#P(dp$G^f=eG`dwUl-Vj1>e;#$V$=FEM5lEkM7s52$hVfBkj0j|kVh?BL$0?J zhMaDR4{2-h38`xF4B6OX9g^Ro84}+j7UI|PDHzi-7wph777S|{2v%r068yWlGI*(3 z5d5S$D|oCqJosEQIk>CYCAhBHICw|1Vlcb;XHZ)6o1oC<he4F)D?x6}$Ac`I8-g^N zcLYf^mjrz|lo<5#kZ;iBA+MmThpdB69nuVHJ0uoVdFWH%#zS*~1&3}0CLB5w=zpj! z5O-)_pwl6Kpz)!MK;=Urf&ZEafuEY-feTFrf%lqZ0xvhM1@tw&2xxA)8?diwC_vEE z8Iapl6A;_9F~GMeHvrQV8Q|DN4KQqS2~cV>4*1uo5b&vS-G8C+wg0`w2mY5EFZ=g3 z_V_n99`N7SxW#`%W4?cWV~l@%Bi-M>5$TU>H1$U`D*Kx>{_<08eB&q9_|R{)Vc73Q zL$BXt!$H4m4O{(AHx&3CX^8c!X<+zmZ9w{!G?@COG${LpHvICXG`#V3Z<zG8YPjsH z)6nZH+fe7bUcbfneSN;~llo}i+x0Zxp?X)}-g*<?rg}x+J@xB8g8J7!dG+^w;_EN^ z_}6#&;OlFBoa;CGnAPX_sMkmMNYs;k)(#?k77xOF9v_tT89lhh8a()%)phU=tN!31 zYxlt;EdId?R?a~_EB0VI%kN+?3wIF9LL9VXnI6<;sUH+)NgVvdT&sJ+T&%mnd|Y>m zd8_Ua^L*V-W=~xSv#~CLxu=fB6x4Yz^Xtr+33bZMz`9=yV%-~tTipYOW!)u)c3l@k zwyu`(^T0;N(t&Ko{DE-B-2+6%@BujE<N-ZK+W{#?&4CsA)&ui&_JN!9^aK6$$OFxE z=7C*w^np@3{6GTT_<#>x?SKbe{D2vKZND=8&HkUX>HUkevHkaH7xrJE9oyeYYu;Z? ztK2W3ZQh?jE8ZVMOWlv7h3~hcG4^ZGy!VUI9QJ>p8ttE^s_wr=72kiHx>j3HeN(%Y zI#ZiZy<Hncy;w`3_SGV&t+fW!>RK7<*4i%=cI{J2M(r(1RBb=Sr?#1bt=&a&t}UUM z*Tz${YMB(7S|sIXjS1yLjRIw%<~#X*%?t9insM^knn7}B&0%tV%^vcenliGmCW%~7 z<4aDiL6Jji%*nJGWwKYzPm)8;E0S@|J(60@d6HyJJL!9M1?hb?mo!(MLb_M&Pr6d= zMe47%Aazu$kPcS=BK}wXnkcNEAQn^)5tFOiiDA_hM0z!k=v|#cgjf3$O{=|#8r2p= z>1q|?&#Iq<4^^)T&#EQ}lU3&lH>%nRgH`(oJyl%7p{is;WtAUcOBIU1t}-WNRw)r; zs@C!TRWI?xs=Ih()ga!sstpgT+JjfAV&lcD67k<ES@?IANc>!-34Wqd9)GR!8}4l7 zGhA2Y7_O=E46dTG8MnD|CyrfNgv+dq#l=+8Z~>LhI8r4H=Uyp|v#VUe8dlC?)hb7@ zl9ea0>lO9b<%%uXXBD~F$%=67Xax~_zQO@}yh0n>S|N(9tyseBtayasS6s&AS9D>L zE2=SJ73COaMLGss5r}cA@WxnHSYq`4=iLM29=P_vvIl-WFzbO+4{Uni(F21Xxbwi8 z2fjQo<$)s)?0DeC10x=|@W6rx{yQ+=f%6V*ci_1L!yUNoz-k9RJ22US!=4D)>%dzF z#yW7-fu#=obYP|fCmq=6z(WTHI&jZ{bq;)UV44HR9N6W+D+fk7aLIv14*YRojss_$ z4%y<s69<MkaKnKW4t#K6f&&K}*x$hW2F5pVy@BNo{BB@&1E(9<+`!`o1~+iGfwc{M zZD48xM;qALz{>_kHgK_lg$?{`U|s{~8rasrvj&DWaI1k;4SZ@~QUiw?*wet92F5fG za;1SK4g6?eMgu1r*wDa(1_m^6pMmuZd}m-f1IHQI&A@90Ml*1kfyE5`WneA?XBpVa zz*7c>GH{cDl?;4jU?Kwt8Q90bI|jxvaE*aw4E$nX76Ydk*u=mi1_m*3hk-Q=d|_Y; z14kIx!N3a!Mlf)Jfd%{v@_&K(3!GnI`vT7w7{0*m1y(Qcd4b6b9A04W0&f=>yTH{2 zmM-vfftd@OTwvn@4;L7?z`X_5E%0rDX$u@%VAle#78td_r3Dr(@MnQJ3!GVC%K}dp z7_z{P1y(HZVSxz?99Uq#0`C<VufTN$mMidEf!PY2)<DQ+1s*FfSb@6=tX1Hv0#g+@ zs=!VKUMetBfr|<(RN$Wi^AtFzz%~V*DKJcdTMDdF;FAKA6gZ^79tGYgFh+qZ3M^6J zhwg^VP~e0D8x(k;zyJmAC$K(&?+Hv#;CKSN6L_7#=mah&usDIg3CvC4Yyw*oc$&b_ z1a2m<GJ%f?OibWl0{aqpm%z9Lt|hQ6fnQkxnU%n)1U4n`D1ku<+(}?f0$&oClE9G! zb|mm3fe{%Fxsbqu1pXs1AA$1-Y)9ZZ0>crwjlgOIJ|i$0fx`&wMc^$0V-dKDmmo_K z_=&(w1WqEb5rKyY3`F1_0_za?hQKrgjv=rMfmaBOLf{etixBvOz#Ih5Ag~32CkPBd z;06LK5cq(=1Vor5{LlUa-XAdjfa?b=Kj8NPvky3Zz~%!UA29fUy9ca2;OhZX4>)?j z&I4W^F!F$l2P{0`-vRRuICsFd1D+i)?0{PbtUBP+0h10mbikei-W)LIfGY<qIpD_u zGY&X$z=i`JoDO8b0rw49Z}%YI4VZ4gaRYW6@Y;aU23$6C$YKNj8Zg&@vj%K6;HgzW zh8l3wfRzS(G+?3u2MyR~z&iuR8F0;jWd{5*V3q-=4A^AABLfB*aL0f(27EDKiUCIq z*kQm614bBd!GHw@{4ZdB0p|<YUcmDzhYT;^b^)sk_*}r`0uC3jw}7_=j4j}50ZR+` zS-{K!PL>&DV*w8f7+Ao)0@fAqt$=9-94lZ~0j~-eRqBvS1uQDyPXTiZI8(ru0-h8w zq<|X*tSI0^0TT*1P{4iy-V-pMfa?S-C*U`EYc&1OX#zGA@R)$X1l%QHEdgH%m`cD= z0(KJclGGq03AjkWLIVB~Fpq$91Z*SV83DrxxJAG!0zMHiiGV`{>>=O{0b>ZbLV=Ja z1pFXi1_37s*g(Jo0tOIpe}MG^d>>%?0LKT|J;3V$Mh|d#fW-s+9boPNX9w6iz|#SS z4sdgTl>>YnVB!D=2iP~jy8*@xaBYBP1N<6b)&Qpl*fhYS0R|0lXMi;Wd>LTM07nMc zF~ExfMhtLafCU5m7ht{s=LOg<z;gkH3vgS2)dGALV6p&*1=uUVTLH!ja8-b%0{j$U zrT`}e*eJk50R{?iPk?m-d=p@r0LKK_CBQ2IMhS39fJFlQ5nzr0X9U<Hz!L$62yjDy z6#{$^V1nd94hXP6fcIez86Uv)0G0>vJAl~%oDN`f0FMJ09KhWG)&}r3fT;l-4Pa*g zF9R4Ez{LO-2JkO{c>$aYU|Rss0vHy+tpHYq5b`O2NdX)RU{3&V0vHp(l>n9m@FRd3 z0h|b6LjVr~7!bgH0M-NW9f0Wo90y=G0IvZU4Zvjp76b4XfVlvi1z;-xPXQPTz)b*F z0`L)li2xh~U>^YQ02l|?p#jSP_yxc$08Rn034lic3<BT|0BZpF0>BgijsUO&fENIa z0N?@u3*i4(|Nnpe{{L6$2TlI}+u#S)ebCtlZGBMGhyLJ0-`D?@@>iiUKIq|pf(rN_ z{#U$Tf-3j#ptAiNsAc~e>es)7s`bzR*QuWu&Otr;Cs2hRbmu{D{=xt1@^_(@JSfQD zf|~I+{#S_yZTL(7>%gD;U-iBJe~tG(sOjGGzl!@&sM_8JmD&$Mb@qCw$G#tGuvbCl z^}SGSeHYYN-v%|+H$o-#a;TqP1{Kqbp-Orl)JD&QYUn9Y0X-h7o<~8Y^H8X69so7X zSy08C3YE+8P_Nt@DwHFkE;#}!k=sG_aSNz9ZVYwC^`N%62GkQ*f*Rs7P&Hgs_!nw~ z|A5NiD^Lr32`YfUf|}n?q0aYXsO~)h6}@jk4ex7Ew|fX`b@xMs?qg7u`zX}mZid?1 z2cY711=QHy0d=)ELN#p;)Xy$}n%NmpCp!UZV@E(e>;R~NO^3SIc&K)bg8J4@0(+=u zZ4MQz^`UOH8q}(mgX+|xg5OY$`U_N`eh*crpF^eTX{auJ59&$ZD8B;LqX(g8^l_*X z-43;(8_M@X9q9j{_VXsF_{@PS&v{VUIR&aYM?(E(|8izI1u8XrLv3b6xjockHYqoN z%FC+d3Q%WRwEQ>JR9=Bf%8O7vc^2v=Pe6_25&mVUh}_RV4)u=@^P8aBaV69^-p1d+ z=RzgpT&Q211T~Ap_yK$-pUlVdQBaB40csB$^Y!=|d?lzaEXx1ITZ1~nZ+S1EYVaf8 zJ*W|Um3IN^0rx=-;5MlHTg%(a+ririm3@nOIZ(+ro)-aCds#d(4+GVC5j<O-8P9;H z$y4G<^F(;-+*R%p_Z4@ZJH@@ny~(}8J<mN2b#jkzo4EVA`=Ac)1}+Ec-ez)>pvrA9 z*N02yVz@{yoNL83=IU@&xw2d_?oZAtXNmKYGs}6%xx={*Rc6m{j&a&KO`KZJUd}d- zfK$rJ<)m_AIiVb14uyl^AUTd4OO7E&lcUIy<oqdHD_bsmRW?`lu<Ul(wX&hIQ)S&{ zZDj|`D$911Z75@x<&~wD#g>JX`IM2$yvkh4Y|Bi_bjwuAq|5%Xzp+2CU$JM|lk73} z74{&zkA0Ng#I9lQW^ZD1*!k=<b}T!D&0-VTo@@l$ifzc&WGk@6+3TgBOW%~vmp&}L zU3#T-u(YqVy|l5ks&psB29%a&mnM})l=_!aO1(>6N^MGwO0`NAO2taoOFosnE}1R4 zUvjhLQb~VFcS%dh{*wPnHkFi><d!6tM3(rMP)fW@oJ*`r3`;ahWJ~@PuN5y9KQEpt zzEga)c%b-L@!{gS;=RRNiaEu3#mU8y#eT))V$WiyVvAz^VwGa4;$KCdix!J!izbS0 z6b%)fC~7ZiD5@yhTEs2ND@rbkDDo>J6`_h8i_D62iWG~)ioO>v7d|h1R5(_6xv;;m ztME`^b>a3xeqljjYGGudUm>Z`qtKzyv{0*1zVKhc*MfHi^92tIZWIg^^c5T~s4Mud zU}Hf^K}JDrL0|!;z^edWU{;`Apim%E@HPK!{#^e3{E_@~`N#5G^K0{W=9lLe<fr6E z<oo2~^WE}o@?rTZ`4ag*@|N?S<xS>|<_+cb<+bJQ&)bz(o>!2Uk{6!G%ERWl<XPqE z<tgQf=B?$v&7I4=mwPq$Y;IR>V{S$6=G@ZU%-on<|6Ee8d#-IRELSC0BKLdF`<(fl ziJWUWXLGu88gusLY|1IgNzaMO@y)^KxaL^p=;bKn{L5a=ew{s?J(hhj`$YEP?Aq)d z*}UwW?D*`UY;v|owrw^nTRB@SdoAlt)=bu&tV>xZvkqtN&)Sj2&C1D&%L>RMWg)Yy zv-GkQv;JkSWWLONlzB7rd}eRvq0EZRO_{}+shQ!Kj7;xL$4ui)wM>c3Zy9eho@Ct4 zxR}wG(V9`6u{EPKBRwNBgPDQOfM=LwsAWiGtfjw6pGhA}zmR?`y*a%ieN%c-dP;g| zIxQWQZkKM5u9*HW?Q`1mw8^yVX=l=orq!kGOyj0yrNyNAreV{Z(oE9S(!|rgroK*{ zO1+tSF10(gA$4~uKQ$*cHq|c`mx@R=O;t}7PyL$mI%O(lG-V*AGv#2)t`u%cR!VdV zD+Qh6kYbpkoboUEbMmv~`^i_5PbIe{S0`^yE>2EP4o)T~yCqvDYb8r1e@}Xo^f+lW zX)viXsV-?pQdv?)QbZCx36*4%q?;t0w4V4r@k!!X;`zkx#QMZtiQL4D#E3+CA}Y}) zQ8!UGaXsN}!gRvTgu#T)gaZlN6W9r<384v;1h)i>1kD7Ags<_h;wR&;#h;3Ai?58| z7@r>>AMY2BjdzTP#Vf}Dj$4kKjT?(QAJ-LE7q>l*9hVvx5=V-2jWdf=ixZ9e9J>&E zFZNRGvDn7g-Lc%*jM(s4YAiC=B32_-Ja#qadCWx2<(OkJjWN4pxG@<q;W3mLw;1yn z^%&8Z&(RCfccU*v_e9r6?}%ncr$z@y6QdE)#?eaAf1;M6o<!Y@8i;C-s)^bZRS*>y z<rC!{WgDd%B^|XE`6}{3<Z$Hi$fn5Mk(|i1$k0eqq;sTkq*CPXi1!gQ5u*`jA`VAX zLI6lkL{tPl!ac$wLOnt>;#2s1`0en)@T1{15a^p99vjXKM}=F3Yle%5e-3*Zb|>sy zSVvfG*ygbOu-GtW7%I#vOe0Jz>{IA`=ve4rXnSaN=!VeT(5O&)C^FPMR5kQ($a2U` z$Y@A^NNdQx5PnETNN5Nt1QB8wA|J9Iycj$gJRE#1xITDCa7l1tuwSruuuZU5uz2w2 zp!uM&pn;$xL6t#*psb+qAaam%kWr9A(2u~^fe!*N2lfUY4BQ%67#J7G3iJrH2viIF z7qApC9WWAbGT>0a?f`Z`a)5sTI>0tSD?mKplmDFmP5(3gt^Rxcx&CSXLH<~Odw(5& zN&gkUdA~8gvwm%U`}}x*X@0?eI6r$o9Y0CG72kQ^TfS#~+kE%>a(z>M1AQ^Rw!YfF z;=Z4JW_?C|PWv?b?Dk>%B>DLHc==fQsQdh5EwQFpS6Rnd^{j2ILRKt`&T?a!uoPMA z%va0_<^^UavzjSnW-&vV1g0ZXmnq3yVazdZGWr?KjNOb<Mk2$9fnu05R2aYLZ|D!` zm*`#eTKWchHa(0^pgYoa>5}x%v{~9H?G&wvwu4qoi=#1UNSX;vf%cvHf_j&Fj(UW; zkIJQ{Py?vmR4b|)^$+DOWs-7<(nYC(;Fe5E2n9#6qi9h?Da+)?<g4Uk<T~<ZaxOW7 zOd>myb;%OsPoyWL5mFzip0t&ePl_awNlqkvk|gOfah5njJV9(AZYAauqljdp6H%Wi zN&HNBLKq?R5$XwB2zi7^0*L@8=n}*UAMw-pYxraMI{YSl4n7Qz$J^tz@FMsn+#}p& zTsN);C%|Rkf^cY@6;2KJ8@q^|zz$*CvHP$bY!cQ7>wz`JDqz21o?*r?{g^|T9hf3a z42Ft9VDvGPm`~^#^fmM`^a1onbQU@UjX_(Z)zH7a7riIE&wC&7-s{cwPVi=WyLlUV z%X+VR&3WDMI^lKDYqM95SC|*h%hpT7>#ye<&-<Q3o<}_QdX{-6crra*Jq<l&JXcV& zsOzZXs5;a}R2C{2g+W=NR8c=YUU`gr40yD7?D8n`i1DC!z&&(5#5|VWAGu$0?{u$p z=ej4m`?w?BjooG4SCMnb5#(`X9daWw6B&d=BQ252$RBRc-EO<}yEVCObIWrJcO$sj zxoNolab0x1=Q`-x>bl#t*fqwL;tF@waTRra?=tCf!KK}0uM68H-i7Ys?4s`?;qt+G z%K5T$r*nlf$2rlN>FnwZbCz=cgqTJQBf1b(2p%FC!9utp3=z_Z&rZ`$SDd<?s-1XF z$xc2_NGC%lX{XQdY4{a*H@phYgD1mTa5uOiTnhflaoTa%vCFa2k?WY`$aHjdG;ox3 z{NOO<aLJ*=VV^^pL%ajs0pXzMAm*@SKWTr#{)qh^`x5(Ddy2iIy|(>7ySH`|c7t}U zc026~>>}+5c6N5^cE4<2+1|13w{5iDYMWylVvDi0v{kbGX0u>3YSU+Pz-EI@x{be$ zhmEm~tj&t`jP(`kF6%05u63d{!`j(e&sxlS$!gMS$m+1wZmS}zC@Z3sot3)PFUwb! zcP#rY8!fk3W?KeZdRv-XDp-ECn6tQU(Q8p-!M8}ZU|G0Y7+8o~ESXQ551Ah}-)&xG z9%W86w=-8a|7rHZY|QMGS-sgNvrMx<GnAQ$nXK80>5S>HX{Tw0X_;xfDb*Bis%`qu z<c-N)ld~p=OtzWin1q<1O)N|lOuib=8DBH*F|Ib|8YdbvjGc{jjYW;$8BG`s8Z{ej zH_9^#HNqHK8YvpB8O|GCH|#a6Hsl#58Zr!>4RsAg4d22hU<0sb*mhVhEEI-@S-=!v zUkzprt{HS2R2gs#5)5btP6pZr|MVC2@9Lk?Z_?kQpRFIH@2PL9FRQ<zH?23U*P*vp zuT(EakE~~}r>^%)_l545?n&J`-3_{Fy1u$dU6`(<?y}CL&X7)<&Q6^Iop2qjj+Ks* z&YJeT_I2$Z?J8}qc7ird+euqn`>)n(t#Pe>tp=@4TA5k_S{_<PTGCn{H6LkS&^)5K zTeDCzLKClPt*NZ}O=DhTM59-uT7#>Rph4Gg($LoUtNvR3j(Wd(gZd`*40V5XcXcCm zDfJI(57ma$+SGQc6{v-)Vbv_v6xF_}&Z=Hj?NY5&EmMtCrKmcnYN-BFd7*Mk<%CKd z1URLt_^7z5=&OjSyi=Y~9#B4{yj3|{IY`-4*+f}J`LohvrAtcfO8+SpDMc#bm8_MN zmA)y?D_&RZQLIwrD8?&N6&)2d6@UL9*4{HNs-$@XongosF=51<5fl|;feMNNK`<*w zQc#jGIU*P^i;6j?HRsH9nbYbT)|_+BIqR*$VV8aXAMX8d&u?d*(|zi6sIKlVrk=H( z?>YbJyxDoSv&nggb9ZNd=Zel&&QF}qIPG*==rr0X#VOLMm6NxVozqLl3yx;TWsc(= zM>zI%1jpKrE{^XVt~nfVSnV*?LFEwb(9xlRLn((Z_P6bi+HbHgu-Dti+jp_|voCM| z)9!)YNxQ9fbL~djCE3x5pmvq*tnHrKp0(X&yU2EoZK`dQZEM@=whp$hY%baeo8>kW zY%*>7*#z3uv2n3^Z+*@Bfc0wYsn#m%XzO5WI#JU4i|n@SsBD9*K&F?)$wFnmvU0MY zRu8OBT5YwOW0h?+)GExXnN=k#nblM28R<^xLg{E}iZoK%N?J{7Cw(coATdjpNybY? zNcu?HNoq-)E&PAx{I?)}|G6SQKXdq*x6fRC=I1jfpLzJqy=T5XbL^Q{&s=)u&ogJ9 zdGgGSXFfc0;F<T%TzBTTGpC(-?95$fzB+T%nU~I7bmpHk=bU-w%q?d=IdjOFH_lvf z=7%#UoO$5P{bs&5bG(_?&0KEgZ!>3`dD_g)W<EA^u$gzwTx;f6GpCw))Xbe`zBF^B znHSAmXy!jN=b3rV%xz{qGjo`kx6E8+<|i{JnR&>}J!ZZ!bBvi+%v@sT4>M<&dBV&M zW<D@;fSLEpTwmt*GN+e$yv*HYzAkfgnU~94T;|_0=azZ4%&lcUEpup@H_KdE=EpK8 zmU*zuePzBYb6lC%%3N0FuQF$qd8*7!Wj-o%P?>kiTvO(kGN+Vzq|6;<z9@4<nHS1j zQ09L!=aYG!%<W`8Cv!NNx5->h=4Ub|lX;lTy=1;6b1a!x$y`e2Pcmncd6LYHWIiNw zAer~bTu0_NGN+MwjLcnRz9MrJnU~01MCKnd=a6}Z%q?U-A#(_sH^^K;<_9t-ka>X2 z{bRl#bNras$6P+<?=feOd3wyvV?G{p@R)bUTs!91F{h4sbj+P&z8rJpm>0)fIOe}G z=Z$%8%xz;n8*|v0x5ivG=BF_yjd^IyJ!8HZbIh1m##}Pyk1=PAd1A~BV?G#jz?k>N zTrcK#F{g`pT+H2Kz7}(|n3u&|EaqP^=Zbk&%&lTR6?3SVH^p2j=0`Cnig{4XePX^7 zbDWsh#9SukFEM9{c}mPpVm=ackeGMGTqEWeF{g-mM9dvxz7TVSm>0xcAm;xt=ZASd z%<W-54|8~!x5Hc==I1achj}>6y<xr$b8MJb!(1BX&oF0(c{0q6VLl9VV3_y9To>lI zFsFriEX-YDz6x_xn3uv_6y~2W=Y)AC%q?L)33Eu8H^N*I=7%sRgn1y${b0Tab3B;W z!CVgJZ!l+rc^b^kU_J(OFqn72Tnpw`FsFif6wIAqz65h5m>0oZ2<AU9=Ye?+%xz#k z19KRdx4>Kl<|i;Gfq4kbJz%~8a}1bQz+3|64=`tdc>>H0U_JnI066Z?v3`#4b4;J( z`0njor*gcWWAq%C=U6<)-#O;aadwWab3C16=o~laSUJbXIVR3=aE^U*yqja(9M|Sp zHpj0yX3cSGj!knsnq$x$cjj0#$Co*#%yDFn9do>xW5gU6=2$Ste>vvMabAw?ay*w~ zxE#0TSS`nAIVQ_-SdP7Nyp?0D99QL7D#uSbX3B9=j*W6Wlw+VA_vBb7$2U2q$#G1M zU2?pVW0V}1<X9xfA35g8aYl|Uay*e^h#WWMSRuy;IVQ+)K#u)!ypLmi9M|Jm9>?!E zX2)?lj?HmAj$?2fcjH(a$JaQf#&I-`opHR3V`Ll`<5(ESzc}W_aW0N+aXgD-SRA+F zSQW>oI3~q$D2_dGyoqB>99QC46334?X2fwKjty}<h+{w;_u*I%$9FiU!*Lvr-Eh2y zV>BF>;aCjEUpVH%aTboPa6E-$C>%H8SP92RI3~hz5RQFtyn|yL9M|Aj2FEWrX2Ed^ zj!kepf@2UIci>n9#}_!Jz;OhQ9dNvWV+0%*;8?)#-~WFW{lfo+e$jtVC*8iJb7~*a zul2X+7y65I;_C@Iw{@>+7oDuShR#QwXPQo@nC8$qq#1Oc=pZ^1v@@OR*@RB!tVpM8 z%1j^W49x3vlI4CnjdD4iCpm`Bgd9reI(DMd8Eez|iZbIH!!0`LaF5{+I*%~hkYead zrvf&nll`m>FX{Zf19XPo484KQx(m}c*H@-9=bq{Qrjy|o(b;apbUk$bbjsQf?HxJ` zZIyO{HccC@ZK^G!{iwOD*{zwQ(Q5i@T4}sAU(}b?JJbd0EOmt1NA0YBqB^WvO2<?7 zS2a_)tDY&3C>JYr$|$9e(oT6>v0IU^NLB<XycDnHN97CUa(NfIxBO$)iLAw0imcGA z%2{tR4`<HK9G=-O(<AfVi0vcBjOaU}-iWUmCo<+`49{qt;h1qXeRaAjJtVz!`rWjR zX@;~eY30)HrEW^qr*=;DOud=1Iz^rWDGn*;hR+{9WO(D@pNH)qHf~tNFt1^El2;|C zCpS<2k#sO=TvCsuGD%m5E*hFJwBFDcLpBdl3~4#!d*a^2oW$TnyTs!O6B4>7xF(#A zpBf(#?-BoZ+_boeIFGn9u~TBhVqIcS3?4VQ(_rht2VzVyZDPJeZ;u`k-6;CWpcR7# z52`rm;=ufYT?g6@+&4fopy`0;{a5sl?q9C|nSOcw0{eaLyRq-kzE%2O=rgfT`#xWK zZ|t4eyF%|Xy+-$H)$3K%@~FO1E>Zg<6_Ir#ulJnNvwhDG5vwBlM>t394bKR#9)7;Z z=pM~`JPMl~);a7;_to9|b$95#t6NgHa@~$}RdlV{_3thtyEN`{BXoRdK<LBH(>n)t ze$i=er;twXLKcN|4fzzjEI2IqYsVEG!#jTKu%bhFhp+8dwC~aWOVHAwZb2Ud7X@|> zd;@c#13YUty<MAj_uEcv+qCVqHo0x;w>jHd-MVV)Bdt<edA8c!GNz?%%e4U!0iRmT zZ_&QRqvjKv`!&DVOxLVxvqSz#{?7iJnnpGK++==}z$W+n#`x9u`^z`O*VA`<<Gzi* z`7H1OpSz7lHLBg{Si|IoP7T*L2y5`Fet!KX_0QFl*DF(Rd)?l3Kh~L5r$wF1wbixD z*WOjDZ>>)?XVq*`^I{EUjWRX1RgbFvuG+L}e$~!+r+K@0udCXn>XRyCtJJD;sB&Co zN#%u=+Elt)QC+cY#jO=0D!lTV=vCkAX!(TlR^=C!Yg6t@S!G$zvYX0uFY~nY*wQsh z@ADkw`K8p%QcX&o_89JA@3GuH(EWy+#;uIoX4menPh3X3RC5u|{hU8I<vTTUI_{Y0 zC~=(c5a4j$KHc8Q{tvtMb~kOcwq<NL+jO&eY@KUe)q0Ptx9pA8B&)hshomvmZ<3jk zCPn@~|3AF!=d*9m{yh8e?6<S8&i*<3<m`vD@6G-;``GMPvoFp5GyBZ!C$n$N{xJK% z?Dw*-%l<9<wCu;S@5=rv`>5=fvM<X1C;Oc2XR>d}{v`X5>^HKn$o?VwgzN{h@5lZg z`*`fvu`kE|8~beRr?GFw{uujU?02!R#r_rhRP0Bw@5KHR`$+5;u`k5_5Bogq=df?X z{tWvt?6<J5!u|>SB<zQ<@4@~C`xxw3urI;>1N#g`eggRh><_RH!23S$^}N6Hp3eI? z@7=s#^B&FnGVjH_|MH&8`z-IRyr1$O%KIkomApUlp2+(k?|r=A@gB$f8t-MifAOBh z`xNg@ydUu%#QP5KHN3y@p2GVG?;X5f@E*bY0`CRCD5CqCqOzyxB<)#rnsj$MQTa8U zD{P{(b-&W-vT=0A>P~%s{bxFBv$k%Bw!QY4ri<pNI#9h$RYNsd`CidWu|@7AkICAY z`E^9lh}?|*>EF_P)8bO6q>#@&>_@U!a+9Qxp?!wLC#EEfh#wJ`9-A~cIwm~2-Jp5{ z-3PqucfRkYKI3~2?$tEPD)Lmstnla_)xz#{TiCU47q`%Zoyac>KGQ+lzFN>>NNQ)- zc17#JR+j_PTUa-r>0i6)cE2FslRmu~U2hOu|3TfMbspCmTJu5m*lO3S_O5ceQm2Z- zt7-XFWh<7M>?tjk>3+{O(&eC2Q^%$D?sg`d7qS6X$0hY`BoZqLU)EB8sYD{pr_`aM zjQ@UFNo`e>BbzCs7wWgBTPvyka1xfq@_n=J{B313mUFi8ee;;29J?u0s+F}(9;IaW z=&4n>njctG9F@S{CYe+Ab{z<;t!<Li<ov8o(Mxt;7^L>@T&KOYD0<Jj0~ca%ZAD-s zl|@M3Qnqaq`jXfdQl81S5q%qN>(NUF+W~a1w+*Flo$X#KO)IfmNDpNFq)0E=Euk-2 zytF-mz4gzc?<o3qaF-pn8%N@f-t;9qYR8#YH6)Jj_}yc6zC}qZ$0}5=?6@T<bIjtW zf7&_HJ61KU9seLH*$F%MqNKg!E`EB_Qk1jf7B2ZOOVU+h*P9=ovMWp34wYmB?8{Nv zj>kE3kbSD9dIE_o+LE+(<etl7?0>h$-qDp?GuV=JaQw;1Sc|Z;qlS}l_P-nF?pTVe zkGCXCJD%gG2}PA!)hJKO;NIH>a`lP!1>C0cvT6=<Ny;fqBCFw0tdLx8LQPB3%JB*p zQ_F!h$r>n9NNtDTb=o_g=i=&ElFp8m`Q5rjg<92c|J{Uo4%~068l|ZTmFdpzI}6o! zs7LqC(&zLoRk;@pIps^{^A}yxtyHp0q(rKA=evfK<$8e{E!DVlllyYIKg(&|x%laH z>k=lB>fE^-r|9Fx{gCR5(pLErH|~ej;9k^^d~0_-6)MekXMKOAkH<?cJJ)?TWqZpc z)Wk*8t!0we7Tjk+lB9eO?zJ?pSeS||68>z#+ZN0&!craSC2N|Kp7g7guctIZ_D<T< zQyNYX;b}z#7C$!B#nigetW-9SGV|yanWQwg^-6L2m!#}!uD1JA`nD-*VmTHrl9E_U zB<1<7UouJA8idje56U7j>Or|z#bR~-5p$E2UCKRv7w}tbABmNv+oICK{t*L-M?RPK z(Q5E-IZBjkTP&xbo1(UwBp$g`ht$bw>~Aq@dAY=5u?2MVyKz#9ha(qP#cAVjIZ|1< ziN$h<|B<tkc--RhVx2Dimb*=I+lu90{3BOY;!%d>5}kkjmdhr&>vZcSy-vN84Ye<_ zD`^EbnF?$t6?oqL`$g}1NNc%zI>}rsy7sd5koJ_i+mf-?lsGxHqf9EJtVHJ8%Bi(e zs3#4hCEuP@YfZhSd>bj{+twwaN-kvE7HJ!nws)ga_{PDrgsh{>?*d6AfRy1xNqa8m zchcEM3eH6p+SG9l_4JUIk_Ot&YVXXoxY+z7YDJB7r6jkE-sGfPi6oWl?*E^s9wn0W zwA8p9DfQfsO7$F{Bb8V==C`Np(o$)tCs$Rbnk3Y-v6Dnn*76?p!-@pT)uwzJ&7#L- z(_a5=ZX0J(u1%2$shRXx^f446jS8W`nUN<s-Ipi$=W0%OQb{;N7y4LB-buq3@f{1( z1N#2wYD0HYNd!X&`q;2+4R^jRel?=Rs}Yp{&()6Zq>`Qt|Grwf<f}bPyxQ}h_vj{& zK2k{}Lvb~cC1fKh|DVgB?yMxfix^p0Dv2uY3uhP0RVotW6szU;#r-XLl}rO!wUy)v zJ+_j5b9IqO>7oSBWFMc#QJJx6bedUaYKS5&UY?wumL-XAH83MHeQ0KEs`rrOl*BCW zy6S-D^#%@2Q+UhM(^Im%V>45|6I0_76A}^=2KxK7@NVMk*JOnMz_{eJfmCEla$I9N zjH@w;HKG$7iKY-6m)w}-e3~|<$N&8I-{;9`@hOUgM9DvoLoFE+l8Z@*m;1*grzOi{ zM))=JiRad5$rH#;@JXW16^W$A%9AK1V?Efg6-i`2yrnXCADKfNnaVrbzq(BAQ&(0x zr{)-0LL16&EQ|D!`FP7Ry&KD7d}P(VYgcPjP1eU-R^MBurMv+?vfAEOZltgwL*(5O z)7opANaEA8Vur-V%hNNnBK(@gH1lgB$>>RsC4CZ;hbGDY$qee*J*In5ROgt;UL86F zM@C9g($j`|7kw$xvXY0UB_?>YlM@-!AtWS9Lbra+W8@{I_@PBEJ}EX+5*A0b7roC< zO4JtM*RqL(ltOQ3v`?1zOjJh2#-$`m6vbsGq$@bxq1C_+-6KOIe}BhBCdw5VmYj}} z;W6Ek;|4~iD>CB~2X^YvL6S^;3Q36_+Owqgv*fX9@rgVFl8h)-aza8%V*8$nu_=<@ z`jPynXPv@&d3W$G8ucRk@N44R!n<x}VoG9cR$@Kx0i>bE#YWV~Kc+>aII_g}B=5{b zWpWnTp|@X4|E9jp2L0~ze~QjZO3##g|0k=2LYnyc2T0<IG!arXQj&iLBPuvDDkdZ- zv|F#9!KC`0ta`StOzOZt)+Leu?pnA!lSV+BDA!~p{%(XtlfTPO%*;yst)c&>|E;ZC za9Ag@fZ(1zd-RkHNlA~D|NAU5G^|svZb3c&&7l)jLc>D-)nU=77ppm_NX@_d&Erp# z<o`}wo^bzXqWshMpq`z2bq@}Uva~0o16yqxP5jst>SU3*G;Kmg^nVH|(np8%#34Pq zrzg;<l!=*=qPG3dR4B5;e`<>i?H?S|Jv5T$K!?sHbzH)RxTbj4d}eGyvYMxNiHF5K zr)eH5SJ0yL--+kfB%r9t0hSh9q)M0pOYlf?%l~QkZ$m9oW=4nfEQ@{oPuWEVR6GGB z5}FRJyz8<#M-{Dpv{-smKfQx3E1-9HW_o;LRu(M@_5S~`_n=m^bj9+TDUq^IZ_|OS zJyG4LyT8QN#jd-9m7{Gb>(bIP(sHu$QZH!*X+>EjX%(yL^iQNwB$M1Zx@dRSyE?l_ zO751tCj_}VkCKhHnk)@+EiduO(xl2`;|S%MzcH!!j_M;LONf=nN_^t7vLrq<Z+$q^ z=l`0APiFdWL6%8Kj8hDyksOjvuNU3N#>LT;{$0ZFoRswVlF3+__d^pcb^Mn2zd0$% zX|y%`eoBp$_{68DrY7=CprP=ImCG}e;}r7$mNJBPute(g|HrE$$??WWeo@iI+i=ON zXiK4tYV={bqK$^|f93cYUv=n1PT&8l9FOt;)?0G_F5cz&&lv~$6kqBx(jxbQ$CzdK z!}WEh50_Wm-ZOMB`Ndzquj2BeC|TTAesYFne8@j5zKWl*7ge&}T~u#*>O9wLN1x() z2h)A=J9I1gX)TrXE0IxroB2O-eQc$YTE!1bJWHedlJ%Y`PyHo1uGf)1#r2LX@ebWe z^mbB-H{V#U;-U?dE?J&?1*ycH^0+*nH^t@6p!?!?=vFeH%450WtN7Ve$|zZ$cZu@o zkAQ#5TS@oD?{FFGsJsp(GKz1P(zBA~`BHg2p4>jJzqox{=$_y4r4L`P?4^?L#l}c! ze#RgEous7PGiNC=9{#<G<&IDmy`EA0WT1<b{*A<cFP7u$Dt(IYIX#=?*d~iFZXaJ4 z=~J>F0VVWOhMpDo!-MWiG+T0r%6mk4#aD4%jK#Lh&&>3j#cRs+qI>@BfXie3aGfQ~ zTUS~tIYD{FS8;j8>0&IIZ!LLKVy19;C7*J-WJ@?*vPMo@)4wTM^0F=(zwCdqina7R zLq@9&kMF;it%TR1qAQ#AZ7XTO3A&dA{!RnkO7_vm`**qr-AZoLhm^qb@tl55A2J?J z^L(R!FtbQeoF2{T@ARRraGLF1!ao~OS2<nsk`>MHe{*F28CmWx&%}Q(S<y@?ndX`B z?<M=sOyc)T{bS$1rT(iu+5c!$CZWG1OI-AWhvW}R^C<C}S&H<(yTo(0i~sA<(BBU= z<&v5S|HqB~A4j0br77W36gdX}BU6-DLWch$_#Y9@Dj~s+$^S@j*8kmDIp@EgDVu=A zvC40Au%huDMQO%73r@7)R15Oh^7Gji<k7Vv74mv#MTW<7kdd{{$aBi7tb{mGgynw! zgvR-PmgoE@FsD0MFx-N@EEs3OWDD{d&hk17=2&o?1!q}sp#@i1aGeFWT5yjAk6G}P z1<zZM*Cwv#wgn$r@VN!wS&%0;%XyQ*FgCVeI}3KV;6Muwx1iR7<1M(zf*UL-EO^p_ z*DUzdf<?bzQ+@U{=eS<}#h5YBf;}u4ZNXFv>Mc0If=ev8!Ge1jY0pLr9$+jjkzBCg zRYt1kfhGOalKx;x%V_yxd3#2ZD`&yl7WA_`Z*EC<U?h9$YQabg4zOT6BbAe3NvkcG zZNWSXPGzKaUu7iuhn9RPEsvabVWfKMTGIZObPr2<pe3!eq;oClg_iVMOZtc<ea@18 zX-R*xq;07UTpwTD9?CAlqWM8-UOzaWMHnktu%QKgE!e_>yl=8xumwXc*w=ysEf{aX zp%&ydn%`4eFx!HoEI8eQMe~K^islR9QcM1N3vRYxp#}F^uxP%J{NI-J6${?5;3Eq@ zv*1??{<I*uXhrQS`U#)P@vx-H6)egxT31T_t1o)3D8E>rA1!GzHHlS)fA!heKOn%n z#O2qtd6O2+{QZ3Wy}f;l@_&E(`T90*-rU>UuW1X4Wb=c;j;7#lA-{jh;@Wr*s9UrT z{7y+E=UvFi>CY$sqKvwd3X*aX-|e}ZBsIn7^5N3h_;+sBiSMd*-1<RcvL2lMLh9H) zw%QqwE0j*JafZHQN}u^vQ|c|5Y9HbeQXxcA<JYI3zXorSZSob_4c?q}?q>ZVJ8=}9 zlrE|H?2%))QG*@39isaOj~wXUq3HhBBYV2HFS@_-NU~S*nG{xZ5#FW`J2Khy*+(Bq zHgE0OjMv;kcM};EuDTuH{e$q{iL!gX5ngc%-Se67bfj+22g182X6|`Ic>Tr3J<ka* zxE<f~h@bo2-E)WVXyms&*9dR7ax6Sgc<n^l!jpuT2G%G%M0oZ^<HAD1lg2iMn+cD& zg%<unxNmW<!uf=|{o)Gq2{#`|D;!O@I#O4tCJcX@TR5EXM62<Ig9t-%rxu10?mscJ z5C~hBUQp;uxP9QV!m5OgSFA2{C0zAlW8sgVCaBhYN8wY#IYx8gWkQdm2MP}n=D8g& z+(h`R&*{Q>go?!%3dayWdwi`hgK)6l-NOEa7c`FxI}nB*cv;whP&j@l^d$6+{8sq& z2leYOiTO66<6D{e2w{h^cILH&x>k<nDTG_2UCdd8PjlSOeF&@n;c0G3*!M(Pb49`_ zue{7ZzMJ5%XJzwE!f!3S%}D4wu!eadVPbY|vw?8#@_Ob0gr|-*G`A$QdePWimav&e zQ}f$zCP-@5+<b~~Zoii1HH0S(ZOo$xe=Y%YG-2Z-?acv%gP#VQJqV||hMJ#zCH*() zX5LTus&^0bEW*mVp5`>dZi{-EI}&OR_BDGEu6#7W{NjrV&N;=H_Y?kV9A}<E*dQv= zJe07vI?3FUFnj)RvlHQ(eQD-vpGp4y2=h9^@Ae9_j<9AUwYdvn*9e`tJYlB7Xny#K z+IuqFyp`})bdEWj@a^kdb2mck+|lN8gk{T&HQ)Vcf;xZXnb#2pv>b0%5Qd(ZU~Wg) zf6yeeE#a_Nlg+0-m_R#ns=0u0oaZ$20Kz#drkkq~{?Veq{P4XAb{wB+UPE|jz-)6G z;omRjnEeQEndX__zcayej|Ju(gkP2|G^+@0nlCm75PBS2V*dQr1eN+PGw&j-^L)8k zMcBl+(%g)&jr%I|yEkN~OIMpW5%%z3Yfd5Tdt{xtE@5on4d#2VO_2O_qj@3W2>oVr zUqZF(R<j48Y4JAmpRY_XrpXR-4&lT@JI$>K^Ly_$zj<kbnUD9F{~(;FHJb+!E^<b5 zDZ*t7_nMEqpmFluZ`Km7K5)R?h;VJxA@l9$CRqRQuz4EcM)gs12f|H`$Ib7b5zhb9 zyqwYJq&bpt-(O}c!i_yon>RnD_wJuDM-#49{%v+5Ty1~eyz2?oGxvfyfpBTVOJ+C1 zg?QP#`!VS`{Hi&gaK_zhW*5RK@*C#uk7&Nx-ZBp&%$a@LY(uE6f7iV3p$W3g_sl&B zhlM>be||vvx&6?*fUs}oV{;H;nDtZhgZnhEW<E3L5eC$KVQxU!aL-HgU-wMl-R-qG zjnMPv8?zgsZN@wE#=9o?Ed5{(BYZObqxr=hTHk7YHcue@bLSUxJ;FVq-^@pDQ-7{~ zH^&jqO8sg6dCLT&fBiDgA>4gTBK!$A=1aw?n<iM%-%1Q6oL568B!p9+%f##(B){ES zG$zy<ZN!o5CP?dKD+UtAx!Z}i*Jxf`u@hqnLzmi%iiB;3JBW=}X+HWpiuQ!nemIH? zSE&6*oWxK<n<>uX^JNo!?BgQF5kB&E6<&mwpSX%umrQVMi<@XcxJ&0Q4qv2ouA_&D zB%I|^O5DCcc5|_mNFh`#@)V!Xn;<@^w8$ll@GB!+3E^uQG3y-ZkB;=IMp%AgIkEb0 zs<&5p(S-0#WiPS&tO;&B^b&!D$2L|FN6yeXrmiTu5iSU-B+j0u@pP;#dJ$%xt1PaZ zGQoiPRm4ETj)_&p&A&|0$j4g@CM@&GTiiKmf**UUi8#XhdDX?;6SSU3)DW?RTPoBP zxBn!&xmQy}6B^gm64#HLAVE=E^dk&yS4UhpW&$6(x*~$G)S0^C<WbVk?0TXT;nmps zV*e3Zm+LnWtq2#rYaq59COb1X6!i%cMmG{m51Al1%tv?;)-KmrOgU%*yW5S0HQ}RG zzCv?=_M;Jg;^ls_>sC!fEa7NbQ*nMD>F;Dy(TOmqz+dd%Yl5oL%|v~|@3otYc}V-@ ztLDOqaQm(nLMv#Vjtme_%qB?b(o*yx?Bv->94@4F|9UIYgz)?F)?(ov+E-HB2uH#V z&D#paZWE0C)mGfvWrCPv?L;VHi+m7UcACJof1s#H_@H`_$lYOr!e>F^>2|7TTYC{f zIMmQV?A%6n71B{uCUkcT7CBo<&zFM5!!2Ydi$g>g!U@Tp#QM!97}TV*a3l2n)>(|$ zWCH1-Q1SOhTK^_?5lsn~_3A37Z=iWurJH!Wp61=7ZX$xP)TZuY<2n=EQHKc^!i_;a z#IUs{&^v~UBWq01<y^R^Mp$-!gfOfoe<7i#xU`D;*C<l>5o$h0it&Gtee8`A_f}HB z@_LEZgqOp6i)kxNu*9p6c(R<<#k+k35c;j{D`qUC^*5`Zc(RoCsW$yZJHjzG14RB3 z+MiAh5cd~TyJig(EeJ2g3=-oO(fq6%Ev_ykJ-&$+^$Dx*i4ocbq`#cO;?McC{&$TP z<q4BZ$BCiyOi=$uoY*#(_U9GxLQ1$MEkSgjL+eqCL@{T!3F=9Pi2Jk1PL2%`K7=ds zhYIyfn&17C#Qp-ZhZ@Pkk?`8HWYKd5t<T$ri8<40z8Qv#+tW<o9Fihx6CQC*6)E|& zzF$feo2Sw`wm41D|DXe}lhQ>?!c~45LO<CA(O)wJPNI2paD<Q$o|up+f+o_w8kHq- zCeVIgSuPHYr*-XtTu2G$Z%~N9akS5<l){)t;~A(Dg=1;H+N;IqF=YQ|)xw{!%v_Dg z7)^dgoK~zIWdeBvop_i_`gyMtRSD0U^`c)6&A-tGkw235^)RD2o=ti!YZ4N|+*>Bm z!eoMSQQ0EZNcO!eTP!n>A699kxU8pfA30Ju6ApVYQUvNufT1}eOH2FZh8(d%Lw-!D zTyaTF_NdGi_Joetaz#rO*&~b+$x5=PWuwGw1-0LPv^Xj^LC=)Y;zJhM<C)Q-I^lc& zF``E%+0UFYLN|i;mmg!q9~orlv17&G=_c?$GFJRZqjuKM6SWEdo}4GbQ)z#AmnYOI zv@Z7<Cl(Jkfw^#;I692#_Z}}^CYzwosPUpS;qu4hMXMwlk8Tr0%ut$7n<t3eA+*kw znJE59G{JezMDb?=jrYxo;(0vvv;8FDO1N$1B+)pI{4B@GB0Savozo|a^uaVg&rKFn zVrYG8F-2^MHbIT~Q^d(Zq&LY_@nj&qmoQb>5`H^2Ra759^Q=+6XxHBa<MZ=HzkVh- z^C4ek^(B4xpC%^tAwA(Vv9dSqx7DYMy}igD$4nQOqG%m|HeI}oBtN3Z3}HjKed`QS zu_w)&as|RKg8HE^5W(SOcee{f{~jhd&~c_n4Wspb^-Pi7oz^FpSz>xO>gR}AVntWl zA1}-jJGzj5TFn+mLdj2CI9puoOzVf$9PzLd+2fEo;(Z7`KQTwh2)i_%E8K(W{poW> z<&N}sz^A#QUI!|F;5^Z+y$Rayn<oN;sQ#MsMVCO*f8Kl%1=POh^TnWcWX}-`#E`Zo z$k@I>q_r`@I<JL7(VF^aSSa+ZXn(xBP~^0v{Uv0P$O|w*!J0*4Vhj4a!*#L9Z*Btn zti@tRGZO?}S}bPylONT3iJ04z{Fp^c#QY{Ecr05g7WmP)B`p;TeNE8oB&8dZU*)?@ zEbt+H%vdJoH!{Je&&$NzhNRCy%f+k)<cI8EE@srH`f9BZQ|p=F__!5fVqMzDUaSyf z>(KhybEU|sP5Z-+l|omG<SP6j6g8>;#y>=A4e~$k{vi^p)B4eAl^9r!{L{6oM5H&3 zuiI)7T9y2dtknXl(7JPJweYWO0<Sh}MBPecKa1ChN)=6@v|cORDv(_!trb!)nx7}v zig)G6&V1L2`(<f<7OWE&%8>njStkycCc7WBUTpKE^>+Vyv8)vBOSLwLd=C@I#%~ZN zciN9%ZV)MMB;RwR=<7=B$&QVpqYL>z6*h^+&NN?)n}nB>3BKOlBxH`H_fDI|b9-vf z+RfsEoe9$2wg_QM{mj}TmfO%ibZLv2U`>DTwcaX5$Y{J5Zxwy4Xg#&wCfZ2JZ%f)H zyd~rxoZKd?e;J{`ce{A>!w9=(Y!}DB8{z8b?PBdWBYYpYLrnZ?gv$GOh}17eXjf~e z=>FLVG2?cMhM$aJda+YDeKf+Nh+X2zdn4fXUE=6FBi!)XEtbDE!Z*Wik^RO9UUzqk zfv=6wB4m$f@yZBMYxW3_mqy5NEfmk58)0H*p*Zx+2&*m@iUm)NaIlqGD4rPM=0daR z_SguYt%RuY$Oxr|3i0KE5gMKl;`DtZ1UE*p{GJg8O-G@=YXrq76y5F^VbXxTqUvoU zEZ@6VyuE3JJvH`;gEx$DcI-Y;aNP(GpY0Qg*QkFz_KRj$jo`j*zp%Mtgj(efi1U|> z&{BUuEWK!iZnqDJ^b6GAjt51X^F|o4>Y#8qXM|kmL*o2d(p$zMvG|M;R-Qj3hMhLT zj)23$|CAAq%s(uCoHW8k$q{kngb^Mj91)ZMG{XC1M?|mVMzCshR8%@f_2wTH_l}T$ zKO7aS4jZ9<zhffxkP(`TW5VyC5!zQfE<WrxLif?f#jbru==<cj(CsxsT=zdkTQow- z=0C+xGxfX739+}(2u95bVcKJaF*i<#cDs!*Iq0PLvC{}MSDX}uJB%>j;V+@tZiHp2 ze~ISXjIjFbU*hc+BW!4PN^IC{gspQ<iR4X2*!km>sJYPyg|Vl_&Gkmud-$}Nway3! z>YWkcYmIPd(ivgDhWh#DjM%rz2uFLJ70N%1aBTNk(P$-M<-f(<<%A>u7PFQSKKNU7 zTS{`F=Y(X55e~0EC$=u4arQVb5*Hd_pW?hIx4;PE%6V~oo)LDpy&yDmjj(;m1yOH~ z5jNRe6jx>$VQun7kvG!_EB?ADnir7W`CStCryF5*!6lJD%?SBlE{V4JMi@8fvUoDZ z2qX7j7BeOrL0#*LfJsJ38+S!KnP7y37gxlz@kZz$aaFV)XM`TxuZnwPjnKjCnwT(# z?9^~gG#PD#26wKB%eh9V5`0}4b7;I)Ul%n-()hXD5Jyc$c$aZQ3^y9#{`nii)nJ74 z0XM~F9qDKOO%bUjeM)YL_i7_7O}HfrR7RM3?3QSzG=ibwZE-<vgke)}3wf3i`n<m_ zN@Y_0eeZ}(8Ak9i-x1x@Y2J9>6;D%*ARBd8j7~Aai$`}wwc%t}UGIs)WEz)^_e8HG zBmCicU%VP(gej`~A}`Sh%4_$9cY+ZH!UM50j_hdJ0}&Q$ggSN)#e*0lI1hg)bkRn5 zed?ibA4KhL@<=QnK;u61kqGE-gt=cIi4%Q|ppSkmqWjSNI`CM$?nU#z_7jm4WrV8Z zpNLYCM)>*SiC7jv^EBeAXcBG&^Y*7=e;B>*^-P3!C%qe<iJM)G(Cf}KF}#Zr{DPm0 zPo0h6viiBm?PP?<&M$;p2-!i#3o)mo5f+?(A!>A>_6NKa>w{=q=D!rp1C3Bu@=9Pk zBm9bgB|_R7;nLAp;;+_5Sl{5ah-^jkams6PCBO*%-n|ylEl7WT-iUk6Xq*b)h@t+Z zuPSc^9XJK6bKZ(nKkC=Rx8hY}BZPH%Cr0>Ce>S`mZyS<6Jl>1U25gV-#oKx`URU0W z5p`+)xBVbq)iy$-B_Bj;En3&CKZ>U{$gYz<ilNnM9XR<>-19a<tnVigU6t0S8K1<J z%0~F~>63`8MDu3AXYp4BBTU%)S%i4e`d8zNz;ZP2$9@sb%hLMr^ov+u+6e2yzKWWj zM#$RoRm}0Ab*St&;pR?y)_fDWuB4|M-^3?pBa99DE`~c9q3eq8;--TUTpfOhaC=(c zQhta7wnmtC=7(r%L-qLo6w72rC_Ve9@U)_F{`OPkNQ^Ke=9hT=(*QjW{u0qY43Ot3 z!IR$%Ff>?#0bdOetdii0&jzTuT7vGM3?RKGLEQ%f+;W!UgLeinx07Ozw+5J#A;n#< z4WL{qMekQs-gznJy)b}}trfm{W&p<kEA0K$01uL_(EQi{`{r3;wMPb+_m>s@W3UEL z{IbHQ_YBa(PljQ44Nxy$hMR92z^Xuop0^Be?T8E&Hw>`tvkWg@Gr*+!*4X^20TKsV z<IKwjXgk>&KU_3G>3!B1b-@77-dN+7a|Sq2!v@{|ruOx+!IU!wNFQs1$4^necH3ah zzYI|EnGKpw7~pj!TfBPQ0EfeDvFR}b%+0pNiAM~Ow#61795O)r`?lEjpaII3wZj?v z4e+Fs9X{Jj{nyxG5E@|0T05L+HbCqR%HLyvrf&8axZ40WLH0O(rvWZz+T+9R2KZyS zJ+|Ct0QE(C9KXc?o$Vd)`X&REZ{>guHyYsaa0k?{H^9yX4tR2{0mhtmzzS;&&`auw zNvmianmXc+l?Hg5;E1vn2G~2(5qm5neH?Sdc}on?|EnWDTx5Vc4V}<;p#k0vazgEV zlAGd$hvph!!hR=oo@0RC@0>7dmI12Oa>n@u26*1v8ShRvz>Yj;tT)X7*?XKZZK?sf zJa@*elMUcm#RWf4G{E&9F4%g40alE3LE|_Bq;7S={bLQ#?12l)#u(s7Sy${h$^eHt zyW;2^s!!{RhqK8Z*14j!iTZid6+0LVaMR5Vb94q+9^{65H3mq|a>MT`vX>QZ*iuRT zyXb~;IqAXP9XDkf=yzIoe41f^b}8;yGo9>kp*u#WQaz{LaprK+lhgxGB^w~LsR!C7 zk-a5)VA~-EI62b;vl3W89=JNr0AXJ}@aA9xxHc?>o-qbEHK-JZ3^KsvDWy<5zyRI% zm%?@Z4B-5(6yEG(fIn+`qI+)xjO*=*K~V<il;?>fdK$obk0&k;H^Ba9o_IRU0LIFt z@n<&!wC+(F8+J9or|i<$FVp~Awv@)voyd;vm&VP(WY=ZO;Pnm$xZkM^+O;>pLQNU; z3p7CAwPkQXI|F#!D1)Qg7~q6!SzOo30J(u>@qB;*+GLi+Z_N$xepy+p*31CwE|kSi zO$`ulR}NGB3{atEIm~ZtfV0EO;r2!b7(2flUT#41;#4{OR*&r9S2?U)*Fb;2mPe>< zfI;!)ad1tlub@0;S2w_sBjs_iw*fSt%j4cE253~@3vX01!0iEE_^pBgrcLrfFE5%m zd%dt(IqKhQFN`Qd<yEhMsh$St7gYiCJV@_jD&SH#0~GG8fMyp141ZDqFE~;ADptf7 z4y4a+7173?>M>TtO15ODn<`>cYXe-kQxQY0sK1_-Fj`^&-{4A^^-~Wwm6dSpcRh?> zRSD;Q)kE{Em2mxMJ=}GwjQc+7VRGBbc$N;%Ynfgd@4lr2^cGjfcdse`@5(59rH26P zDp=|T$v3Nl)t>2L!q6(%_=z6;=TyNqkMwZk&ng)DKo6t8SHWKQ^w7|!D#qT?!}*x1 zm~u-Gy8NoByrG9`2diTCH9Z`AUlqq)(L-u&Z=7~X51xI!aqa~@>>B5dOU~(GV4*jz zI;#ii3vb+TS`RC#RKsn5>7jFYHQaSV56?zcLvftix3wDXJE{lY2i5S<VLkj^wmKd; zs0VrH>UeCw9?EE|<MF+E*s-=c{weg(`vzg59zMF(z~j61P!L!HkL}b$v&<TJWV;^D zEvtctw(24CLJi!%Sr6`ZHBoHT!}@@lxO=@Gx(=&}+t%vg(Y%_takU;s{Z$iJ|Dgx( zUo~;*3O$&8YvH_Qdgv2Z3#Tv9!`tb#aQq@YOgvl*M=sDq?N7B(Jx>q&>efa&DFXWS zuZ?lDNNz%H>{CGFBWh#U>3XRCsy4RE*TWv~I_Nh=4-q}<V2w$7c$`}YOHa^)aeE!K z8K;NRkLuuuF?v{2zAio(r3dIz7tiOA-gR~HK(-!|*4M>NMm>DLSr-@R_4JPf)Wh*w zJ=ADl50z@tOIAIMRgzv;)WdFaJzTw551VJ|VYq#LteT;RA1&*neVQI74X=-HhU=lq zg8FzlSq~dd)yKU<_0U$*09PjJ;iO*!oEWc%0r3rRM64d3%xHkUWAvap(g0fx(u4Kq z23UE39`froL`gp?XFx-|+nd@wu^}FcB74M!xT2>X_P=U~qr>&kty&{Y4AaBKo{g|$ zH$B9TYJ@eq=;7)1Mkwv9hpb19@MbXetGo{ub|Aa!;)An-^x&fR!7R|jjP*Vk)>aP{ zZ~0)|)_Pd#-WaV~>Y;A?#(1qcwLhydZuKYoUC|gPG||KEi;XePm-=Jxi!FWhaG<3x zx;4~8@Ni#zP)`rX=lkOBI@GRHzBsv-9!~x8#n>8p2>0_t|7v7+aeio5RS%If{P0R8 z(*I#UTvI_0QJ?%!Tb|N&n_!o+l<waIE0iWXo6rOwd(gOwCb-j052s%?!BH-H=<eMV zBb@Z~dvQ~&;y~k)+Z3PJ>fz9~rnt>o4}lMxqREQvteiiFO7zeo)E`Uz)WH_5KVJK$ zgNEz;aoHCgti0im!#?Sts#`Pk`=Eo_fz9yqTOD|0Hbe1R2jiAC!<?5okX~qpou2DJ zZQC53p6cLLi{^Ohkq#1*o8#06I=D8sIY!>oLHNn$So)3*4*zJ57jEjHRpS;|a9sx* z2DiXoS9MT*S_>?FSqB9NTj1XpbYTCX1x`Ju18wa9?0!}U&-(<R!)YBvj|;%VCv|Xo zPXLbmQwM?11F-cm9c-%H5<ec+fp?FVxbdJ4rewFor2RVhxw$2J@6|!dy_OVl(ZSWy zt#Ha79drt5g(16iuuatpzi-z;wbiX~^Hv>9y4nhdY}UaSr`A|uqYe_<w#L8KQGIEx zF?)>;S}tylO;+h(+1b{3e}xWQWNolunGTfxZ7_I=4sIs4!4C^{5HhO`E}u{RJJtpx z=jx!$*ET4ftpk07wz#1{2X_ay#R1cG(0OuOw9D7Q`n_#wf7d~&H*GO`B9&LY9omnl z_C~hDEn{`iZge{wFj@yocC<t5Tpd_HZinl#buh#WFw&@lqg?>M>U2P+hKn^cKI;L4 zRXTWj6Y!~A2chnPI3-gDtAhfuNe1aRE0E5YqxY8wqI$Rv4qXVu3duAMc0pJ;L<gpT zARL%L<Ch$S-(z*qVqOr=i_yXKlR-2eb@1{>5MJp|<u-1Q%D$wJ!R^trw+?>fx5rJ9 zI_Q0{JyOgPHok9<kHU0dSGxm_?52a5J{_=P7ai=(>ww!k>A-bQ2Mh})JwNM!58LZt zPo<7%2-JalSVt_~j_k<P5!bfX!R}2RF|Z}+`))_P*jxv(p20ZGpT@6aF#hzT@|D3z z{~8?h`y&`@Hqyb`%fYy#z7D=Sgka~oI_Tay1h3T6!Ge?!Os=7W7Yjo0i?<HioDRXf zDms`T>4fDfk-q&p;c_n>)Q#_iP0Hy&F{2Y=X&oFm+zGpuqV|63gcsd((7$eHjCG-T z(yuc<bJW3`@tsj&uY=a+&iK<t2cutf#xXLon<}B`D%C;x@KBujQwy;pL$T6#Ev()W zic7y};q|>xtoum|0cE=2`uAEehIB!{w^}%)>Vn%|X~A)I7i{rD3q7uO!97p4FvF=U zwt1|D%Wb-%c%X$!X<f1HJuSp6qV#PoEImW%n_9Rp?S|%STBzN$8@9Tlg`o-EaOXuW ztSab+{^zyu_((V0d{zr}KX=1Mr|EgU?zrlt7FPD}j@AF9_a}76`A4--U3AB?hqW;H zWp|u>KnwG$hN0~~EnEo?L!;0_nVc~Euty8wTf=bpE-g&BABK0fYvFL29@u-U7Jh~F zz!RIOf9f6x8?-QDbr0OKmim9S2i97xg*#5+IBTUAyxNAN{c`GOS~zN!XkpBvaD1{* z3+6N7*l)fT-bf?x*c|Rp1h$x^h5iW<xO|2dCKp6tscBl+e<T8pQ?&5@Qv^PpNOJXh zV(;-<=-a<1`NdioH=!ps7_Egp=AKxPqlKq0dg4!$7AjPY#32SPgoa1rDXkXNBO|ey zn)I+G66Y(laQ<E-T4iZLS|$pIW@y1DBnnTaQvIqZ^c_y^Tor{glC-e-N)&!d)WUVg zUf3^Q3$|@~Vc}pcG*0b>m7=K~3wxnqfEGrc?u9q{QvW5ru}yC+ob~IC^CGqIIj%Q; z3D-iE8NIPjm=-!7?v2~JYGK&N-sm2xg(-FVU`mJ<w)X9VM>}ZY(zrfYJxB}R_Vhu0 zJ1tax-UlzV)<R(AzUb3Z3vpq6aZGb9<eK{8jiy>yzNs(z`;lGU>5CJ5Xnafc!#fSM zAnVu<o7bapR`kR1wdwtp{qSZDEks}Hhkn(xptJ9fqpE0OR?Gf)v7#2X4eO6}y|i$8 zUVqe-B|V<(kH<Z=VDqy-dU<G}YU2Tz<Vxc`cmVEj(n3W30JL_XejFHpJ#DG~?*`yP znHJ{M9EeXPTG-TUAU6N0fg@uE;>d3rxW02Bp7^YRH;)HmnU5N<sW1qm-)W#i*Fm`Q zwFVmL2jR;X8VFoJ2%A6CK;+FqsDG@1M7L->@IV8~z-Y9;r-88}qOtpJ4a{B|jrlh; zu<BeiUbw1(oz^i}{xa3qEC%~u(7^d2F*yHk4cwg-gEvlV;MK7htn!xzzJH0q=sz`J z-(WB<II029frIh-Aq`ZSG#D!!&_F#LjD7cNpy{i@SYXyb8}C^Bd$$IHBVy5Irv|#` z#A4_+4fNU?i@BRMFz|jXiVgJdf|iNHPir-h91@4Vt2L0Wio>Lp8j!Dw!^O)qpuQ4^ zmlkU%P8g3a3pJ44IvzXB(?D)YJgR4FVDy4`Twg%_I~9+2rfDGWS3H)Us)2F73D{{8 zVQd0w##8>Z1YA3o<PIj_^-&ra^F9Hcb2KojRwA}BX<%gUL>#WyfN^Xh&edo@yDJfo zDM^1%67fTp21ZmEg4IW8Af@XN3`^4h{qx7D9j<|x^+Rw)k_P(T9D=74H4yGL6u-o2 zpmX3*tUg!+Fk&cn9i)NgONU}se+@J|Hx%dg(Li<UB-|gRfpX1~@KJ;YoQ5Q!OAihF znwf-6x@q9u(Io60s(}ZelTaI?fy?!haX|+S9Pgiu`vNtvXF@XGZL5K`=46z$*1)_M z$yhT$1LLa<!;Z}~py@FT6PjpXX!bD7ZA^OKJPemK)Ij^Y!*Fjs4K(%~j@N5zpkl}2 z_@#yhY!$<?Of|Bz6~ocD3fbMo;n=mJ1`gY$U}AX<Yz#<2QyC4+N>0Jqr8Hogn}VC% zsQeQtc+6P?Vc%2mmV*ZT8>Qk$Te8pSRCKnc{!C8AYEli{+nY*ut%jqoQ?bi8HLR_c zhS8tZFu7+Mj`*O4jNCNLeXE9^+tRS$l^U8pNW+!S)lj-@I_`X;hW8=qc=Vwf&Z*Mz z;ypENS(T0tZma3{uXKEOLk($;87RG`hOVtM(Dkw!>ZW91g$ru1UXX#c|5n4TzcSG8 zv>NvO%)r(s)i9&+2n;?>?+qS-J&vfMQ~n6-dr%Ek4~)RU`_%C1?FghuEu5;6iD`S( zup%lG6+6|S8=Z-|ZEA?vk%`%x)lmCUCXU*mhOgzaFmEl%ch16ztJJVWlZ8`Os6oCa z3-gz%A>>*XPFtjg^3HOcK3@%w+sJXo95w7tC7h{-yoHoMT@8Is%Q1f{l`B!;lu6WY zUj<GYuZI1x3LHO{^fgU^V@9c=|3L-jj3l}D3N#qi@TR5`RXQ~k_EO>qwHii`QQ|O# z8p3ueF+Nib<sK_>KsxE6yb61!s9|NO3PY3Ckg8Q73?Y55RbjJuHGH|I!uo^NfX-^H zGDr<MZPi$+KeazijW&JM;IK%IpCi?9^0XSChEsba8obtBO}~$6@MIS?xW{SG+(`{* zrfG0pM;f1l8k`qI&);isd^<I`)Y77=wHi+J(qdu&^?QsKBbuopc&8TIHc^B0u@>t! zR)e{`4of#wgDO;qKkKT&PpiYnwbbxzjSkONSHtpaI^11V4FjF^xTF%<Nn1VUc~QBk zdQ2}%?Ov$IUY=?QIIYK4?rM1POOI7u)UedofYy#`=pAdoN4C_TX$JgLM)e&q;ChJ~ z(%%_y$`2KI*EC|rR~4L%GGfFh737RIqThQJG}&Q9_ctoI^U#Q|UZ`M7ITN0Gs)Dwi zO}O@v3ZAJ=n0H?Vvsari@s0{QUNvFRO%<qZva!-N75r5>8$VrALG|X@c=o&sRAJe; z_N)p{4#~#cQ&g`h8wZ|HfqYgr`X5ul(T&;YbXWxyk7eVX{VGViosBzD1^Yf{<D^0r zczTS)*j*|}tTz&yZCAmLppodXMFsZ#N8<GjD(IIv5;v?>!J6?SF?*E?zAhb!5i3;C zrEnxxU#fz+=SJe&g(`UZY$WcVr-GK&IXHE;3i2xDV03{BF8SwR!)Yq0)jbElOjbcw zVh$djK=m7PFh5TPt}}CR;Aj;L*pP#Da#XPDNDjU+s^I<29NeQ*LExtx%vGykqFXL@ zRZw|#a?vxB`X88!SJPCG+BX*$4_Cpij9eU&qynpPx!5341>Kh9;_Fxy6ztB$ZP6;Y zbv75(15{A=X)d<vtAY%xQTQW@-m5SQF+v5BrlZglrh?90M`2)B8khJ{DCw+%i@H&` zx1$Ow&lrWqAQdF68-;D!kv<NM!Y{2<@ap;~+|fb>%|496On((<T}Gpip9=QY8jVjH zsX*3lG%l~Nf=<0hV{{!AOh_Az<!Y+n)R@tD##;rY7LCTd$|~r!b2LH)70fz48b6j* z!Iej&alI#vn`8{eyQ^SO`7v1DMFk6e$KXi^>QCn}m~E?qs<C6xU#5b=nlbqBml77| zkHI<Lm2hkI80_*zN$b%V{PsZ!gRYLjb#Ik0|LqtY@Jb0+9mk^6b0w6oF&1|}RzmOA zV{zyMB}|VTi)HRA;mq){xc{aSTt<z>v};NToj(@6E-PWowy}8Vyb|`G9E<5^mGI*} z<)2bQz>l$b;7=uFmdV56N0qR?Q6738Qo^I)JlwNS3Du(WFjgp`zcLT)_E0^O^KktR zC7fKDhds9{!Fq2Ve%h#nHka~n?m8vNU*%!D)k;`rHx6&FP{O^c<1lxr61)P&Va-KK z2oE2Jhv!jylEz`;Y$cep$DvJu65h-nhs*PoP<!(@>@Zmg1CEcwJL8ow_0Bjnj#a{; zFXOP{C?$OI7>_%%mC&I6c#JeCA-er|e5p}Fe*f{9r&Pkh%<)(~O9>ywkH^AvCDd6q z9-~r}(64YjK2K7@_;ceiH&ID^sPR}KP6^Ls6L3?s5-L`jfFT2v(A9qeUhAU-ZMO-S z9;Jje2@_Bjp@hr&2{@~}66^{lV7)F%XtsU=7KSJx{_q6s-htYAV*=g;C5R6bFs+Re zp1MrLp8-lJTYDl-YNmwt?IvQyCQ3-_JrP&?C}D2eL~PMO35Ul_#DjH|@M_^i46mt# z3Ogs_HE$(!JUtPIR8~Ujqlx&&O9``nO+;;3CG0CV39UVq@TBo1oam+mkIs{@w6hXg z4xWVb?UfL#o`ltHl#n-d60VjiVdJVv=<`zn7xzuVtzQ-J{qiIX_@sd9ZziGnodP;J zOh$OEfYfS}@xXHhOlvtAJ3djsj)=*4_<@4{?wyPwcNOq+<YYW@lir(4>1zrI-a^kW zDIn=jl0UD233uuJGYVM$mCE}|0jEn%LGy71yske51CA)bqr(*3dO!h9224Spy$XoT znu4nf6_7Py3Rd4m^)8!&^S3ErOW_nOy;%Wg&rQLJ>*@VxQ_y;i0$i=9qHd)E8djQ$ zZ<Z>ci~m#{vPc0#yG_Mw^As>DVJe2tR=^VdR6I080b<5fY>}^kE9<7>nn?=yaA+!4 z8m|EN8&h%87zH%=Fcp91P(NJqG2Ntq!L{=7rcMExw)xmyt$^vh^3g0;z`E3YtUp2l zhezk*tW*WuSdfp_!>GLN`Is?80WPQV@p_yBYCX)yPB98-^D`ee4^TkQveU3)Uj-!l zOv6!83NVFC!x!NSm=Qe<dv#a98s#)Jhbmz2<Y`zVL;>ekPQ!8S74QhB;j4BE_;zs` zMzvOe+pB4~y9MdpZaP->S3t9>(=pqZ#;wJ4yw^|x{d!Et;Cc!eK6E;+tfc^hX*$|f zSHR?1(=n-v0v2wZjz=pfVB^v0Sf?D-cWXM1_Ef;BPxQVU^~Y@n201I>d7T-!z@F-b z8Ti#&0S<j<U@xfx%4N*JO+VyNGj9eueU*dX;u)CuQ4Z~P%|LuBhpuO4V7XUv=<|36 zrazNIoTLDcJd#6N`2wtbUk)1I0?fKChuqEuc>KB?CJipYDp%w%OHKI~<n*tv72wgc za#*vf04to5!`6KTnEIz23NIJn-Xn52___c+4$9$#{Y;G8D~G?mXX2JZIa~>tiB`Mh za65b^Mr@PA!=#zGc#|BSXV1i!>*Vlu_DpQOS`ME!&BVMFa`=91CSF(~2g#k8=)F)5 z)?a4g@VRoZ_n3t{X3D{--Yk?%mxF82EDW6@2akTUaM}bpc#fEbSI5es%(z)teUu!^ zEt!SM*>Whqdlqig%fajHEc~D*d@>7LDF~&r(KLe4Yc?KCB{{#@XgiGF3!ROjL*!64 zb~a9klS66EZ2T))PAAXKM%Mvyir>%19)0BCvVS&CiIjummDzZzha7C*%tn`Pa<Fok zgIznz;ivZ;%<CwJF9CD#aG)IChtEN)wsLrxGzZ(Yl*5zkIjCtShkLW<;8s65T;DVY zUo?`#g=2HDPJKC?x;+O6*OtSP&vS5gbvdB>Ts%`n4m;}3MZ1b}SRXhS+m@5V^1gF1 z%To?>Gv?xIH#tnrn~OJ`<S=IOTr6cT2i>l@7;G(vj5BjlEs;aw<GHxzdlvMQ%){%S zv!HwVdFb*X3xa&-VY@e3;NN*3roG65I)mro!Y5harJjc;A7p{k)Oq;rP8R(5V;<JM znFTNR&cohUv*6aHd6;uC3r@e9ha3LRg1vV0{~v~~I<BfFh|(=3-HqK{N4mSayTQi( z?CuuC0$WrJ#2HZ(6HyTm6+uuD6iie^{O(`-d%H7d&g^^lzP&rMbLn|i4$c_vBZ>Pt z$Q!?phE?WZy~jRb{VwcOC+wpooP*>=`-J;S4gz=XqsNzWV1H&G{W_n6(Utqi@N5o@ zUhgBjlQ~fMxsQ^Ia_~!WKW#jmgBFYZbm4$tZ`yvU+n0k<|NYdnD+h<u_mlqi9Bf#z zpRhRxbMp36<oX;$UEEJgR_DOEYCjb$7x3Thr}8B^(Cyn#O$%}$u8~K5b92x>G>`OV z<>0Yh9!<>7!R4?#@=q7|&&;Fj<QyzppGO<wbC7f-kBXvm;BhUFZiWkZ&+_PHa1OLS z<x#hP4tgc>Nzq4`7rlJ4@W{c<G5IvjB?m`b^U2pC2diT9DaA(ce||nKMh;xJ<<s`b zIT(5}pN@~ufnr5I(P&|QU*yx{;W?=KmQSsNb8uSz0Cigm{+S*iX|o)pO+G+cMmcct zIY0ySaxgIE08P-!f%Ja|$U!X!Z}%J^f8`w9ICp?z6$C%-9iS|k9OS+^Kno>u5YTgg z){5j{lxhL(=*>pIK?PLsD;sTA1$6RzHf{tJ(8bT$*q2p6{4pD|Ru|BnwrqG56i{_* zHU?ZNpt`1Ph&(Q!#+TWsZ!4g;_1QQpa*#S|vawR@AbqaRM)-(>^y5Lc@Vh!lzwcy2 zF7hDtR%D}T_Cfl0JsTw(50dD$Y^*6fNa9xnc|J%ICD|BVcaS8Dvmw<bw5PJsAa#f& zj%DMN!66}josC7~4v}a<HoV*q(Z7A!FpWP%y}PpUeZe96wJjUBwjZMJo3gRv)FJ9x zmyP&ahp2s3Hpaa=M6JuRA^GDFH7?FZjlyB7o1cwCW{2s~oNQ!GIZTx^vH{=2M48!8 zNIgs?so8k`-(fnDn2n=*57U9zY-FE5Oxq)~G41|gS{<4V*_Ok!AW*>TIZT<p*~nKt zLNT7%NE&p6d|d^9tdEerV>Wt&j?j2pfnU}UvYeic4Xclk#*}RM7aSqU3E42Xa)iE* z&c@s7BlKo?Hcqx3p+|$Wkt1@H$}F=nQR^rbnPv;;bB@wh!)#PI9HoW2*;pNMl#(>F z;XeB)dH2tT>c*oqMJXG#M~{-3d^UE|QBsi3MriF(`Yx6Y!_K4B(3gc~$wDgc$-*K1 zLOR-=h1ju$wC-z`@H|mSnVng97h6ca?O8ZFzmUei%R>CNLehC7jGru|-d9;@y;(?& z&jtM#g~ZRY5cRE)@*iixP`-#3J<P&OlOl?^n}ywzifHPsEckmDk#2bwRFjM7cUcyy zmK0I#<t(h;T}0<DWWlz$h&G(fLf@StN;;W^D@{dYTa<<DUqxhaI159RkI~QkEWEWm zM%8<>uph^$XlEAu0*=w*ty$=oaf|{sW}#xmF&efu3%Pm6NMdCcMqWHd&zEN5{ljB) zdZD2A_82Xlmj&;?$H;$H7NpgW)4=R3Tpe<p{-k9g!}d5mOv-|3=yA%A%R+6=amt7i zc&<H82+P9cL&r%eC<~uTk5i*>7V@7Qr<0yp@N7R$^IWqaDt3Y#9J5fYeS*|%g?Sly zf|`&8Wv3H#a&i_p@&wHqpM~@}C&+4a7W6iqAo<~0xL0_BY6fLtHlLt<7FjT>J3+A~ zS$N!ef`%AmVS&_1`lg+Qfd(h(ih34m#-5}_Dp^?Mc9LxSWnoa<Ns^Hj`sbgd2NHt( zwv)6`Bny@&Pm*_UCLY~9Nov0`G3Uies{NKJ<WHZZon4uzlsiR%9ht~5IYpZ9Goe1| z6xF@SM48tq+TNIn=%iER+mH$AC8tQGHWMdzouaD8neaM$iq<^L#E;5TWPdjkyI-Fo z(Oa3A^79mxUC+d8#nUvaEE7vDPSc3XnJ}Annm(M*#BIORRB$E}32CP(=tL%DmY*i& z!b}wHJ59F_X2R*hX_}vxiMIQvY1E!ftZX?=@3&{d;?HT?yEzlLRL_vddV$xVGbFY; z6C&1U=)$s0><>Ca@ryGtDeDYr&Cf*bsxx$Fb|$h9oT0fnndo=<3=PN->^wR{b;+5+ z`G+&KB0dwZ`p(dp=uFJkI7`i8nNS{fmNo=s;+Wl8n&g)WtFW{5&NCCwXPl+Yu9?VM zca|nQ3cL@WrMEVj$iFJcBNJnvo~7}VGjYHDEWI9=iAb?xS}{tPAMIiqIxG`wMix`e zz)To871KQPOq`1>CPU*)*v%=Xn|hh3-&jluTA4^GEGBuiO#G!{I<1t64YkGODW54^ zx5e~TDpSa3JV#r_1YY{*XiQ%Qs>ht8n%^0SbUjBoKQho2bB<KMWME<LIXe3>0}5Nu zk$YPPb{{`S9W5EKym5|Jz0Sae=jX`mMFysSIY(TZfd?|@DfmeS0*%ho_lFs18GoKO z+|59`$9b~6m4RRJ=ZUXpVClm16i}7{`5ot}^HPQoe?Cvk&u2jY)_KxBlYt{I&r|X7 z3=I8#p6rhbcH}QmLqP^6n_i&w{TV2qbb-WnXTZVx0&Uxtfrm*K$YN6lyq8>{%WE@G zyXyiut;|5k*$cvTn}Np43zW1l1JSQ9(66}}c-wt}R?f^of?^4&XJz1nc?lg#%|Oc3 z5*m@1fsei=R2GwgwA2!EjL5*J|4Qg-u<&_r35EL$<L64K%}bEKS3+5C8E9`Vp&rK! zB>pa;|7<hxPWd9qP0v8gfQz(cat4}kk+jBVAT;12<&DZfefmW*8<qi|6&I;!U<Ru8 zU!<Yt8E`7ONN0^QaPz@M8l#tisjV03qGkpz{<%mK`ez9Hx|gU_F$0BzF3}{p3>aEp zqN|b_*cl{z7R`WSmN4F%j%BMZ(S%>=_>+H$E`Cc#=A}zCx+@*;sxHyl_H=~2yF^3Y zrQ^}xOH|aH4jc8$Wcn%{7l&M?y!vzuvb{`NHR;$Fa+x+iN{3?3Ws<v}j>T&()6(1N z_)>70ddkxgedRJ`T@&7{P<@%+UlwrME>qZrbe#KlnVy_Uhq2}rayXHWO~bCx)uZX? zv%5mW52hnE><S&+pDtVvS4eGlI_%e7p%vQ%y+c>%*T!@hT)jexYtymj$rY+!k&Yi9 zu8`x>bVP`j(xnCIxTjT07IV`vdPFI0oso|H4y7cTnGVT_Qc6onNBXQ%dLEyS+V!Pm z7oCo&M@s2zSUL`um6A?SI^=6gh3B<&WObBMr-vXXc9r~H(lJHnDv^CU4vf4?7S;lu z(^XnOH62NjSLxe?bUc`Sm4e2kWB5ivZg@Jj9KA}WgVXW-+ErR<kq*CSSE<8Tn8%M- z$z4Akni6GnRx2H|b<0RqEgf~E$|y%E9pjwKs75Xw+oQ^8jFg~1r;N6Wro($v8GY?d z!<oV|^8A$s1umo0-_np&TSoF-X}I;Nj1t?^U@Uo!D&D4HzTP!5YEDDl=xdbwG7TeL zuF<o)G^~xjM#G<`p>^&xT2+;Xshh7+^W8M;D7r=yZ>0(A?`yQ_dK&EOuF?CeY1rR+ zjiz2o!#7Eyt>@C<tWVT-Dh>H#h^8J(!w(mt&4<(A6hqXSpN717MC13S;p=9iH9OK^ zcZ}%8<}~cOPBe6V8anET7OWENb`d@NFAW=|nDiH=p-G=9bzT}qjAbgFnT92<Ofp$% zsE%RsOHG4OE>l558Zx#peTq)QwPQ>Z!_y#N&a^Bj4T1GcRlaFB(#53ZDcF~~PEjst zm}YRDj@zeU&DiVo)hZ3oT(8r_sRCZ?by_?j4avFJ>GtR}T-b7*6o#ka@3HITHAwKk z{5tJ0Ps4`#>(pSBhT5*{q_39-1L<;#)J#LHK{*{%O~Z+?<<#0Q4PRW#$y_!K<73My zUP74v+;TelFBR9fl+)XuR7f5#C$sKUIF^@F%$HQGt1qVmA5&4)RZflXQ=uY#gLGO_ z;bU-v{2NoTZR`!&@;p`GdxIX<q(VLR21!?^!Y}s*S=~>?_ANJP-tAP>9J@j1%2T0Q zeuLV}QsGs9L)cGA#fGjM6m&in_oZ&q#?z^gF}O*$j-|qO>`m%9oQlP+H);5RR9ua@ zNilm<(LL`b?bwluky~!k-OZ^;K6aCO*QMg{^_w(wRVrT9-K6mU1Rh-i-ojM4NmU4W zlBrm(UqM~M3lqx5RFFYtD!#f@kZVe+kT+04^WswxKCglbqf)VTa|P9ers7Uf1&IWv zqL(XZuum#R)K!qbdn#f&D@b@hB6djLqT{xLoZc;Zid6KBzD2#0QZd-&7MYDpMQHRb zavhn9^>c4g&X81G+jNU|Tc+Y;;Voj5ROs?8YSmALQ|&F1)lS9iPq%2OS}Kl6+$K+@ zR6NnWO*wL@_&4e{?T{33oo~}6kyIfraGRe0Nx{-Nx9N9x3QlgkO*&swgmvz1n)oRN zB6OPq+fp#_*=?HDk^=9Kw`p5r3Ub9O>D==a9Mq|#s+tts8(B&1k5cg2v67_jr$95Z zl8kSsVCw8jnp~cO=na+RSC)d6M=B}fl3=H-l2)Ee!K0^@bl_A9zO`4<rJ@vQh~1&8 zLn)Y`eTUxWr66R)9s0971@j&5kn;8v><_;~=9^MLGw;yEwJB&^cZXb8q~PzNI~1`b z1^QR-Q1<*3On!2Qmd#E<(1$y;Jv#-nMefqkv=nU9yh|4oQ&2qYF5Qkv!9%;d^ej9D zA42a^OHc|VX56JOz9}$Vdzb!sq+sH~yQJWf0-q~)Ny|P3snvJM%qj)T-`}O-Q&N!E zcb6uPPeF<LJ+c`k;0?J)ZbMVhYIBeL2Be@T_#TCura(FS9>p1?z<l*RO4ClkqyzUT zM=b>&m+sMAr4+<e-J?ZvDVX*49xanh!Rp?7v`Qoe`}*Ifb$^m^deD8^*qscvx=)+G zB%?a;K5hM&jOL8{wEcZDzO1-UJKiKiBu{8xB}1u1XzP<<@IV-Unv5YW_i0;IGA8xh zr!9Ar;h^$>HdZ9VXTSqm$H|Dq16p00j1>O|w7eu4v(g^W;<L$Evg`rno=C>py$@*S z(PV5t_khw1l96}!0VV89Mq$$firkruGd~|t;Fe@uRD39`Ba=bq56OO2GAgD#q$&R; z<DSn$8o5y5o%~SvpGwBFB@an=Mlu?9JtU=!WHg?6NMgy!cys$9{fJG*+gA_ieMB<a zzCRT5jFQnVUq$!)lJU``ib_3`@o8ce9d}7ar)L%Iu@~BeDq3wNv<s_f_7q`!dlkiv z7vxV?k@qM;|7I0U8!F&6RMDUT0{)jO(l8b5$vh%a1Hr!GBkIr+_>6l*&-x4e+#V4r z3VdT9QK78BKlc%Blo0&c@`z^rOA_wGk0`7s2^X(FBAXvcI9>OM27XS$(auMt*pY<& zlGXI>Z4$QWRSVDcNmw(wny$V`!eZxY%BxMn%&2Nw{5T28bE+x&K@!3@R+CL-61<OA zli7_V*j=k8iEBxiP*Y8<my$53qnd7>OM;%*V=6e6gnrtOX;D!U`i4KIutQ1cw0}$! z^ODdQ_LwwwC*k3Y$Mj`u63W&-rUx66aQxt7DqNj}T~{8{l4VI)Uj3MY7bPL<{bL$E zPq5$jm=tCv!AboIwPYk=%#bHknw$hfn<un6E(x;1PbeWWNjP8ggr<fh;pNIFq~f21 zTX|1}b$k*|l?d`Kg1--*(0cnM%x`%@kyc5F?s-C^rX;~p<td4ePr|SPPpM{P68hsQ z9T}2@pMFnihGi07q&_8ElO*tePf1lj2?zH)rDjcmXYo@yt(t^{J5MRMpMdlFDLKj{ zVPN-DQWH;tT)!H6{WlTqrZsf@S0e6Cs-YR*5>ez;L(@7Fg?LL1Nq<O0?4lZa)RGA6 z9W}JGF%gERYAE7)BL3X0A&Z(s)HT%5$Erk}`%**a?j~Zr%rlx@k%&aYXEcQqVKwd< ziIgTn*X<eIypV`*G0$klnM6F8_l%s5C*tttXC!wd5xGUr=s|uWy!jce+mna^wa>_P zdm{dRd`1eJ67f{LmhP`fM4?VCtywO}kE|t!#fk86tR>0ZM3_X>(v6vk_%XAV7G@@* za$PM=Oi9G<L$&lHE)mJ4wR9#j5tAO*Qc_4F<l1V<)ISjoeYNz$GZDws>u8%xB4!V% zBNzKb*xA&PxK$$h2iMV+$%$yntfP!^i8#5kj?70U3j2C>)G#;^HYIhm!6Ffg59-L; zI1%-4>gb1VA`bqpqauw&q$t-@uu3AvSk{w*LLz#n*3&hqL{#|JQ<`WZ)~3{xes2Q2 zm)6sR?gVJ<uBY5D3Bq+<PXj+D;Lz=Qs(UBAUi(!&Eo)A|kni<0@?`?L<et;3+60_4 zeom_%Ct&7;=QR330>*nhr^ed}_!ak@R+cB=%KYavqAUS(w?3!m7ZWh?_;XrPoPgig zpVPpT3Aj}EoT`r|U{>dInpKd1(UJ|Mw=V%-bQ`FAM*>cbYM}Vd2}pKoAh~r3Fpq4Y z(<>6tG^>HUmLy>B`Ud)zn*hJV4YYk$0+g;c(D<wb+<DwU^(hHh+}1#I;uA38Uju1H zCE&CA3%V4NfWjd!$j?6kVKy)5n`eSB&o5}Ri=dbJf`-{AV8O~4bZ>eBM&`Ys_{j-) zd*KC%j!VF<`!8tUhy=L2c|qd_C*bd|7gTMYfHO)jDaj}SkrppWR5t-CQ(w|<^#qjr zyrhxJ3CK)-NtJR5FkJGI!X*<>weux?`xlS-r(e>lo_Gwr^^){|#H04bODgV)$I`Da z$*w&f!(?AkU28m^8@{6C*YQ|3?iKYu7y8{^(fXQr)W^IcgGcdLGVc|gz8jB0n_tn? zig-LJd_@l^9&_mxg<Oe;(X&^=enUJeJ6_SO)A2|ZYb5bw@le%nq>YE-acOuX>E^`? z=Z_od=&pGD3vHwkTjOysr;$q6$HQ(-BiXKs$NK|~^l)iBR$po)zXgK5sz!P>J0AC1 z8!0wB9?5?isUtNWa;mQ>D<K}o2E3-9QSorVYnmS#kGFoWNhCn<FZDGo^NNSzf3Hc} zH6B-Yzos?z@d!BkniQ<!@%8p=+AujD>tDSlrE&4F{QjCYjfh9NToWk|jz_R@6KymX z<i<CVqES57xHr*yop_kUHIbZpyihl!iB>7a!(&U6kVhfdEo!3067iVFP1M^L2gTYZ zn*BQthdwsZ*KctcC*Dk{opHFU-Ar%W;t)EbnZjG*g!^+d)xL_uqOfLiuaATBjApv^ zBn|~@nrZUGIE*N0rsB#tT))&zmN(+yUe!$d%Hr^<wV9MJ#R>D#Ov{SnggEdUA%83m z%Lcrm<f8%(-q6bfaoFqkhTQkY!6Nky@%A_rFMUHJHpOAm?l*K`O&luDydkCKad5r; zh88Z0!?Txf=;K_0-?ujuJR=S*vMp4ZF4!?@p)pBu_&lzK4#dP^rdtcihsU8OrbWo} z75L9<p=R$mh;42m7q>X9C~Tn%4snp77Sgwl!`hk_T0SKX3LP!<X<QuEi?)*QNMW9| zTB&q!95xJVB_oSC^s{TF6-IGb7t%@{I&qN8ZY2-(IILRLO6Qg0AerAv8gg-1TGC2$ zCF0O`zm;C}#bVx@R+{=d7C(NqQr@>%;hgnb>iZNc>{q;{@U~b)PkBq%-o)aC_gm6? z6$_uFx0G8Ki~Eb-QvKst;r{=Y#y*I}m6LC2%k5YUzxkFrugBu>^S9)DH5S^R-_nti zSZt7bNB_>mLQMZ11s#vY%+c@Y+~HWfcX~(i`LPI&d`B_61>9Nh=<3#3Oj-YqR5!%p z)S-8jv?><*rSFKB#$sLdJ5pN^i$Cv#{@Jle`umP3D;9PA-;+veENln8r?~i76i<Io zmm&qdfcGR95{p%7?<vGD7T^ARPbWQM5w_<&{dI~(<=OY-W*du<mG5cav{>wZ^`1H= z#6tA@dzv^}z?W;Il|y4uZPZ3Jma!N&zKx7cVzJMyjnec4e`4F{ibgEr=e3c9iokzU z8@bEJVpw4t?UIbe=4)-#{4WOIpSIDUo*4MIw^7db7+ezhK&72A(9--sq90-~XXpoV zY>7d=%?H}>DhA_&KTu6w40dFGAnhlD{)!J2_8<mc`#(^@?HHUs|AAVs$3Xtx2eP~x zgZQQolvEOf8{Hr1#F-fA^=qe($6_$cw4H_?jzRUrc1p{O!9dS;I=L$bOXAz9V@nL4 z&u^ze>tirtYda;ZjKRud?Q~>G3|?_Ny~&Ni=-PHNm=%LnAKNJ?GX^ikI%s>cAg|p) zk78r6Y<LIBM#P}ju7hlXVlW`IgK~XhFfY4<F1W?u{;Cf8=pgXP?;um_7^IeTQ1Fx( zT)p2xo5saJ?o9{X8WDrQUmf&kPz;VJex%{%G5BWokzx#EfXN?ehjt9sdVLh~Vg)-1 zAE~!r42&0kq+v2KNZIz0BE(`)a{ME0?2Sg>^^d~&sc1OVeWb3>(b)LuBN=o=qgMPA zxxI~szRoAgeI1RM5ud2&c{GmMf1+nkqtO}qiA1ZSF)HU14ZRaB#FIWz;EiZp$^S(E zl|`es<dcxE5{+pOK2hD-Xe@s7i9}9Bqx{z=8gL{UVoIIlnI8>n^G=$xI~q$ScT)b= zXk7Q|q+9C+UJ0Glu`(J{7Iu>I(rC=x)=6XMM+>|=DQH$SzFhC5`I*reQrAfblB1FE zsgrKRMx#Kyi(12@@j|<cB!i-%Hlm9xe4^oM-$f2?(O4GRMez>ND9i4m6;{#sx~hu~ zPmacb{4TmNHX7k2UDPx@8e8vo(cgj5sBG>c9kXcs`PoHd4Wcnj@iTd8MI*}WGo`8u za+5yO3WaFg^88E(q@vLs|Cz3cL<>jjKGTz)DEM#vOzq#Ju>9C(66=b>1^!IhAENN) z*=HKw5(TA?pULi36sCxMp^&;LBx-#T@`R$WZP*uDc0USi`-OJhib8wv7dpXFP|Ny4 zbR`N?SAL=D^HGT3|Am@QMG5D~zR=ggD4|Z;7ZN`hg;%e?Q2%{V5byp%COe`qP~j_$ z+!O^5ldm*=O%!q_d?mMKQP}DJl|mLq;cDzxN|+Oc#(7_9Ms^fLHh-l>sZm1xyRWn+ zJ_<J1z6$ZND8xSfO8LQ2SoYy775PTt@V~EA>>h<1>ffl;F$&Ftzfrk$6#A^b(cLLg z&<p%V)#IWtA^jWGj);QqvTyWaP!uxue4}QwD6BdAjoumvIJdvi2dya3%Ww2aH41fK zztLxfD14UrF6@s-LE7*;eHV#>;n?r=qbCw$UA|NI_ei)z32kR2qGk(iTO?+!7slU2 zV$C5z{$(Wct_b?Iktlxjow}+car5nW`gkuA&;ER;4;7JkukwT5QY3y^{-CDIk&v18 zgI=7A6zb;upl2r|VUhfU9vv0zE&f4w4nzud&3;h%o=A9}{6SZ?MI!XZ4?4Rc5{dOc zsBl#zW_13byrq#?B+*UV=0{?+PB*Qd6^X4Qx`p+0B=*^NQ%-Uu4u^JAd~766W_MF? zcqGoR>L%B~NR;Mv(=_i$T))swBU~eK`(8I0+ehMlQ#YwjkHn+yZW5amiKhxb>C5Oy z)SCRHrlFCjpYW3&Sw^D4{U?<fN8)AdPdctEwDW$_4t1g3^ppNm6569bDP1-aFUo#W zuy`b%Klw?ve<M)W_LGMFj6hA_Pty7lfyZjUNVFpY4+s6C53LcnGyNAmZj8W9|6g>u zJ_6TLe^LIE2wYnFi&i{{z}a2DDCKqpicbF`uj>&wQ1Oe#mPTM_!!Odl5P=P!f6?Dl z5m+Ymo0<wEFjwz4-7JVe`l#P@WN!qb9e>k`?Gf+~|4j)SBj7aSH#w}1z~nW*$@0Gl z3_b9hq!vWL;Now3J1atXP7w4nBhc6Un+lR6(E0N>EsTx8tA0Hc5*~s3rad%1Fann+ z_K>P~1de$0&?lD&Y>DfkJ9ZIRklRBC5rL%5J(N2!0zQR3<U2Y7Q?K>Vkf9N<c-lh} zmJv|;&?Dr9Mc`*&51rGAz-zTXv`Q@kw+H>9FvSR*oc@PK%Lusse@I#^0<%;92<O<s z5wi3To&FIH?D|7Xy24>{`VaYj2!~|FAF^l($NT4h=;zCD-0k{9cWT3NT(XyTRfl7Z zZZ9R?3rEt(UK(E!4o8PxlB950hV|0ZOM-q*FBKGr<ISpGN<R?}&g-Q~N5Zl9LN7_> zhhx^gUV5@C99~Vmw0}!D26y*T!n$xsDEy_7E5h;0_%HP=3dhCqf9dAjaIAOxOKWq& z5f}59eA2=(dG24*NeG9^#=q1Q8IE^{|I*Rma9l0@OR2u$*jO##xQ8S9-Cz3Q5RS3E zf9Z-<IHXnkXu;%gJh$v4j1~Nu+DBr;!!ggNk8TbKhjUUNEjI~=_M$$r(+fx2wmy>7 z5O^H#qgzVh`0sijEt3t0XKf$Zh=)V(V;_nB4MUsgKcen1oYVYAxu3(ZVCX*@-yQ~g zn}77JB@9YI|LDxCFd=^PkCN)bkiYC7nLQ3e!k&Nh>RuRzp8ZEVE5h*Y)<5!~FkF7| zkEAaPIA8t|7l#S|7a~0CL>QJTitx}QVTjch;g-BG*qMp&u3cfU7%swYTf!hUNrXk$ zh2fp82$w7m!)*@{PFfTuoL3TI-ML{{9w)+8*@Awi2ro(vgH5gokBkq4@d^=cjtIlQ zO(MKGC=9Rmim;VW7)p<d@MqUB>?{`HJo_-rC=+4V>0$7y6yctU0`DgxTsS%mvadwg zXJ{B&-;3}+i!kt45k77dhCP2p*iR=6Gi5~iU;i+8^cUr0{lbJevM75?he3RxDE|=& z!^_d4e5fZBCDTON<$EYLIEnJtPoYTg73E#;Lm>okcv^EPG?PWSwILLpGemiHO(<?H z66K*)q1d-Zlpj}yB4@iO&nORt(*aS|yc!C_6QX?OLa30BC(0qGLvioADEAhIBLBW9 z?=J|&j9O8ix;GS#&7%BjTd44Tit_voq4?Y_$~vn;aZ^-`FE0tj4h1px&kaSArWk*l z5sE1$V!Sau6pBN|cyMAUn#PN9WmG7Nt;IMhBos^C#JJZt6h47syxlz%W-($s+%Xj2 z(*!xIP*ltj<H*UO*!G_o{~QyFm<?jQVOS_e?G|J60ih5*EXG%jLs5NNjJ<S2h5rXJ zey0|SlnODPrx=QfkHlC}CKOT)Vq72^D%3+2<1v3ikl!WXe-A-wj~EAbhG4v;IJdtK zfw;0b&ub1rm5w;ezX-u@b8+5N6N1<g;ykb_1j8na^X1AA{IU~g`|=Rn@D%5o(h#f- z5$C82A@GeC=TE0Xpr0kqa|=W8HdmY_3qo*ug*b286M~tW#aU-t2&V26=fmqmAW<mJ zLsy32ez7=TTpWVUW#T+-UI+pz#rbxQfb&G0-O@tP@=~x9AA+Ly;v5_qg0!#V+!Pdo zQGdlb&L;%j(h}V68iG<)2~M{U5$YC5@E3%@YJddKm?+p8Ex|uWg`je(1kW83g4K=^ z++!Xh<R?jRu3-rJg-h@sEy3?Z;j?N8Hs%Q9@*(hCD9B5OKxMU{-xrL>TP1kruV8G> zli;skg5h~gf-^dTp>#olJ6eNLMG~CQ7>xCICHPHUFkEURIP`HaWM4~g?Y&^!{vg4g z6~S2cU4rjk3x?G{3AVZvjK8vyeC2E~O4THJ<ndt4G?e6`L%|q1NRkcq2jk-yN#3?2 z7)6j|*-gQSc9!IYtAnBMC&^!z1`G8EB{_b6Fg7Ji^7EO&aGoj2t{K4)Uo6R#7>u$t zk~};*7&Ep@@_~?G3^^dlDt^IeJub;h+=H>NM3O%{1jFaLBu7{U3-#3`xoT1{u0NCH zDPw{$t4WfN4Hfh{Bw52U7;k<^@=~K<>=cpWPVHd0%1d!j|6qt{NO6TiFiMQ1c$ic$ zk_Johu75$$8z;Pu^mh;*O_$>2uR&PqBE?TSf-u%!iYL7d!uv=mE@%wG?i4AOt`CCC zEGbTV9E9G*QvCE@5KgU?;t3T&2;U*a`>q8+u|SGNE(L*4NOA1hAf#WE;>u$|FesPe zfro<d@V*qU-4}%1S}FdxJqUxErPys_5b8Um_|&Q(tmu~FeoKQeT2z`-bA!+%FU|L7 z1Yx~~G!IM<!X#s9UYQVtcY~$5H8KcW#!2(^;2=!3lIDFrL1=T4=3lNs*y=CMKK4PF z7A4K6A@rw6v&=+6ewH*xjS9l#CDL3vI7m4EDb1?p0{#wZPBsX_xB_Xup&5k66Vj}u zBJjB=%^7k*7*;OLx5R_+?7lSX{0+qXTA{x?5GKvie6uSMcRQq6<3k`ax&@p!flw2b z;j$NjxFRpZiq8TOt|7ybRe=yUmf_;cKoky^VX^W+xQvrw@6teYO_$+<^MTmnBEz3g z1`6{p!#Em<`bZgGpC5>MDKgx!D-e3KWY}^`AZW1+&sZCXh_y1z%K{;?Lx$xS2BP4A z4ExUxgw+WdK9CuR<`NlhPYT46>oPn#CJ;vVWq5vQAo!UKSNI9Mnq*kPBM^VvW!T3t z5PN^f@NTO>Oc0Ufrb&UQm6K)jF@eZYm*wQ4flxM*<uew6I5|j`zZnL?ajYzl(+)%{ zWO=S?AQm~xa+!P}bo^wwPa+T{5weWF0C*(J^5UNX_%K73%RdJQ=QU+nv^@a&Yh-zP zO8_ozljTJ(1K^%7%h#R-;QcXK{!<lzMHggw!kqwUvMkRm55VcWvRqsm0J|Dl{&YS7 zFB@ffz^MS_e30dsqXCfpF3bD#1B7^$EZ6M{z!+IMR^AeTyZz<Zacuy?4di(7vH*M? zAjg*%1YpHzIqsSj0G(-aY>^p&Q;u>RniK#lA35F}9e}4{a(p`^0P%@({Kq!{zp~|c zxO)KBERf@9hXClVlH={u18{PS99K>Zz|{S6{CiXY9u~^+pdo_2b8;MF9)M3}a=gwU z01GPR_^M_A6rRX&hjIY+zLaBaxd04&FYpr&z@;y8JhRsycD-_Z=!ZX^NXhe~PJcwG z$n&4~{`jaX&z8;pm}?==-VOec94XHWp88|cWO*)p;14}}d9J?Yk3vs*{!RWuKE6Dg zT=qv<oIE=h`@=p{p0khpqk5h^?>^*@pyl$+`~2}{qddRe?vIo`@+`N}AKwql^YB&v zn14o|eV6z{yi}g&%=5?E3VGg};}6wG@<Kr0AG@B*b5oo@3|r+{EW#g0I_23k&>w?; z%d@SQKhBCPaICXG#wsfCG8=!CYANucDgKyds=znK`s2n>1#TK9@S32&eU|>XYpuY# z#{O`1Q{V|Y{&*Ciz`p(c;T5gGnF{`RnySESCH>(yTY-=C`Jr}+0`pHl1gulw=b!yh zw?l!ye(*zJfdb35_@VxU0-L-P#xE-Hq-VnTbp`gS@`L|<1x~2+L(MY<UU1zH-c1U; z^@<;=+ZDLzoFCkO2pJeB{BZxD0#_gQgQHwOZrSgLTWbBddxsya4EqW9dp}$o*pGEq z`(eVEemrEUAI?wf$5V6tFwChRyUp+u>ect-urxoIhWF#tct7k<?8mtge$dG2$EySV zuyH{@-s$BBnN|Jxu(KbQZ0X0vHh%cMuODBV;)krletc)FAKHrham_G4M3nX8MoYo( z+x@uB$PccM`|($8KiqiHkN>C&Jl^$V2?al#{@jlhBn7*F`f>k0U+k1rWSyVBP*7H6 z<IlcWtfR=5AAE)V97P`T#uo|06?x<fUo=cq<Z(5=aJ5zBNe_L&?utD1wl78oDe`po z#laXwwz=#JwRA<cE%wFoIf`t5+!sHVDzd{NU&O5!+I_xwwo_=g`@-&^FuuVT7fvd& z-AZ4WUJ~>c`(jJEB3sS%g~$U%Mz$}~YZZBNiZ5O?De{C^UpRIs^5`&Ml>AWS;r_lb z5mDkn9=_Nhr^IHCzUWa?Vtp&Yo}m(JPVz<dKqXch?Tg7{lvrknFOE!8;(unoP;ye@ zZhc?O^-<za8oqcFro=5uzHmuY;^#5~uWTi*67_}N0wuoL<AY@@mH5&(AAH=b#K%AS zz-ONl?|<tftfQ59Q=<=zi<Nk3oex%CRpJ@dKKOJ?iR11Ha@9)gcf$vl8<g1Yst@$v zD)HD0K3LKv@IB>&x4)HG^{5Y=B$Qbs-v_4@mAP}L50tf)`Nbw5<d`aR<!T>18>-Cb zm-=A*1ZB?4^}%jyWnMkQ2R*LJJR{8qApy!99`A#)C}nnt@PS^cGLH!K!TedutmWl{ zmx~2_XCF*ntIY4MeXwV{GFMIZ!S8%!E*ay4faA)%XQ&TKE-3S23m^1nWsWoSLFQd$ zcGB{}!>7tTRK*7a8<kmE&Iilel=+*O51PL!^RqwRnEF?lFMapME@>6s^~oFGR8)BG zJ8!t@sc_J1ZxmXn@Wgs=h>lcYt;gO7o~*(@?|S3BoeDp@;SB{(6+U;>8?nJEyy=2B z%3@VG^^`Z%GE~^<s5esPs<2tUH*Wl=!vA)9Lwkb?H*E4o#x51Uu-Y594yy2mrQXmv zrNRlhLjNTd#td&%lneIK1o;Ol+!g1Iv|1Iu9qx_tCKcWvAmDeXaE_-p5`GAFoV-!` zPlXMv1wL}B{B@Ey!qrsy_GoXMHBjX}Lj?W<RXNSv8{VT;83x`sG*y*VGzEVhRE6J< zH*CCB`GSl$wuGwkGEr~5iC1Oc9xsf@Qf0GmURac?%HKM?@Nl^*m$!OBcath_eC372 zJ*pg5>xGiTsyyhC7sSq}a(AT{+^?wejq6_6b5oT!T=BxYhpHTO&I=>!RoV1}7v{fF z<xhvaQ29}nFYWV!%1>2ZwA~9KqW#%%gBOm-3*Y2QFLbK+=ek8+7;n^{^X3RV2KDE- zEJ1Hfe;$<V1tsAd{~YZFf2aOj65@sZKK*&FuNPXw`twvbFAPfT&m#6-$jt7~H>Y{w z;{5)+V!Rjrtmw}UBfKzubAOf{=!NBb`|~|xFWf!SpV#Pkq2Jm5?A+fAo~6RLf)}<{ z^yfPgUZ|}S^#6K7`+0x1?e;`SOMe#Y^2EMR{h8i-qVZ>c&TaC9p_m$vf9{D01vUQq z*b@gd)cDjrPc#{+al%bue6SiDmw6(5tQt35@D%d5)p+YEPrP(eW0#|z(D7Ac@qAAN zhO2SuPETx4RO75oo_Lb2#+Iu+p|n7aUoG*3%StugGS3q$H><Hjjwf#JRpZ_iPxKv8 z<1?|In0Qu=W5PT!qg0L6{XKEILXGdZd!nsMjpsUe!uYuw51#Ic;1)H0F~JjCKdJG$ zk)C+)Q;jDN@`Qw#I(L|OVzPod@6q){j)pors(IqLkvexP2>uLG=R=a7LVW;r_U!Y3 z8`QbC+XG9T)VZk31DAZ%*{96|AH&qSugL?(i9-K#5BO!Pv-cAZteUURf9`ppY=t@> zzUhIkP3r7gCdlno=kFIh;Cn=!_nz{=iZkkLeN@1^qRwr39{6xmo!9U5fOeHSkKW_~ z=X!OnTje3dnbdjy5)T~zsLqD-Jn+0*oo{A)Kt@!9<5D~@US5M`Vm%P2uEEDbJ+ReK zgI)YQP(DzDJKQ|bIa-6)*?T~Dng$Ps2OJzV`2Khg;gZzgq!Av-4;AzWdI<HQHTa0J z2f8yg*hWX-o2$XERXyOcT!ZJ!dtl~94c3$p<acZE`CfP2Ii$gEKiu)<lm@qba)<V1 z4PNrj9ac9qSogI%k{$?hb?(?)tHG|-?zr5f!L4`P(b%rRx#jM{ISma~Ep^A>e;UI7 zxjQ^%HF??zcg*Uq$xjctW3Rp@C+>3xTWWIeHg~j))Z|U;-61_ilTB9$diI)paiKfh zJvG^JwmUL|HMt?f9b02HIVI5@CFz1*q&sToX!5!scl=ta$$H-I&|0s_C!F0eai=Cv zvT;XHfhOOX?2fr7G}(WQJ9d?5a_bOxTw+bmG#B*mYVu!wcOed?$*VQoq1vd)YD(@H z(Wc4y((Z8ks>uUI+>zX?$>)E$VWpH7Px<18L&{ow>w_CeM~mIwxS_#Ji=Q>P;m0s7 z4tweb<%wF{a^DSuY_vGJ!VR`=TKu`p4PgOVJhQ|Nv!k@Q=ad^ZrfBiPqi!ggsl{UX zZn(Zki&yM)L;Y$kVSVF<uB}?Uc9k2X^0c_$5;y1<Y4L`+ZWw(|i<Pq7;8do?o08oS zep`!`W89Eat;HKd+_0)aixqv{u)kG{*SWf(xKoSe?A%cCON&=c74XHhS#q2kIux{d z$uKvej-xjJwQz%yu{O^&bc69=ZT_w)*cq$M>B<6sXmgv48~mKKIa<^WaX#Ao;<qbi zhH10US63`c(B}K?uGp5P%{DErIFzf+r7v7jyj+_{)VP8-YV*+tu6VdxoAqwFqT!G> zZ@%V=_ooCN7hUoFl3@3=D@1N+^QWV(P<Wutk@>FBs@3MFJ6&Pgq|J7lTrsR&n=h_% z#f0zLJYb0{toyWi*IZY)%IL66wk!Npb$Cv)E5h}3_<gi15-fDsKg1OoBXqdJ#}%_D z>F_95S1h#E;e1<HtZ>(1`Khj07bxhBb;Z_b9c~%wiruL?>~7(TyjePY#X#_9u@0MR zx}s=}4zE{o#i?yN+$}Bmm#4!KBCaSY(&5TqF1UP7hlhW0!PPPy-toZ&bX$l2HoM?@ zwGPKLxZp;E4&Qy^f}5>^+<g~Rbn5Wdn=ZKZONW15b-`^hT@JY*v<kY+Cxw0uT{bx) z$QkMKvi*YIAYFd9UBDTm%MKd^+-bU8v_i1ssLQg81iRk4oH$$H5vt2~GF(s+ugjK+ zE-22_<>iqsI5kg~TLN8hY?&@wdAZ>523^i`azWlMUGA}R!R~{)>_5o`TTklpxlt}y ze^HnF4R*nb>$;p^>Vif0bh%vD1+!~(Sy#;k8I8I;L%{_JZMytG!UYjub=mB%GyHpX zdHxS)xJv2q(@)N@R@UP|@0>9~M~@dbI%Alb9zUyfhUqY&|B*AaCg|}Zp}3fWwII*V z5OLLG%gfIA?x)A|&N}0LgdRUEaz;av9vc=o<3WxdXYF<dFVN!~o1JlXr5^WR<BWrw z^*Cm!Gq&#4<MZ>J@!t_W7RzzQj5B)dmEw$;D|(zC;|!mhdi*Iw;Pp_CC;2*KWSt(b zbajSdvtZxW8T~p0J5!zU=er)KjCDp^pB`Tv>WpVH`YdAMjB-_db~bRvDLsAOs^N^? z7W({B(HYA|=(D-BGcqRWbJ{;A1l#KKg`ZBab=T)VT}~JlsL$4IPSA|j=T%Kk5J}bN zhxJbQFjJpZA3NdkB7F|H>x3(-^?7f(6AHHK^Xn^4ShZiDP0l$Xqfnn?k2?u<XY~2# zK_^VUs?TkEoM3!Qp9gMrg482@PG0MTj(UAQ{+|;bz0v3PTqm6WD2&f=!p?4ePE2(| zu8092jdenpoB_9nI$^q+0UP@{!NkCT!`+-9F~ETL*g4_dNCU2&>V(S42COp9359kB z>^e-~<6*!nES!)SWWd)AoZt{+z&#pHut+oD(MnE`oNd4<(oSeuY`};9Iih@x0XO_~ zME*7b=d&Z`=NYhLn<IjX40vIaBgUOG;Pds4P%Sgy57mysy54~G?>ge{BLns-cNFp_ z40!n!M=WeH;LF8=-X{ZYKjw&0KLxpij!+OaWcNLecq?bfi?%r8nwlY>UE_!y28P_U z)Dh_e3|TqX5q6^t899#7n_|f6DUSGNXUO|v1Unvvd@n@c7i7pkeH<|}#*oci9pRj2 z$X>RNFr013^QQ>%iw(JGj3X-781mC0j@Y}+kpG$q_<4qGsqYA@B1866cZAwGL!R5u z5$~=V@<B;QT)rjX|8>CXM~3|6hXaD28?siX0|vJUeBL?W&qqU!YjnW<ZbM#M>wrBX zMtru)LCCW(;+oqIn4o4P>?b%t%D{;AE;*pa(ui@!0R<zCIJ(dQ8Iz58S-t}%+ZplE zoeq%kFyhLMf?S{xx2<$QezXzGEOtP0su2&E<AAZVjMy>L0V0cyI6lz<_f{JT_Xh`T z-D<>#0v!;#--xe!I>5Zph+jE6p!2K|_e^)d#Zn{InCO6o6-GRAqyy}#jM#Oc0~G3w zIKkKfPnwN*iM9iFcNp;=6$ga>FyiyF4lw&?#1BLr@Il6yTYuZ*l&UfJez8ZEo-y}t zx5rouV;=Oz9>0eh^YjLLlua~d|0niXXk*MN_v~TiX3UFk*h4(Pn75VMqaxB+SXbC% zd9pEIJ#G*C8OB_7&>m6?jk#%$J#Mcw=C51qv0}3^ORTkr-Cko>|IZ#0M~vA#*B&=c z8}ry4dn~?e%yudEn0CXMePitL=e{vVhuGutGh@#5vB!+p#=OwQ9wR;&^I98weEe$6 zyC&PCu-BLmkG4m&lnI|5Y!5wU6TWI{k2-A=zO8GIO{OMX-QOP0Lru6r-X3D(O}Ite z9#^NE@W(%P$Z<B|@89f%_q3UCZ-<@mTx`PPt#;U*V8U`Q?ckYZ!YVa(kjgb-%?EZU zTV}#~6?VwlU?Qx;?O?IXge@-E;YEQ74?1Zl)T1`xp@;2+{7MrZxz7&WWWuAj+2Pn7 z6CS(H4xvv>c>FRuD84k|3G?l6{jCX4oMDITE}>1egXwRfjkUv5F;kupYKLVCraa!) z4x=?pd916QP-n!HN88$A%Ro~eImHfEqfL3(7&~-LF%|aN?6BY7lr7Bc;Noe@CVF=G z6=cf#YIZmhW6GKecJN9wWfciK{GDaW^1ZewT5QS^-)-T$#+3hd*rIQ%DgS7(#j*XS z{ON_Q5T`Ze)~B}UJ!{G@?%U#MsVP6cX$y}EQ?9&fi=Piox$L|x^6N~w_=GJSnoar0 zAzO5|oAU0xw%GpNl-F;y#pFIyUcA;8&C+I^{huvXs+e(Xt}TY>nz4V5EgqYhu|tY2 zW)3ss@iDg0nPA42A-1??WyYF5wup2wV<{I~i2IrG4{L!(xEZ%hvV~)!89yFni}oxt zra`t?n`_1=Oa$EJX1r6!77sR<@qeneNZVy5>|fhL;h-4@i`nAj2{X3sv4L}m84vqv zgAXLwX}7`3J7z5M#s=n3%=p7|8(}|5z<+Fmh__~Z<*p5SJI(k&xea#xGUJt3Y%oU5 zoYRVJ@JQaAeU8~6S>2o`6xcw*(46&m+aP~{Ig4(#!Guxf{C1TMo=i69JBw|QVrR}L z=GZ{o!<^S=+F);>IcFr=V05%Odqvvdeu_Dd3baA=OmkNCw85{1=KRgU1{+qH^Ap&> zY>PP;kGH{<z2>}WxDDKom~*<N4O&i{v#X&EW?wevftoguzhTZ&N;b&5Z_aO|Y%ubf zIa8lCDjLmsPq#Jv+st`Jr#0HXn6t+_Ys~#4=rvkHPSS$KYOS$X(Slz*w8mg93%+p6 z8dr@ic-=K?I1jeq*b-|zA7jCjPgx^zngy#Iu|~Ip1-I?9#xgGpzP8O8Dj^oUb)7Zx zVl6mvnShgS!Bgg2<I-#kR-R!En<W<9l46ajH5Pm^#u`D}EO>Q@HCpytaEOmJ(hDtk zh>NvQ-@=0bT3cgDsf7^t5%^VDaNa0uY<XzG>4U7HQ)j_bO{{US$%5r|tTCwFf*Vw< zarT=97s^^={9g;6DQb;tQkHD}+X^<ymaO>23U{<ExuMMpZl;!eq{#|ThFEfDy%qe& zS@Ps+D>T58CGS`v%*m1;u@#!UEqT`^D@2D{a^x8+yp6Nu0fknG&#>grc~)qfW676x zSRrw#B`@4yg%4{j*=~gulD1p2+(O}Vo+Ve$6vm4zd3%}_-WOYPP@EOwu3EBwm=#(p zEV<d&3Q<*-eAv|rjdhkBZ)=5+X2H%BE7Z4Ja{Fj2_<pzK6N9Z#{nwIHO|9S}J%ESk zTH&_x0RE_Ih3Ps2_=KF5@ZOaHoGfM~)U6x91AC_9)VKls{>yY&Odr69KTJoS(*TZY zo(>J40c`MmIyQt3;D+kykc=O|+wV-r{EPwYd3`#*&Kbb+m!}JU4d7d6rlWD~0A5r$ z9X{I!@Pz#7xRW=4zwDTfDMkM?bk=cNm2DR_5CaiRFc2FUm>H&E^4eI~4Hzh6V4&0S zjEaFucXvw*lG3n2z!tCoML|qV6x7!s-u1`#dp}{AeCC|{T-V-fHTCR)ZM0w^@3&_g zUA(HP&wj3rMr~}$*WE@ZZf@$H#k}9`O`ZR68~yraQ}4gGjS7Ek>Y44@XzPKdzW2s9 zy8KX6*T1Tb7S?X&jd^W!Vf|*V$!Mb?XE$?F^ENu_;%5H*qBbgN(aZzSX`?T)npvl{ z(e-NP-%f0!<<~Z2pWH^5-rmf&{UZ(O*vv=$E*<XN%=7n3T}qm{)0fgGHO+kT4ynxx z&Af7p;D|M29xk0ZyqV9i^xTAIUbj@*JENJ4UKM@qW^OP;TCt*;U!NfO<jq_>LhAD# ze?CC^?GxU<yL9L7W_}#J_S(J8d|H)s$$sAd6TIDj&HUg)(!N?rKCvU1gj15d;C5+w zgCuvjUOMl>Bp<w7>Xww`sX5Zu8A-k-O=??^<e!>Kv)U$k&;`;-w<bBGp;UBtlDE~9 z-hVL3PaZF&6eaoGBcXxSNnZU|(2q%Sr(Z)i^-l8PZ$op3Bze*op*rJ|tWQE+rX_jT zd!aYyCHdKnp-Y$X?^lOjT$kh(i$lBKPV$|vgz`R0@*h(}BR@~_kg=it-zGVEDDQV) zlHcwVn)!E<JHHS*=9uO_@?@xEo#q}>7JBWB=FWI5bjtb7{eEHSktWUk$ep3JEt~uB z&7re$n|oy2Q1O+`of4sqH#YaCtkAg~n)}}5P<df<@4qDU#$(Oh`#k=<qB-}oP+8aJ zUQ{Qvse5y`JvNw+Huq=$7N}@cbC>KdkS8~1uU4Sb<}~-PJq7B#xVbO=v_MN&H}|rw z1v=r4=Dzl=0^Pl(xj%J*=Im(hF3Sq^@1ExV=hXsT{}Uf?MuEos(cBFt7HIFG=AJR4 zK&_8&;miRA>QTRi*LN?_M`yS2&Ce9*f{R;tXJvtkTeR?_PZVfHRtq0^ut2rd!q44P zpxdr(;S+B!(1hDsc-VCX`u?64%<T)5-noTmW*6w0;udb%vOt>>Exf!*f$DW@;Wmv6 zbZ4Iye(S6PO&;39x17S~F|LKT9an(Iw}tOLl&{3AE&SCV`C7A#kNb1Jj$PlvKYyLC z>)vVMvYq)F^id1{@nOC`{=9{sd?#NGziZ+D*5~WKeSBUk@-_MI7CvfWKK$Thzc4Fb zP3k23*va|$|B~H(RK8wml<ZoA^7YGQ{CCfMrL^SlpUYQiPO@LD&ewt~l6`b>zJ9wQ z+0S>*S8DrYAMD8AcS`nCcjRl{qsjj3`h5Ldmh6fv@|E;-vVYCV*P|~b`|-4VP3xcR zZ<^+7&xm9fUXZUwlal>ugM6Mxl6`x<e2rL`?00MDYwOBnUv)T->wdCV|Cy(&-cNSs zFL`=yTe4sICQr+EC$rzm({Fo|J^G_OHQS%;Q{K(f1OM@Pzn-TtwNm`giac$to5KA% zPscY%@t#?ED!3rU?I-7{vRR7X8kMKn=_$?|l&4*JDW2CePp4m<;>OSA>6)8UJh(bf zUGGfc>&=5>p5ltmdD_z@#b0&I(;1a1zU_`YwS6YV>#xt#lRZ+LdIj%qV2UT^<mt0f zDXx>2r#e$o{8ZCC<;_X)w~h1k#Nrg+-XKq7SEqRGDS3K(Q;M6_&eQ)kr+E0GTwS^& z#Yg_g)g60M+~wz7b^9^JAAOyxc?VLQzcW{#9!&A{4|8=~?Nrx&Cs!@&rLrH+RmX;@ z{$hEqdS007s}|&HK~k#c%*@qi8L6&6DObl9q&hJ&S1sD6dgp*#wZA3RZF=PD*}GCb z?U`Ine<0N-RORZu$5UPOM6M20rh3bRxjOsVRHxmOEA>qEu-kI=@Ss%xd2O!xj!t!l zHo2NVCDqGX=jx+5scw*(tN$%db<JhDI%iF)w>8RD!5gX0Ix|=IZ%Os=lXLarj#TeI zHdoX3r23Zsa<u8kRL|X?qwfx+`ote|RQph>AKsIr%WAju`W-oHTd$=XZOzfc4O_bA z%^Y>Vu%$m-o1>{oE!|>ij@D(gbdPyC+LhnZyQk&ozpGoi_4ph$yrrcF4a-sHT`m26 z-yGfgKugDqIeOypmLBm`j(S$M^v`8EaMfD+ipO%asz*zYD$LQx1Nrj~Ir?=}OJ9C7 zpU)KD{^}exp3~Al<magM;+Eu3a&+@*-hcBPI5{o7=b{`vv$>@+&dJfx?R-9`=4jTJ zExql89IgGKrJEd;qmTCU`Ts9l-~ZRrZ~mH%&nV4jeV46zr=<DeFS2z}gETMxI9pj4 zr1|LgvUP2<G~cozTlb`=dHl+3J&~8@y$iGT>{V&boSjXsFwNa2XKP}IG`~A4Tk{He ze}l5M=CL&H!`XVTJk75>o2{Ksr}>YnZ2j<3nhT1u^;iEi_k1W@wMM3S^F7%*brS#X z_H5>xX}<5eY&Bb$=4oyCJXWUp$JW_uvmwnbQ?qr$dudKwmQCM3&8r$^t8iDEkDQUM z$G%VVl_zJb{I@jsIyPHR|C8o-{%x%nk4bmk{jJrvPP%XXp|ysbk?x^iw$|uI>HcVY zYfZc?-DhlRt?8-hZvRGW&B;#ph&8S8sHXdqC9SpOdj5WHYc0Pc-FLj)TC49(=RVY0 z>mE+`mLaXRp@jF}r?ocKr2G1At@UQNboZ)hjh8Up8%kR1ouTPI{BUc%J1*V1_qEo0 z)6!ktj{lvP?)f+H=S$Q5!xg-p(|vI+|L(1H-<!t!`5@iHoAQ1?OZWSY`8Z#vyH*1} z?$7DYKZVcZ&vaKF$LDo8-Lnt2(vssdy!${a&99%~Gk<EOIcI11`mb7P`b8Oj{?k^P z)I7tBx3<#g%nX13W-ART$nZICrM_)5eACiadhr(CZeA-reOHDTO>3p{2QvKixK?`X z@eH3atd$BYGkkU5R%-uDhO1v_#oi#p)1PdmHUl&KNogx(jmmJXM_Z}c<P4{GYNbZA zGkkyhR;s@!!vk+@rDIoRc-57y^w)+A@6Bzcz3=gU(_87YPcq!5Su4G}E5k(>w9@MD zGd!w6E6w{Y!|P9Jr3wFJ_^0DqssAyVKJ!qPy4J~b{E?-{&&c$nKV|8zMwuS`RhHUb zmg(i6W+^i@)4R83>B8(x*M2KYbuZ6!va|Hhb(y|nX_mgdJ=0Ik%hJ}4nVvW;i#&X$ zH;l{D^x{l^KQv2&5}B^kH%m`FpXt;Wvh-l@OyB-wmTnl5>FUxfWsJ@As7JDN?#r28 zabK2>nVad)+GXj7C7J&3hAeGalj-xW%+hObWI8`LOXId=^7G76w;h@8+B8cK@5%Jo z##y@VM?T-PvXp!v)7$E1ss6!CAE=cj{?GjX;?K<AiMKItEB+1FqfGHW%=;4W)4Xr- zG0evjAJcqn@i~~!MSM=?a}%GV`CP^4Y(97KHJGnOd`;$S6JMkGTE*9FzIO3FFy9OI za#`kkBfdxGdnLYS=6ff;hvs`JzNhAUE566(do8}_=6f%G2IglWekSH;BYsBaXC;1S z=4U5<hURA}ex~MUD}Ki2XDxo_=4UTn1Ln0LUK8fEAzmZqwIW_K=CvbUL*}(4UQ_0^ zC0=9ZwI*J3=CvnYgXXm;UX$jvDPE)IwJKh-=Cw;Nu$6f&i`TSyZHw2qd991rym{@5 z-vRTxAbuyz?}qptF~2L~cgFngh~FXeyCi<6%<q=?9W%dc;&;yc?up+)^Sda1C(ZAs z_#NfkkS=~_&F`-G9X7wq;&<BoZj0Y>^SjQ^v$gr%7uNuDEfCiPb8QgU2y?9v*9>#* z5Z4fMEfLogb8X@J!@uj3F0MJ|+9R$(=2|4KNnC%@#Wl)YtHd?ST)V_I%v{UFHO*Yx z#5K-b>%=wBT>Hc|&|C|}HPKuf#Wm7gE5$X_Tsy@z)Lcu&HPu{O#WmJkYsEF!Tzkbe z*j$UnHQ8L7#WmVotHm|jT)V|J++54WHQij>#Wmhs>v_)2HrIY}4>0!vaZfP!262xt z_X=^(F!v5|4>9)=aZfS#7IBX;_Zo4}G4~#E4>I>6aZfV$CUK84_bT!`+2-CQ?qTL$ zChlqG-X`vG=3Xc6dFI|H?t$iBDDH{o-YD*o=3Xi8ndaUp?xE&hD(<P~-YV{~=3XoA zx#r$0?!o3>EbhtX-Yo9X=3XuC+2-CY?&0QMF7D~%Pg>$(%Q5$QanCpResKmcX93Qw zIp%C2&IsnLAkGZt>>$n%<}4x36y|Ip&KTybA<i7;>><t|<}4!4B<5@)&M4-rBF-%4 z>>|!E<}4%5H0Er>^@z{sP^vidn6r;K1DUgsI1`z(kvJonvywP7nX{8PLy<>H6=y1Q zwi0J7bJh}PE_3!0XE1XX6K67WHWOzwb5;{)Hgk3pXE<|~6K6Vewi9PObJi1QK6CaH zXFzin6lX$nHWX(>b5;~*Mss!)XGn9F6lY3vwiIVfbJi4RPILAYXHat%6=za&HWg=7 zb5<2+R&#b0XIOKV6=zy=wiRbwbJoRM&DXIxMVx`nSy-Hj&DmI-k<D3IoSDtpS)8HG zSz4T_&DmO<v6(xhh%>i2dy6x;Ig5)kxjCDQGrBpei!-}9yNfftIm?SPy*b;9Grl?N zi!;AD`-^7)^DH2q3Cy#Bct$YK3gVf;JUfVI2=go<o+-?;g?Pp=&l=*H!#sP4XAtu& zBA!Xivx#^{G0!UEnZ-Q2aBj#m&obhf#ys1=ugN#hI^vnfJo|`eAoDCFo{7w}k$6Tj z&r0H%$viuWXDIV5C7!7`w<e2cEc2{IzAN86dx>W-^DHKw$;`7EUvIv7Ruj)`=Gjd= z!<lC}@l0o)?Zh*ldDaupeCF9tJOi3%LGeszo(;t_qIp&n&y42TQ9MJs;dd>>Go^X9 z6wjFESyMc7nrBb(3~HW5#WSgSHWkmP=2=xdvzljD@eFI8WyLeCdA1eLxaL_`JoB1o zU-1lVo`uCTv3WKY&&cLkSv)hFXJ_#YZJwpYGqriP7SGt`SzA1Fn`dwF3~rvq#WT5i zHW$z6=2=}lvzupk@eFUC<;63-dA1kN_~uz(JoB4pe=!3vvj8y@FtY(MBQUc9F*7i; z12ID|vjj0yFtY_QV=%J@F>^4p2Qh;%vj{PhFtZ6UqcF1yF|#nU3o*kmvkW-&VP+d* z#$je1V&-9HA7Tb#W+7rGVrC;^Mq*|qVrF7yCt`+TW+`H(VrDC1#$skIV&-CIFJcB` zW-($WV`ej)gTu^f#LULbZnzJHndOL?j+yO<8IPIuh?$R>{fHTmnFWcNkeLmM8IhS4 zF)#}=I}$S_GfNUPB{N$RGbS@@5;G?=dlEA!Gm8>4DKncAGb%Hy5;H3^yAm@jGs_Y) zEi>B^GcGgh5;HF|`w}xSGYb<lF*6$zGcq$P6Eib2I}<ZBGfUITCuC-8V#a1>ZE#^_ zW^ZB!XJ&C?CTC`IDjp#-s}nOjGrJQrJTuD^Gd(lg6Ei+D>myAiGy4-WKr;)}$}KXp zLH24y#cP_86PKACiW#DrC5oA%nJtPLqnR~|nWLFKiW#JtMT(iEnN5lrrI}U2^K4^g zmtux#W|?B9X=a;Z#%X4qV&-XPpJE1TW}#vxYG$KiMrvlIVrFV)r(%X`W~pMPYG$iq z#%gA*+=ttk*(-C0Hf9zpX0m2BD`vE2Rx4(<W_BxPxMr3sX1Zp!D`vcA)+=VdX7(#) zz-AUKX2NDREM~;y6Pk*dv6&r<8M2uri<z>SEsGhmnKg@<vza}M8MK*2v){Sg%%;VR z+RUoO%-YPZ#SGibvc*i>%(lgh+swMf%-hVq#SGlc!o^J7%*Mrx+|0_w%-qb*`MNJR zvve_2H?wsyV>h#QF>~kZYJx}kax;q;GkG(c7c+V@s~0nSGrJcvd^5`zGku<On}`{| zne~gAznT4u9e~*dh@F7h4Tv3q*%gSLf!Q619fH{<h@FDjEr=b1*)@orgV{ZZ9fa9M zh@FJlO^6+Z*;R<01=sz{#16ykGQ>{9>^8)X!|Xc5&VzjQWnu?nb|GRXVs;~9M`Cs* za3roUyA!cPF}oD8Q!%?0v12j27I^SinB9xm!I)i)*vXjPjM&kbU5(h;nB9%o;n2&v zOzd>bZb$5R%&tf5e9Z2L-0Kx)7bJE<W;aC7_6oBr5<4TaI}$r2vr7^?C9_)+J0`Ph z5<4fedlEY+vx^ctDYKgrJ1VoQ5<4riyAnGrv&$k!dZpQIi5-{Ob%~vq*?ox}nAwGi zotW8;i5;2Qm5H61*`0|Un%Sj^otoLLi5;8SwTYdZ*}aJ!oY}>Rot)XtalgLO?CQkM z&g|~Q4$tiJ#7@ub_Qa0Q?E1vc&+Puh4$$lZ#ZJ)d2E~rh><Y!s(CiMy4$<rq#ZJ-e z7R8Ry>>9<+(d-_@4$|x*#ZJ=fCdH1@>?+01((Eq94%6&1#ZJ@gHpPz9>^jBH)9gOQ z4%F;I#ZJ`hM#YYl{mvy~XKHq*VuxyWsp{76DzjS^J65x66+2h6dlfravx^lwS+koJ zJ6f}=6+2tAyA?ZJv&$7bU9;O2J6^Nv6+2(E`xQH2vkMkGVY3?+J7Tjd7CU3JI~F@+ zvr85`WwTr6es;ClHH)3I**%LLwAn?AowV6aiygJuRg0aq*<Fhrw%KKiownI+iygPw zb&H+1*?o&0xY>n^ow(VJiygVym5ZIZ*`13Wy4j_Rox0hriygb!wTqp**}aP$yxGNz zoxIu2iygh$)r+0I+1-mBzS-rAoxa)aiygn&^^2Xq+5L+QfXM=gOn}J-h>U>A3W&^r z$qtANfyokxOo7Q3h>U^B8i>q+$sULdg2^I?OoGWKh>U{CDu~R2$u5WtgUK?8OoPcb zh>U~DI*81J$v%h-gvmnS6K!j<5h5dDvJxUQVX_k<Lt(NMB2!_q6(VC{vKAt9VX_w@ zgJH55B9mdV86u-$vKk_@VX_+{!(p-<@LSvZxhpRe84r{75Sb5?{SX-tlLZl(5R(lN z84;5e5t$K_9T6E4lO++E5|b?v855H=5t$Q{JrNlc_G%Z1Op3{-h>VKKs))>r$*zbD zi^;NxOpD33h>VNLx`@n+$-amTjLE{#H@e1TV?;*AWMxEV#$;zihQ?%RM5e}MYedGz zWNk#|#$<0q2FGM^L?*{%b3{hRWOYPl$7FXzhR0-iM5f1Ndql>^WPL>D$7Fv*2FPTA zL?*~&gG5Hi_k7w|WQN>lOJk8CGFc*#DKgn2kufq^Bat~W*&~rbGFc>%Nix|akx?>P zC6QS&*(H%-GFc{(X)@U+k#TbMLybk|$z-2I2FhfiL?+4yu5K(cQYI@UGE*iyB{Ebd zOC>T@CR-&kRwipDGFK*hB{EnhizPByCYvQPS|+O{GFv9QB{Ezl%Ox^hCfg-4UMA}$ zGG8Y9B{E<p3nnsQJdd0&GGZnxCNg6tJ0>z@CQBwVWhPrDGG-=ghJXD!lRXm|G?PUW znKYA46B#v=RTG&tlU)-THj`x&nKqMc6B##?brYF4lYJ8zIFp4FnK+Y;6B#*^l@pmc zlbsV8I+LXnnL3lL6B#>`wG){;lf4reJd?!}nLLxt6B#{|)f1ULlid>;K9l7WnLd;4 z6B$2~^%I#tll>DJK$8U&nLv{b6d6I26%?64lN}TpLX#yFnL?8-6d6O4H58dclRXp} zM3Y4nnM9LK6d6U6RTP;;Du<0khS6jhMW)eY8%4&^WF1B3(PSS*2GV39MJCc@BSl8i zWF<vr(qtz^hSFpyMW)hZD@DfAWGzMJ(qu112Ge9QMJCf^Get(zWHm)*(_}YAhSOv@ zMW)kaJ4MFRWIaXZ(_}wI2GnFhMJCi_Lq$f^WJN`0ls+1N{vDrJect|;>rJ*)WK2!g zRAf#~_Ecn0O%_#TQcX5hWK>O7Rb*C8c2#6pO_o(;T1~c9WL!<wRb*aG_EltHO%|4X z!VM-HD>AYsD=RXyCOgaZ;RchX6`5L-trZztleHC@Ta&#N8C;Xa6`5R<%@rA4lhqZO zU6b7v8D5j+6`5X>?G+hcll2vuUz7b68DNtI7MWm^4Hg+;lNA=3VUrye8Df(q7MWs` zEfyJLlQkBZW0O4=8Dx`17MWy|O{V{Sqsc0Z%(BTYiwv{LGK);J$u^6Ov&lM(%(KZp ziwv~MLW@ka$wrHew8=_~%(Tf)iww2NQj1Kr$ySSuwaHqG%(cm0iww5OVv9_+$!3d; zw#jOX%(lsHiww8Pa*Is2$##p3x5;{o%(uyYiwwBQf{RSJ$%ey;y3u6CMP}S&$3=$R zWXVOQ++@o|#@uAhMdsXO&*8V<XtL-clWwx<BBM?Z{%nz1H`#TOVK-TJk!d&Cc9C&6 zS$C0nH`#ZQfj3!rk%>3ic#)AeS$UC}H`#fSp*LB2k*PP?dXcd=S$mPWH`#lU!8cia z`sO#8Y`)0oo2<Ub>@(kNC^Gye%P%thCfhGE{wC`$GXEy~FFF9G3m`fHrW+tS0;Ve< zIs>LVAUXu5OCUN0rduF72BvEuItQkEAUX)9iy%4)rkfx-3Z|<dIt!+|AUX`D%OE-p zrrRJo4yNlMIuEA%AUY7H3n4lYrW+wT5~eF5IuoWlAvzSMOCdTHrduI87N%<<Iv1vU zAvzeQiy=B0rkf!;8m6luIvb|DAvzqU%ON@)rrRMp9;WLdIv=L{Avz$Y3nDrprW+zU zBBm=MIwPh#B040dOTxK=e|O|8(J?Vy6LnpGv+16Q4vLq5d6wv;m~M*bsF<#b=&YFT zis-PIE{o{2m~M;cxR|bs=)9Qj3*7KqOczFUV%Qs;B|0*uD<e8HraL1#G^R@<IyI(S zBRV#wYa=>0rh6kgIHrpuIyt7BBRV>!t0Ou)rn@6LJf_PdIz6V_BRW2&>w{PA7SsI^ z9Uyv-XNpdc=?008km(AE&XDO2i4KwJ5{XWc=@yBOk?9(V&XMUJi4KzKB8g6t=_ZMe zlIbdm&XVaai4K$LGKo%;={AXulj%B%&Xegri4K(MLWxe4=|+i;l<7)|&Xnm+i4GN9 zk~2l8%5<wl$I5iAMCZzMuS5sSbg@Jy%XG6uN6U1zL}$x%xAfFCx0)`O=yaKGm*{wz zu9xV1neLb9fSE3s=!CJCJ41BDOjk^F#!PoibjVDXOmxajw@h@*OxH|w&P?}AbkIx} zO?1*sH%)ZZOjk{G)=YPebNH>M%O*N)rrRbuZl>!dI&Y@?COUAY3nw~prW+?Za;7UM zI&-EwCpvVdOD8&YrduaEcBX45I(MdfCpvhhizhmHrkf`^dZw!<I(w$OCpvtl%O^U0 zrrRevex~auI)A47Cpv(p3n)5)rW+_af~G4dI)kP=C_03uODH;pem?1R(J?e#L(w@j z-9ynqG+jh|@3)z5qUb1^uA=BHn(m_LFq$r-=ro#cqv$xAuA}HYn(m|MK$<S3=tP=s zB=_OlOjlBLCQWxzbSO=iQgkX!w^DR0P1jO%E=~7RbTCa9Q*<&-H&b*pO;=NNHp!8m zCOVv^%PBgYrrRkxo~G+5I-jQdDLSC03o1IHrW-0cqNXb<I-{mLDmtX5OR5QX-fp_3 zqGM{hrlNCdx~HOpYPzVR0B5?XqN8fMs-m-Mx~rnYYPzhV)5?7GRMBxYU02b0HQiUy zfi+!N(TO$PSkaL+U0J+&d_K3HDmt{LODj6HrdumIwx(+<I=7~KD>}HQiz_<0rkg7| zx~8iuI=iO3D>}TU%PTs)rrRqzzT{r(i_Wj<{)!H;=>pTMzQZ(1MMv0lg+*uBbcaQU z*mQ|Sr`U9hMaS55jYa3!bdN;`+0P{Ei%zoXChMBQJ4{zube2tbS#+39msxb0O}AMS zyWU~C&Z6^dy3e8mZMx8+6K%TD<WKG}U1`yoHr;8_p*CG=(Wy4wYSFPaU2D;~Hr;E{ z!8ToN(aGjqUr%(jO;=lVwoP|ibhu5I8;=ifH@+Ud$2&~dTXeon_gi$pO&45r!c8|^ zbi_?poYzk~o{Q^=4mtU*dZJTqy5*u{Zo1~8b8folqJwU_=%SNOueu&{`*x<QE;{R` zyDmEHrpqom?WWr<I_{?HE;{d~`z|{0rVB4R@unLuI`XC~FFNz4J1;u)rb{n6^`=`d zI`*b(FFN<8doMcpri(8+`KFtX7pa};>Wj|4>F$dTzv=RmM`~xf{i5S<y8fc`Z@T}2 z0bp1Ff(c;Q0D=)<SOJ0=VAuhIAz)Ynf+=9w0)jDMSOajs+8g$OU=T1*sw<cThD{(C z1%_21m<5JiAQ%SZcj^kJfngg6#(`lS2<Cxd9|#75VIc@6f?*>FMuK4_2xfv|CkTdu zVJQfvf?+EN#)4rj2<C!eF9-&Mvoq@oCWF^BtIPSOy<s&7W`kijkgslUSPp{eVAu|V z@nBdF+|Sz^_Jd$R7#4(JLKrp#^YHeD6(N`rh8-ao5{4zgeyhD<O9;k<VND3;gketz z28Cf!2quMLQwT<dVO0obg<)3+hJ|5S2&RQ$ThK@AU|1J|d12TWf`MUJ7=npm*cgJ5 zVOSZ0nPJ!&f}vqp8iJ`|*cyVdVOSf2xnbBFg27=}9D>PV*c^h<VOSl4*<sing5hCU z9)js%*dBuMVOSr6`C-@}f&pS!Ac6^E*dT%tVpt)98DiKWf+1p9B7!Mm*dojmIvCc7 zV2&8}h+vQy7Kvbz7&eJulo(ctV3rtmiC~x*mWg1R7`BOEoEX-LV4fKEiC~}@7K&h^ z7&eMvq!?C;V5S&$ieRW1mWp7i7`6&?wmS`LMKD(kdqpr<42wlDSqz&+Fj@?&MKD_o zyG1Zu=o_6Rm@bCxA{Z}l(oPc07sGxL3>d?L5lk4vh7pVy!-^5i7{iVc3>m|c5lk7w zmJy5@!<rGy8N;3t3>w3t5lkAxrV)%9!>SR?8pEz(KYS<6-xCGX#;|P!<HoRV1oOtQ zZv+F!uy6zu$FOnOtKDf>If9vE*g1lsV^}%_y6-e>9l_W!tR1bcbC+T72nLT~@dzf5 zVe<$^k74x)W{+X_2!@Yg`3R<uVfzTik74}?=8s|j2nLX00SP7$Iq?$&Bgj0s3ucgE z2MLCdVF?MQkYNi6#*kqR3FeSt4+#d5VG+sQ?=oy6!6-7UBEc*&>>@k}cNvzEU>X^= zkzgDd){$Ty8TOH2AQ={tU?Ledl3*kmR+3;Q8FrFjC>fTLU@955l3*+u){<Z@!DBjJ zFqjOBNidlVn+YD%U53>pm`#S=Bp6PH<;48@Zo_sGj3>i-63i#Vei95Q!-5h_D8q&l zj3~p363i&WjuH$h!;%tADZ`c$j48vK63i*Xo)Qcy!=e&QD#NA{j4H#b63i;Yt`ZC@ z!?F@gE5o)Dj4Q*s63i>Zz7h;9!@?3wEW^eUj4Z>-63i^a&JqkQ!_pE=EyLClj4i|3 z63i{b-VzKh!{QQ5F2m*$j4s3K63i~c?h*_y!}1bLFT?f{j4!_bwFUFbu)hQY%&@=& z6U?x|1S8C_!UQwSu)_pH%&^1+Q_Qf%1Y^vw#sqWBu*U?0%&^D=lgzNm$e-L}SY_;e z?lJ5#6<&UiVVMc0nPHm=#+hNA3Fet$p9uz<VW9~onqi{}Mw(%z31*sMrwN7{ee>f4 zQ_Zl|1Y^yx)&z6Su-CZW-(y&8g2`ssY=Y5dSZ#vYX4q|l;bvHFg6U@1Zi4Y<SZ{*) zX4r3n0cTinf(d8XaDowMSaE_GXV`IqA!k@}f+=U%a)L2uSaX6oXV`OsL1$QWa6IoZ zY&t!4Tt~yI6U;ipt`iJ9!?F`hJHxh9*Tx+U>rOE54Es(n@C*x2F!2l<PcZTfD^D=< z3_DLS^bAW+F!ktv*P;jC(XjRebI-8%1cT48_ym*Bu=xa|&#?Lgv(K>m1jEm;`~=g_ zu>Az%&#?Xk^UtvV1Ow2p00k4!umJ@l(69mpGtjUD1w+uV1O-#jumuHU(69#aDRngL zK`no?qhS#WCZS;y3Pzz}6$)mdVHXO9p<x*crlDaQ3dW&f9SY{5VIK+xqG2JjPrlc% z5d|aBuo49`(XbN*L(#Al1yj+m73pQ&YgmhdxoFsnaM$lOEJnd(G;Bt}Xf&)w!E7|_ zM!|42EJwj~G;Bw~cr>g>!F)9AN5OzJEJ(qGG;B!0h%~H7!HhKQNWqXaEJ?wXG;B%1 zm^7?O!JIVgNx`5rEK0$oG;B)2s5Gof!K^gwO2M!+EK9+(G;B-3xHPOw!Mrr=OToZ2 zEKI?~G;B=4$TX}>!OS%5Ou^7JEKR}GG;B@5*fgw7!Q3?LO~K$aEKb4XG;B`6=rpWO z!R$2bPQmarEKkAoG;B|}B=;HCr(k{>_NQQg8WyNvf*LlcV1yc0s9=T~cBo*88kVSF zigJ!V3QpR6hBYdfqlP^y7^H?pDww2(O)40rhE*z<rG{N97^a40Dww8*Z7LY2hIJ~K zr-pqh7^sGYDwwE-jVc(ahLtLqsfL{@7^;S)DwwK%_V*(JW7W%d9toJMKCtOXz+iRq zf+GQw)sK!n5-?f~t2JP@dPm8TfZ=Lbt^w24ZLd5MFkby^@{xe~>O~EX1PoZif(@9k z{5%f_j99~p4VbZp9UCxY4NEp)${Mz8z?e0x*?>7~*s}qH*05*;Caq!928>$6s*Pv! zIvI9tz_2we+kk0n*tP-V*062^=B;7h1`J%o!VQ?XhK(CAat$jtVCEWjZotqbS9mC3 z>Ke9gz}Pjc-GI3Z_v=u=;597XfXQpvyaA)vuzCY#uVMEF3}3_Y4Vb=$?He$D4eK{x z{u=ggzyLNZ;D8Bi*uViJ*sy{FX0Txg2Ml4u5)PQchAkX0h7D^tU=ADhaKIooEaHGk zY}mxH^S@4pRU9yj4ZAopPAD`i<GAmXLc=zW-e(kg_Zk1iqH_x2c>WtZFDNuD<fzr8 z(6EuCMRK8GB}coALiV)(M%SD|!%~i^D)h5m{|y++hP52O+)!xP%W>N6g@(l(FqsXT zIXZSK^cQvijpsTS8g_F`dA!iDoMS^-p<z46w~0cZzx1D|{cNFOKSz_73JnW7uIW=~ z*wFFlphClnj-De54Ldrfk1I4R>DVx((6FWB%bA6SH64fM6&m(*oV}#bu&5(zWuakH z$8GBh4XZj}RvUJ8^n9<-u&iU!hlO5K^mi=ZQD|7#@xiV_!@dp}*oK82NB>l4*x1qV z_d>(Uj+Flu8g_PEb*Rv=v;(HLVQUABZNu6QnA?WE9Wb~Ji#uR)8#Z^q=r*kGfZ1)> z-2ubfu)G7Nw_$q+jBmsG4w&DD{T(pC4GTPAf*Uq?zz8?2@PHX^*x>;~+_1z0rnq5? z2aIvU8V{J`hCLoI$PJ4;V3Hd)dB7;AXZvTsEH~`(fMIS}<^j{(u+3xr*!vCZJYb$1 z_IbcSH!SpkiS7pN{tOuDhLs*L(+xX4V5l3GdcagSZ1sS#ZdmI9bKS7l0|q<yhd%-) zyJ52jjCR9n518$S-5xO94a+@Xx*N88z<4*T_kj6s*zW-Y-mu^UCcI(82aI^biVv9a zh8-U;<PA$cV9Fb|e88ADtoeXB@9dHT0fXMK=mRFbVbceUdc&#@nDvHTA293<%RXS* z8@7GGxHqi(fO&7&_W=Xnu<!#WzG34BjC{k&519Gn;rB<!=N>RD{eY=&*!lru->~)r z=DuO?2Mm70;t!bohRq)^`VFf;VD=k!f57lJEdPM%Z`l3;<KM9U1LnVB{|62L;{phr z0LBdvI0B3-AaDj4cR=6}FfM_>DPY_Jfn&h91_I}RaSsFz0^=eGoCL;A5I72qs~~U| z7<WP7FfcBIz-eII27%+ixDEp6fpH%M4g}*u2%HGUjSx5zj4L5<CKz`@;7~9wg}|v` z+zNqX!MGLz=YnxB1P%t{VhEfJ#?25o8n|EZ?>j$e+zo-l!MGd(r-N}j1da#edI+2k z#{CdDAdCwla6%Y2MBs=pu86=HVcZdcL&CTu0;hy=O9YMy<C+MZ6UIFeI4F#ZB5+a| zH$~v6Fs_QgSz+82fy2VMECQ#6aa#nA3*)*7oEOG@5jZf63nOr17&k`X$S|&qz?os( z8G%E?xHJN%2A}uOQFzQl#<dYRH;j8DaBvtGN8sczZjQjwVO$-7vx9lg&w<0kxI6-< zhjDuZjt}Gd2%H~Y_3lq`PyR#31rj(xj2k3ygcw&y;0!VDkia2gTq1!}#JEKQ$B1!_ z1kMrT9tj*I#zhi1NsOB$aFiHVN#HCo?vlV^Vq7MH)5N$<0>_DQodnJk<30%-D8_{n zI8lrnC2*t|S4!YaG47PWp<-Mrfm6k}RRYI~ajgW-72{qB94yAg5;$3mn<a3x7*|W+ zY%%VZz~N$CE`ig<xLpFri*daK&KKi;2^=uS1rs=7*hB3N95HaB_6E)v<Bka&GR7qn zIAx4mCUDFc*G%A?G47ebL1SDrfs@9#X#z(LIg7o4v&Oh<0*8%p*#u4-<F*MLH^y}n zIB$&mCUD>w7f#^BF>ai|kz-srfiuUra{`Bsap?q39plys96QFf6F7H_dna)47#9y= zR{q^e{=9c*<LU{VJ;vP=IDCxDCvf^0w@={sF|MD$`D5Hafdk06fa1vf&c+QCID(8T zC~yXmoA@?x2pN}9;1n`$p};X@Ttk6#$he0B2a$0R1x_O4CJG!y##IzJi;TM{a2Oev zQQ$N(Zll0)#GdJ!z<K1u?|u_Fkc<l{a3UEuQs783uB5=3WZX%CL&><50;iI3D+P`v zxa;2p&L!hs3LH$v#S}Q1jGHNNG#OV@;A}GProiE3Tuy=0$+(>Y$CGhA1<ohqehM5= z#sw8Pp^O_Ua6}nbRN#y<?x?^aWn5B$Q_8rd0>_kbO$E*=<DLo}RK`UWIH`=ADsWU8 zS5@GwGVZFtVZ~JatH5bx+*X0(%DAoq=aq3^1r99Z!U~*N#*Gy?vWzP$aAp~IR^ZSw zF0H_+1xI2};Mg**t-!fu+*^Tz%ec4#Czszodr#o#GOn(`*=5{afy2wVyaK0}aeD=h zFXQ?OoL|QM6*$0*3oLMg88=wq2s5s*z!_%TVSz);_gwg8;1n}%vA{9rCV%V>oMRsF z#qPjC=KXK%4xD7ZbHVPwQRY=+b_dQfH-2e%;4t%xMY{v1nZIqnJ8+!&8to38XU2UN zIM9p>EpVdo{n!;a(u^xDaHbh|THsJKF15g^X54ClV~t+mu2?zX5#wG99Be*v@2<ef z=8kQ51&%i3Y73lg#@!Y;+>FaDaJm_{Ti|#zuD8JXX54Rq1J1bMqF^H*Z^##cBhI+u z;`}X-7<XLYkTWj1z$s_ka)D#cxaI=qoN><u4m#ta3!HSuO&5*+e8jlw0%x6Z*98tc z<FX5!cE)WNIPQ$=E^yu%_g&z?GcLTqiD%q+fg{hj@&ad`5B<F}aOfGAUf|R-ZoR;< zXIy)MbI-W<0tcU;?X@#-@)<W@;OH~1zQEb%`>xy>IQ)#uFL3%9w_o7+Gp@hD`DfgJ zfdkOE00SqWaRUa9K;sGwoPow27&rutOE7Q>8n<BJ7&NZIz&U8#gMow4xCjF$p>Y!i zjzZ%q44j3=T^KkFjmt1_8XC7@;5am{!@zlH+=qb!(YO!;C!%p9298AIN(`Kd#+?{A z6pc$Ua4H(NV&GUbuEoH)Xxxi|gVDGc11F<#GX{=E<7y0?jmF&=I2?`3G1k<6%(xu` z$D?sQ2F^$0eheIt#swKTA&na{a76N)usv`_lCRtzI3$frGH^;7w`Aa$G_J{5k@pzi z=k0-m(zqxCC#7*y298SOstlZ!#$6dWERD-Da9SF-W#G6puFJrAY225Q^TcDug~@sP zG2_Mz9GS+I88|bIJ2P--8kc6^)HH6*z_Dpun}KuFxHkg_r*UxxPEO<I3>=-t)fqTD zjk_~&cp8^y;Pf<Z&%p6%T%UpS)3`qa2dHs@22N1p1`Qmc#uXYkLybE$aEKb0Xy6nz zZqdLo%Ju)_z&UE%qk)6exJUyhsd1AAj#A?)4V<OMT^cw{jmtD}ni{uh;5ap|)4+LZ z+^2y9)woatC#rFy298wYN)4Q;#+@2CR9UTj6gX9lTQzX38rN#zTs7|1z`<%<tbvo& z&wTx1;Al0j*1*|n+^vDb)wo>a)DB&Y+cj{!8rN&!d^PUZzyWJqu(9&-F2)TTIAV<} zHgLuocWmI0H7?n>=XpNQtsexAS&v@vLExM<?%BXW>(%`}2%NOWO&d6BjjJ|r)^dIR zAaK|kmu=v*HE!F$acf++f%De5ZvzLeap49|T;s+K9J$7o8#r@~J2!CX8kcV1)HQD1 zn7FNraqR}qUE|&j9K6QG8#sB5n>TRu8dq=N>^1J*z~O6LzJb%%xP1f1uW|hb&R^sH z4IIG61sphmjT<;{1RGay;0!kI;J_hlT*84<*tmrQ$FOk?2hL&R9u6GD#zh=BiH(~$ za1_&z*&H~Fjk`E-7#o*y;50UF<G^ujT*ra)*tm}a2eNS?2To+;Mh+aw#+4j6lli@V zKX54HL3lrKDjT<Q;8-@U<-oaY+{=N3*|?YkC$n)g2aaarY7U&u#@!q^oQ=yl9v%O< zaXSZ&XXAPfoX^Jn95|qj3p#K@8#i>|h&Hb1z!`1a(SbwSxTFK8v~f!Zj%nkX4xH1* zJsmixeg1pz22N_@rVbp{##J3StBt!la9A6cbqxEN&-<=-1IM*-T?fu<<Gv0Y*v5q& zII)czJ8)zhS9ajcHty`ep>15+fm7SLlivv(+s3sWIJaHD;+??3ZCu=eliRqt14p-U zbqCIF<L(X|-t4R14xHY`?HxG2jq5vbejE39-~cx+@HleI6UGf5IKqu9JaC2^cX;3s zH!ktODQ?{2fn(gb#slZLagPTMa^oTooaDw$9yrR4t2}U)8+Uo&F!!$hZv{?sudRM7 zaGZNWr?&#<xpAMze`B67F7&{OZrtdBBi*>t182H%rw0yo<5CZt>Yls$&A_p4T<d{z z-MH5S2fJ~x2Tpe5W)B?g#?>A;+l{+DaJU<nd*F08Zuh|PZd~ty^WC`L0|&fu!AH(7 zPZ&3R;D|S__`n%&-0^`!-nirgr@V2?2ab6kNq-}7&Kvi9;Gj1y`oKwV-1LE?-ni-m zXT5RP2M&AVvJag0UNd-8;J7!g`@nhcdmr2sm$c&j-mobS<`((ij7{;8iu~4jn_|vY zMIL$Frs#HEkstbXV|2K=$St>TjOKR~`Jgw(QFrlnvo^-Z_ZE5Bkc~0(fg*Q$dSg8O zNRgX9v@vdcqR9Ji*cj)R6?t{$#@JU?<Q|PExjj|nn`&>2LC+QW%zYc8(@RDEV*7?j z?p@?*>o&x}{zZO#_J(+SNRiWqZita1i~QHq8{(mHMPBvLhDe=U<Zd@^h<~RQ`Kqi9 zv1xXZPdI-=40^Q)e$9rsdvTEm?t48hT3+PacDx=xt}gOv>tByW>x=yH?APPzH;O!B z=<9L$yG3r__4PP@E1yT_*W>+<i~PxrugB0&i#$B*_2{sx$akFodYtohkxxDT_1N`& zkvH#KACrGBa{nFc<DuV+eC_)6amimrK5owX`1ao-uN%5PW*jN<GhNrmBeja%y7T(D z^u%KSe&hQ1rf#uc$yy&%Pb+rk^Vi4y4T^pK@#~|}dBxtgZ(V$LL9qw!SQjHNEyky` zE^bRI_MzG9;^fp~FB!Tn-pDBS<6YN9x9nnH(0N_t6cqFMu8aMb7rSrPx|q|p*m3^4 zc;JR&@2|Zs8s1v$>H8d;+ZFq+9ggmI7rV}SM^2|=uEUOB9xQh0P{))<d4F9U?Td=N z^&!Uz<;5n#8Y`=d-7?Ej^mMVmY~*P4e6a`BcD(;mu?zOCjc0oo`={-w-v<<X^t!dN zb4anTp1n5uj^yJHSsSg#6?@{-YvY?q#lG&LwJ~H`vH!ebZ4}Hd_N2_Uv3FjvuWz(A zhAk@gf#dk|WyPNG>zep}6<`1MH8FTyv48cN$k|lvk+assp11k9L)Jv^&BgxasWp-M zQL+0yxF$Z?UhK3R)<oCOi@iN#O<b_2*w37|CN_Rs><f=u6Oa8^>`lL{jyn5_-DTVA zc=bTBF>S=He;0fH%+;~~V6kr>ygG&*UE)8UTpgLUOFZI%)$#F3C2n>7>Zq(&;_d0H z<FqqMTtiuNLBkTb;j823MkQYQ^Q!pi!V>!atD@Is{QEVl;^O8d9y()HtW7O(>Y!C| zcV>y-t63EXvP<0M{#7xcpv1>rw<<2XqQsNac)PYG&Oc{Wbhx3!+m2Zkzur>f@}E{l z_jV<&^YO|!=k5|uTfH(C+*jg)=_}*v2YI^zE928gN?ejy8O2ZV{t8#d(Pbr`aLvjX zR#oDRmMghWmH3^8D`VNSB`!RAWnBAWiU0gzMeOKV;=UiQh{yVs`21BX;_pEvUi9*c z=sCQ^ZTqi?Gscwo)2bCQbwY`Y?pqP5Q%ijK>J_nSMu`WftcYt~De;93R>X(%`FBT_ z$9+pmeC6Kd@%{1=f3$UZl&>lAgDaNDzw1l<`;_JJ;u|II)^~ZF^lphysazgIwv>2$ z$K}!R;}R!bwLB*7;QQEOd0hMj@8`_rF>6nWw;Wm)Exs#p$M2TKf*(u#-R5PHv9H8s z%a+B`110`%(z3|@yTsjlFN>82dB5e$BKPQ0kGgwVtU9jLjj!OpPb&4yB>w)CQm3BI z+n-+QrT;CBr4345@XgZ5Jg?Ln-dh?AE-3YNuPu$_OG~|Z!qS-4tkie(TpE|8l(N5B z8WYn?eea!1qhae(f2pN0G_TYTHC-AfwJG&?^_Rv=SC#s)e_o4!uPybDdtQt3n@Zug zz7~6LEA`KdUW-m0N?knewfN|sQvdSOYjJHM|6TN2tbT~UZ}(cXe2lj*cr9KoDrH{r zTAW#4>PJs`EqYa#`rE&j#NSVq`oY~xqRVro-u32^`1Hk6cU-U}uIp9mZKIdO@_wbh z{e>lQ+2B&Y-(^V*A71KfZeJ3|j4Ac{+$B*mq11U7FNx2llzK^>CDC?9sgwU$91G`^ zdiv*!<J|eBZnSA}^jKW#;qw;9uggkZXXN6zb9Jd-e2$Xxx>EoB$l_?SsnjL6ERKP1 zm-_40i{p>?OMTY`i{qXTOa0ynyxq1^xA}chT(Xmo^Xa1Ky}Q&+USAYHeO>A?a~8$T zdrN)tutl-p=Tbk{by1x5d#U$5v?wb7EcN|2E{c!;DfQOOMUi>9)R#9}6vK`w^Md0R zF&{5;<6jrXt#!&gaNELowO*MItz8&(&M5N}GZ)6A4a>Y^(8AboUYW0ca$z*Su*{3@ zUl>ncTITbwTNocVD|6qpg^`?6=0DF~7(LU=eE%^EV|S}E`0Wd#bzYgXK3Wh1Rpv>n z7R0w#midHf3nK5@GMD#X5JPS(<M&|!=lC*Te&2$~ZC~cuSM&CFm$`n*g4lCknQP8k z5Sb5_`HREzqvs=KzViF|vHkHf&)PCSnwFNi?(+HZRArefCeM$zYRbH=_xx!1Oqp}b z=f@-6$~@uj`LU#XnUA_+ejL-M%nv5b=Nwt)O{dL|aYM>{*?+Ib_an;O>+4q|eQcTc zzWZuCGqKFqEqOKGn99c)|7z5q$>-hU)wuhWGCx}UYD}45<~Q2E%Dkb>m&B{la(S6w zyzJFTtS<BJQ(leL>&l$}zj<-=rZSJ&Julk6&G+ZcdC~X%GWy!{V)F-Oo;!M8oVu;d z$G<Qy+I`07)n#6c*j47$x6O;~UzPdH+<DR9`!bhaG%xP@smyPlG%rT&EAs^h=Ek-I zWqxw!+&JU!GH=;1H*P;z<|cFJ#-O9h{p|3$@m{TRfBekcIN`){Cp|njuBuz^=Wm)D z&!5VlXU&ZjXO^=^o*M_w=Iv|GjTYyZ`-5L!iOv_5`;zUi#Q4j~UE^2c<D_!GGxL?G zlUnZc2EP)QXOz3-$yXxLy4=41m6)4X?)ulg5?`v^52U>kXIxe8g=fDK*IZlfqmOwd zp1!f%xBfUM=H6QFNgvLMUG2*K%gQ-X@9uKvy*wv$U%C7An-irElzUs{oS5`*xi7wV zPQ2fx+@)8|iT{ery{g5WXjW0~<IkKE9TMff{m|@q@#%6rKC|Q1=gR&4`?LAIEqB_| z*-@)kxw}rB9Vz|Fy|L%)xN}gs>z2-rZo|sm{?6GkYZU)Zvt#SHa(~-ocKmNrxm(nq z9p_Igcg5ec;;LEYUh(Cu=rXt5hu@kN0~eIzMVb|hmhgFwnHAfXmwWRIv*MrC<v#84 zS#j?Aa(B3WRupV1_n6#SQTTQ_JkMG2{QKo@TxV8H`hf4tftle?%Kh@rnX&8Ba(}a7 zW*q#Y+?URs8RvXe?nj2tjMm?kd&V;}qur0?{;u=PDEpPaziDRl-(T*|Su<nCU;O(< zGh_X~<=%7L%=qju@Bh~s@y9V0zIWS<IQjSrk6Ak-E~!(&Id?|n*Q@YpgJ#6-r&svq zni<ihL52Gi&WPvEt?<TcX2h_@6+V(WBW7J(;hcsuVs(=WR~|JZwluHs+`ZG|tJDgA zyLEc}kx}9ES4@xNvMbzS%JeuZzrq9iOphjQD!i$DdbGZ(!Uyl39&N9!aK;tWquq@a z?vgY;9=f%{lTMo+W$h~b@xRmJ*}E!y;@8un&%G6ncc-x*t8m5QX)(ETg=dVL7Oy;3 z;ZI+h7Oxdm_~fE#v9_$jYBw$3tg3K%{<PTgWQAY8WLj*0hWB^!wAj_Hf}Y09@lE#% zxBBAc__22doSc_qU;he^ocD74F__P9#LMyb@CyI&?8|X*bcHW|<mEUrzQVWN{Bj&U zrNYm*dO41rUg3G?zZ|t@SNOBqFUN87DqMTt)HrS-U(fcbQR}q|ck<LYc14Bz&YT)Y zuc`2BgQmujbrt@yW@;SVSmBfIpBn$XRpHEQr^cV}@%AmJ#&26I+^gZ#`03*cFF0yy ze7mE<JN8bAU7uI@s1K&_Twmd)E2hNOZz_DtlqvDn-U{|fQ^G%2czpSkSo&LqU%z`w z%>ARndoQ07Q~s`S-J~fo@?eG2Pn#0`j;i#X|4xqQYE`=HSCgasgi23*XL58txzevM znj9VKSNhwrljE8*Dt-J*lOwxfrJFr5IhvkVNj_<EG`yhF#d(wC_)981@Z!nwSCdM= zT4!>6)4bA~4@`;=QY-!I&PlO0qtd5roD_3fS2}s_q!^J`=^KYnif*ce3p**ExU$l{ zJ5P#s*Hn7?jgum7sPu-+NpaaNmEL{cq&Ve{N*}B>DgNqE>4v{djL+|>bjBwWV?(D( z-?C<6%zCiWU8YZrfsa(W`+$j2@i<>c^~C5<Qt9RQO^lq1N`G+m#5gZe=^v9P#^I+b zee78i<I86&eeU52vHk_#-**#YN{>okzj;Eu(5KQ5ES(Vd52$3WHz72HudnBXXf&eI z(@G}9zoRR?vcrVfKEBdhVnQsLT<Nbbn-GJhRr>E!CdA{jDt+?*#>drjD}BN4@zHnz z@Bhv5@%Q3Nx1B#eK3G=iyGD(V*{k^apC2DDxYEx&IzH~&Q0W1;jE|Hz`TSdtk6Q0m zdVb^a@#*GD`}pzk>W6&1edFTAZG2z0kBbhU@%{C<Xu7M?hh~n8|Mpb6&fszJ-nW%L z`^j-J@rOz`xqn=g{8Gv5YFxzcmA<^?xTyPQrEh9DE<XRe(sv&<F6JDp^uv3{#*;@? zxoqp$xVl!AyDlFar=3vco|DJM7j>#UxcAtYU9ZYx%f?3K=~bR~*Vrg%P~~}T#>VmI zR(WaDv9b01DzB|SHb!4m<v0Ew6Zc<M<;`D?i6%)^{^YGO@k?@*KVL8=7N=GD>(OK4 zsjMph&}~daPL+RsY)l+eP~|^v9TS_{RQaFmG12>~Dj&XJOx$p7m5(`bOw_%x%C&zV z9h+~d@=2eLjzM=+x$gSWam$@mu0MNpoYJw%rwth$?{}*58BdLleh*gptOrKNb&piJ z!F8jf_TyD<m^L~#6j%A|vq#7C<^1o_qa(MP|NUW9{P`sR`@yJ~{|s-pVpMeL#=n~~ zDlY0?<um(?iqCpg`SkKpF|=QmPrZ9oTsNr7r(8ZNjv7|wI?YDK(vej@;nY#_#Mmm= z`e$TZFtN%<?HL)LOsVq0w?;<q=~eJ8Mn>N3D(@dXGJc&~<)6EajL8eC{M}<C<F+ML z{_@t5am?~6f0{iq7OkrChZl^D2VLcNPZ$}eZm9Bx-$nq*sq(5FBck}7Dlc9)BF@=d z<vFuP#G4;fdCK4sQTa)gM?E<r&i}N^1MVLYZ+~9pm#*c{zpV08Ek{J7Z>n6<a74WE zeU%?PYDAR&ROLJO4vz-=s(i!N;jwmqm1Ftvc=)d>r%fInb^fjLCB28of<t^i%7#b# zqpN-5UBlzwTGjqf!(+k;)&9B3@VNZsYJX90czj*2+MEA3EP9+?ZSNiyO&U~t{+q+% z&2y?fasIG)?EGpE95pPCzo^>JK0hpGTw3iX9vv1}H>>vDw+xG~TU7g+*2AJ(%W7ve z9u|!<t9{Y&!(v72YS-I0G}`6yakmYPeNpZ2*A9(7S5*6>8AIdZwtT#SLu2)I)t-|W z8treY_V7+a<Cj~j{e0V@Jg-%|OUlqV_pWN+e%8=f*s<FAhlj*9h1I_FyCL!UgVnCP zc}SE!QcWIjNYr|quV=!L7*$;DO+AK0QhBxK77vNlRn;EWen{N(WVN3v7!td>R{Q=- zheYY~)xNs!kU0EewVVGrI0p2rcKt5~$9a8ue;WtKoB`GTbnf72J){~Q{NUI)yc(|B z;J9^kwYzs796QHV`_UT*$0L)feSPNO_<d@%TbwsIy3VNfDYXVitvS{H?WaM}e_pje z_-Ig^v9KC%-k=!2q}oGY9>hGQ+LiqVab2nQ9hHM3#nt5T2gTyot9|N~gChG4{(aJ* zSp9ajx12U8^nSJH{W~yT-&*Z{Uk!|_KCbp-?+lDh+xa>c4UD!s`M!@G7;o&V_Td-! z@2{%8vkQO!ZMB!)#@qkE$Iap2|6J|j3kQaN<L7qbz*v2NfA{-<$o^lo5AGNci~p_m zwsiv{<uI><Sp#DB(Fyk+JRmMQF5w5B91!DANI2*I0ddC337>lHfaqT@;U7{5M6J^k zUe{niJbhNeqmJ~C-_K6C`1}6xNTY<W+R~p~Si<Kl>mRpXlJLHX{bNIugg5o<AFZ1w zJhr5N%uY$Tq(lEWH$CAiqJIp?O8BhH`p4nygnz8tKT7fwUh`+a*rkLAf6*^)x-#L1 zHuZ~DZ4=I#*Dsn~pYZV``o*Z565jDlzc}``gy(eb7p3hIe)`6KvGdM^Z^-NyZ967> z?s@%UL8pX&I<{Y&^I*cOe(D=9J)CggkNU>Xk0pHfs=m>#DB&hA_l;Gh3IEx*Z(LNF zz^m3bdM6Sda!=p*^{IqAUC}r0csAkYNqu8kw*-6OzHx5%gg5@vC!XuY=eeg(?CG0u zM<7;L4ovv6g?(b$kc599-6xJ4k?`tned6KK3HB|0V$-;UZ@sloTrw%)v$OleOH&j6 zx^bWQa(cpZkM9#PJK?H*y<_a$g!8xej{nV1xR!gz?TZtBZ$@wODhUr8*gKA2neaV{ z-toYigfHyWJ65hs`1`AS$LSjro|oJ^o_I6iiZgr1>+d9-eW+KQy*c4S-}E9Ul<@lZ zdd0?%`Fxh}=Q|R<ZhWsO*_m+N?!99Du7tNf(JRjUijQ+guXyy^ggfN*iWPekZg_F8 zsPl8epPkezI_^t&{QjOXcYnh7ebzJn`!nIjulJ1W|4DfF?4B{^V8WA!^o;M0Bz*r< zJtOnj8aIBRXLPGw<K5TxjQ38g@x+!rqhZ||-`B8bJXF8N=N{EF=AB;SPrvUGf1Oq1 zQCoV1&Z%+xWj&&IqZ*$!sYh(RpvIef_J{_T)VN<skLc8-#@BS{5z~@td~EcHAChbA zOM660T8*Es+aoG6Yn=6G_gLDx#``|+9{=Rlc+SS|ksmegJhyv1cX^E)4euVls>a*8 zc8{a3t?{6Tx<{KEYJBYt-Q)S2YkX8j_gH&djhCO(Jr1?2aoI85BloTvH~sOYc(Nm3 z&j&BXl1?=q@qdcWIxdQ?i{o~S-Q9%>1`6yw$5ssNLPb%r8<EW!!fx#D?ruF|pgv-E zVYjes_w0M#KYpJtJ9FdIy|cy6#T3YiNuZuPQ=my~0_9nh0^=rdzZog8V{!sb9g+fX zrzKGBb}3M1Rsy|hkODp86KH9P6i87gP&-F5T+$_wH8UAZQUV=FPlg7`2{i0jG7O)W zKmqHLA$4H_T{k4d?Ij5m7n=;O<q7<HA{hc#@p!G0A$n~B{|<dJtlW@5q4|;_ZF2(s z`I`jhZ3(pLSrXLVl|bDuCqdu63FO|D1c?VY9*dLU*x>{kIx7j@A4{M@QAtqvR05rA zp9HPXCQxjnB#60?KxIlL!LrLdzcUfeUrV5ApAzA78t3h9A{4!yKzEKOLaVz8G<$s_ z48Na1HRVJ|d6+;M;}YTUlLShLOoS)T+5eD4aJ)*O2h|dx>bnF|=TC(8nF-YCtqifB z6KKOh85Vv^pucJv4*yIb(M^Vje>g7{WH4qW(B~f#lr$w!i*phLTN5aGo&<dz3G`%u z1T)+`Z(Rvi_!4Nk#Q;Zhsp-lM1N@g)O@&t(;Aa6fe=cC)?@_2}SC9c}6jxK0Uk_m= z)ztQp9tM_CQ}Q-FOfRpd`&0F>u#%d}3O($ss;1$^^l-6;n)bZY!IRo*`g=$Rzv`(e zSgQl2p_&pRbWk>s=c}lLM$Off`<E6(3pI5<uZ6y?)wFQF7Gm4-xC6D25U!@;^|Y`U z)YRLmfz9pJwDP6~j&xGf<5e2C(p60*M{6LXJD(5Mz`I^*TAoV-fBL8?<B=Mi{n?-G zYRErWO<ks`p>!16E!0qbgqp4uQ$yoXYI40xfYxKw)belw-`GG+Q?&^YF;PwXA`+nA z6g7RUm;l43tEs}TIWT6Hnj+87fl2Xdnm2zA%v7uC^1wNepi`5n?i?^kYN~IIhvXzR zMcs^t`E%KxRq?QRftu1{;$hihHCcn=VZ}0Tmn$ApSE_07quH=}HT%77Hmq5vri)XV z8`bnn#mBd(sbaC&uxdNo|1J(vcd2Rip*UEvS4}%Laj^7&njUtGgN29H<gO40bC2=3 zKW9PWNj3F7Hw$!U)TEm?3*yhKY43npFzphzuQLnAUsaRCJQGG;SMz=}lb^q<scY&? z=$)>nX`^OB=X+{e(|jg`XQ=6tG6RAiaU34Zfcj6>lyB<{sQf}r4X4b2fY)m35k3QQ zzvF&IW`N}b=jY9I`2I;vrw&YqmtWQNEMYp_`N4j7nGWZFbDfl*4*ULbyuVL_wMI4d zJ2MSZ%xapEG7V<hI9~mxL9|m%higrP?jAMW$(afvikiOCRH&6(L;mGcp;$f*RgRtt z_5vFI-MXppv9N|By;I<BF%6BsKLt*d(2%@o3T!B?q4g7|fLu;P$J<VU*oqpuU2qEY zsG_0wFDFA#bq$&JPKI)|G*lpdGPvq!s7j~F@V>r=-`AZC)JQ`ezD$Chfg1kZnMsh+ zoX1a`1Y=uhNYi@~bZV`k#nmT4-L@LqoHY^hhHL2Xm5K00Xz0?CiEzE0hVDg8gsmMl z^t#bR(00+#cjp8c6rmx@oe9vQC;PK*0u=A9p`zm^z|X!K{(XQ6kTyU=wewAYO@lQQ z_-s7HMQJEx*LdhLLPI!nJk%bgp>FNQgKM;g`ji+Ck76}6By$|>ouHwSN5_G7vWCX# z$3f&Y4Nd7W4r<TT(9Fu?z!s;WIlp7!?i>wiFT}zojfSKJu`pS$p`<~vpprE-w_Yq1 zOV-eQ>sWX{S3?VKj)lVuG_-KlSkNri(4v^J&~+IzXe^Xn$;bU;;PWbO_izjxU8|va zTgQNUgN9P3jDe1uHIx`W28wUfkiN(mc(p@A>Nlfd$8HVHJ}??4?9<TngwYUmP(u^D zjOOo;X=qHj(Qx&chK7HOfs~UR_tP;DaYjSEl4GFwc@1^$8v{=-YDiTx2G(BDP)p+| z7(yCqbZrz=zM-M&%SOTbTN)}ed=zZGqoG1gMnUv{8uGeELiGn4GTt2tA0BDw%leV9 z<*A0Aj~fY5FEo_idL&eQt)cVzM#77?8anVS8d5)KXv3~(==F*Hn;8v-zH<E9MZ=Bn z8j39u4f<ai>Yq6RLjG!~-O&+{ou#3s`Vp`%hwHP)2#B_5D1YS<P|nVE_G>uYcXHjG z9}Y<#o^Sqeu4@gQ7&sjM<kHf{y2D{xUM(eAhC#mqTAFlY800IgrM{`d;9OBHwH-AK zCIo1yM)P4%sg#!TD^dKtSS|g@hyqP{Ej`*C1q~}{>CB`kcv(eD>qDbpZgnl`3PnM1 zEiFaA8VZ?pwA60jP*_}FOSR*NLP#Sm<?S>SJ~z?Qx6(slNi!|o`aA?$1#4-~i6QWz zrI!C+a|p~2(bDM1A<!(8`&SzRFT%A{?cZR~gO)s(21D)kT6(o;Fx=^=rPD(O!;~&s zTG3!Il#F0M?1SJ;4=r`NJqQLzYN`5~LE!46CFkftu(`jMo&*m9)gUb$%ryu;3}OEt z4Ftn5Ee+W=5UNINsrl4_aCwxL3aAFc&@oziUt}OSVzqSg%>Y<4K}+)w41l1?T8c^- z02x!Y)U3+@7(YWxxyucJJaJlj{<S}BiPzG;Q~jZ}T1)Dr{_s$%rHDTLVVprrm230| zMdmnW^@Fv^TDo+lA2gY#<@aU#LD~YgBdQ+^TCAn|jrzf#rCPE&`hv7VOKItSq4X*( zEnnLg_N?Lg$M%Ib>$zRazHoP=mQ1<(!q6>Ry7IUW{NBcPZtnw{om%QWtq&C1qoqos z53Jv(rO!qCK)r+P|J&Yh>adn(9_$TxjPtGT4fjuI$={_n3_7i)+vR%0=d)T`@+}f3 zU(iyY(~)4iq@{Anksw{w(wjb!P~f_jw$zA(<u|l6D!Uhyzs-5R+6%Va;ruS?1-1X< zI7Ib=0~uNx->4TfeWay^&Yp1ciI#q)_k>o@wRB)@PdNXQ&yVd1VQ>ELPfxh=j{E2C z2_jQVyB_y|tDm$qa(fRDU$s<q8bAK7rPrz+p!%hyHAQ>Cr9bTF+wRaNOG`x#c84=Y zuB(LZ5Ny_x)TKKdwX%QZx<f;UmU6yEz%Cd2e<}j1dbJdj6aniLEmiCj0Rg#n^r(6S z%+IT%d0E{+$*-gEE8QTzkd91?yTRWgI@&k18^jdXQB=cj@Un!C0vuhTM`<11xZM@5 zmDN%Fny%2If{wp8&=vMn($U-Cu27+>j+W%=3Ja_2NcE@-xcEcrtgT&OVjUfAozex~ z)YDO~@Gj7;p^m(TyTG}|Iy(HiGt_OWqoMmd!>Z;wDmbSzD8V{9)2TB|YNex?GM(XN zh>nVX=>+XUb#(DWCpa9gqgc5Ulm#7?jO+x4b~?ILwG;g4prf&WJ3?e<w)av;INMc6 z=NEQ_D&2K7a&SjT>dE8R?+8C5b#&a?0ebY+(V&|h;6#5N`BFPTnL#?*J*oqL&sRqg z%{%b-jC7RkYY$-~bhJ97J!~GSqc)q{gEvOUuY23W@Uc3Q+qQ?x<8=IfM0=<_QAf94 zv;*~I9gW-54qi^xQK7hY&|-#;4z_OxD`xSyCELND**f}@iO@MgM~jXk?9k|_ksiUV z)6t#o2>m7QUkTwzqK@+Y0?3!5qn+mfhRx#-{pSIkTEOw{4^U{aj^@+`h+e9r(k20? zm+R;#2`HGV<NLh}7`9qRUxo`fwpK^_CIWJA&`~+J3i@x-(XqQK*t11PUDm6>woONw zu`1}ilgDeNg0;Ij-g#B<Z7;{;NjS7Pprg<o;gEQU>t<RwJUGH}7U59&xQ<*!!(rS> z9W8qs1}9JJsMf(S@Sf%TC4@nj3p(oBB@9+vVtdPm!RsqJn({RiYLbqWlc6vnO-Cyd zL*e*M9o6g|3ijJNI#DeY!td&+-M_Yw^dHZ6sV&^j&{6cFwov$yj{Xg93%#D`NL#-x ztbE4twY7n#FLbo_W*aE`n)9%#4GetC{*7t_Yv1cAta%%Fk*TBGz7Qz;Sx0>`LZJUw z&flgGSoxjnXkrLF{HY^jn-D1aM@Q-cA<*@oj&i?f4awP@pWUtDYL1Rd&1wx!vyL{j zYYo9R9n~n&8YVe-oqT8oyInd8JkpB)k4;CXw5_1D!gUhS3L<jp=}LuGAm`CjyB{s# zOg=r`I@1z<7tm9;l$KDvu%7PsZ3%sg>Zw=FmN2)to*relfb%8v)c0x&_*F_z&z7`+ z%4PL5AgTp)FR!QP4O@V=B0qKn!=cLj_;xV7sj8>`Yl5L*4Lv;_9Sp5%>8Vd}FvQf+ z(?fp{EU%}ho)3fIVgo(h+Y$ud8|nFeqCrq1P)|2PgCMk-o<yM_7#*aii!YnQk`{Vu zwYNE(Zl$MVan0dfh@KjDXbyQo^|Y&Gb7&Z@rz#(tL8Q?0=g-X`4)s)A-wf8b*VDZ2 z&ERrJJ$WlOgUrr)iu>6Va(C0ypR-M&PIo=U%xwytdg|$Azorly$#&Ih3iJBtDa{xN zd;94r<XRxy8la~`O9SD{AU#zd76`e9>M6BRAXFQsr+m&PydL$Gklq9ajnvccHBDe< zjGl&#X#z{f=qV$(3G9nye{wYe8qfAWYz(g_@;F-?gK4s!mQ8L9g{JAr7upzV%+S;1 zLX9D0mY&|eYy>@Lb6)l~f|xmaIv3Xn=BV{lr$ZxHtku)Xl8s=ip8d*f2qz>xO*q;R zZX|O2bq(QpvYxPeL-;<I?XK7mZ1eS0_GbggzerEg*#=N{iJpF?G=MtGIIsO0K=2AZ zU8>mtAXQJbjrF0&YCSE!S|0|l)suNieHgu7PeY^X!_<v>y56ups5k4WzM~!_ZPnA# z+x1}ac5b)29<1J}r@^D^!RFmuXF>H~*Iqr<^w))h`}H*UL0ve0P)|QM*M&2O_0(fh zUATBuPe<F<g{#N)RI*@QNIR*gSug6qt<zj*yX!#uSv|F$RR`{#*VEc|b>QAbJ=p{5 zz<-zZH0VQZ`0pym<#27dM|vu!tqph6^fbF$ZAib#byvPN+`6r&pzpQd`W-$0A6YH9 zdQVS(lWM_*`yAIkwcyMHJ?*Vt3ywX~Q|_#qaNvoahF`7;JD=(4!lIh6>4l!k45<mL zUg>FS{hF}ojh^mWYe3>VJ^wy=4Vd#mPrB3^Fy$l1ePj)Y`K+hFW;I~oSFSs6b%^+` zrw{*C2h~rm-;LFw`ENZf8DAZ0{ngW#*43eOmY&+?s}6aMY~RyrV9C+bw;k2si&;;h z)2qP~D<2ot`2VN4ebH)g)XDYuwkm9LbAAp~g#}(cWzMMzaSHp{xhh2GGEhpHst}RK zKrcR5ftL9U)Zj!Fs9L~48mS88FJz$qdRBp~!Un2br3$<#YM{x#E5nuI2D*H{GVCg0 zpd#}t!@^Pq8akjdOf6%e1GOtd-*N_W<Wz#z6%5pwDnX@623obO5_l>b=+m%D@S&=K znl`QkRGsH>R)ifj4V0c<5fW<~sMOkuFsiPBMvSQlSf9rWt_al{8pz_W0G>t$5)UiD z>m~+Tu%!Z=ZEBz=lPW-JbG9$E0!#@u(3pZ1pleG59eGh6YPB|yb$5C2gcwLQt2{h! z%l@`24@bfbbU&ax%vJGxAIiZnFwl_0<si77fwpPNLBS3N`qs4^yzgkBM&--F$<79v z_N^?;>uR7=r^>?62=*_rEClv2P<ZdMp!78G?-rDWN0A0f`&$Nf^f6GrOJ!hYKLd4L zSO(e;FwmkwWuW9Bj%VF6kU7{u#Vn=a$WR0IN-NDjS74y!D@sF`5e9lVqBN8lX`q0> z(vUgIK#}fJaB#GNmfbA{abpdXv91(|aUA#9Qcz%mfg)O#f`=0gG(UGKSU1@~w;q** z=&1(Ey{#nFoo?VS)0KojGYq5)D+woN8R&eWk}!L=fy^&UK<FF;HQQSPya@)H7*~S7 zCuyKP?Mpzi&On(ZN<c@0fhuMOKt9<({f-2{?L-4D(FVZ0WS*y60Cbvb;NNi!fV}e! z)cSjIeqL;#si%vBw8%hvlZr$55(B;OT^yWC4OFIjalXDAsQbTSFm0uQ5-$~lMym{T zZecO_vf9A+VJik()*7f*y<#w6y@3W<ibAoCJYQN-xV6c^zeiaVv|G3iMihmhZCs~; zMd8PG14X%uz~-I*k53Wky_?&uE5e^&vwvfYz`1<}s@t*%OgLbmfw_x7<wG2&M};Bd zFvnqQVbC8n@b8=yhQQ<OXINo)f5JfB3KfRMrwpWiSqMVU7-;{VLh$3PfnLrk1gp;* zD1ZAx(C(sv@4sFM{$4Ur%!h)o?uvnbkGdeVzh<B-nu73`4D`EeL0FS!pz`Glg1Bj* z4&MsE_gh>?rwYK*bOUWlEC4O<8t8Up0eE}QKsi+lfPCLTRsQCOx(|5WT+9#m9vW!+ zg8VS$v4J)Y%nv1>8t6uy{BY`-f&QBE!GISADtkR2*k1CwSe}o+k8PmX;rSrsEytsA zK6v@gKxdqJA^wAb-lgY-G9L|;XKh|M`HAa#OkRlmV&M13^1`3528#FRf%)GJwE00E zsP)r8*Ei>ZE58i%ePSLM^oQ%MZ5}ZG<$5WQ2Nq^=Jv`41HH`+Evnw}T$YJ|t<c8j6 z_8)S?cZ-2O7RwDf8`t5xTu{=%c{rF0_Bz?Ggj~?pZJ_a;b3um3Knu&{f@mL)chfI& z6a%dp=@-elBzo>qM43DimEE9--FYP%&`J?4^Gmequ}|D6AkmX4KGCO;L;;0;BD1hW zJ@$IV<f0NSXzvw{ViMi_;1MYS5-A#wC{a?P(DEL!v6Mt{r`)1$8HtWWy2a755`F*U z5+UU!s<yx-u2tZ9>bOLgN)jz0r+83Vq8r1UqJLG191e$gQ%$14H4YJ7L!y`<hxl4k zqO}=zF}}7$cPH4zpE?rX&&V#O)|05|PMa{+muRH0iJ1*0e*eoV%#9?v9&Z)1nn;vW ziXR6`RO6UM%xor6WOs`&HkU~M!z`u-OLQ>VEdI2R=w)@Y7~e{wJeN)4Yio&`4K|7B zHWCfB<cK$IB}!S5Bl?F)bhJs1cn~hpt2;)~MM&fyV-(jwqK3JQBBY%}y|-kGqwOV{ z(>7bw=_t|G=UHN7CyvvMEK#D1M8Aq<iIlDq6+ie-IJ!v`-1(oF++Cu9pZ|)?9ulby ze?^~O5^bvVSENNsbmi<H(V~w;nSK9=-F-RES-(Z;{_M}<-y(T{L{R^?$QdZnh#S8| z^kDWY`j@yrg!{XHindV_-$(hU*fWgt+~TJwIfCQy@Q2VvOH^#~5Al7ZL=6i55Roww zb=dt~oF6UGaQrT6j%EAbeG>~~C0dd2O&G^Xw7<+ZF>r!Jbo{HhJdxwo<EyAOS)#0; zU&OpA66KxyMf{m6QKgz+M6c-_zbl``i5U`g9P(L|o+Z&>>nAZgPNGRGKZzH!B{Bql z60PTOzV3b$YZD~eHTI*hswFy|>!awemFU)%OmR}jcDKzG0S1Y_J^vskOA`No%?EKu z<~$YuAnGJZ{Q3QRAtg&xz4LqVIz^%;pWlfV^CW6xcqf+3=lLtW6JHl_Ue3N1;fo}S z>ibr#S}f7ntT*EK5{afQdLwWd$DMCNvu3$ONolXe?-dd)8u40)REbhuuSDu9i8ic# zCBCheXnXK05wcdIy$@cBh3h0bJn^M?vtHuwGrkm!HcE7M=L@0PB+*6jLfqRd(bYH4 zMTM>ZugB*ib{p40spsPKc8P8ueI|14l;}>xGtqMw*Y)?OV*PGr(o^we53|}+(R3g4 z;uA4vKerqBL|i{0QM&1|D0GP9v+S|xbC}mnqsL<X5zfo)M<Vm6M3-Y8iMq!*@4km( z;t7uD#)snANr{fNekjbRxZa;U5FuwI+CBAw(43WMOW_CN@;Qmt?adIr^Aas@pCRC) zMDst~7xE>EB+Y$6mnDiXcV8%1B${&aKOwG3G`iP+LPrt}`F&4ZxGqtzdG~}Zjq9k^ zJrR6UqL8b1#nf98H6D6b9JtNv-gZZPP3L^2-Vs&ra{ij$5d-f@WWAd%mjB25!`O6@ zexK_qSGw?Ju%BCQi&hUMI@k8LnDj`Zea~-+9gle*m~l%yf5Pjr*ey}`nM5-W+!U(k zy#71g6w_Zw)brB~vFj!8L;4%y`74R)RlFhczu|e$q>0vVCGz%86S40k`tkR=So@y$ zw*}Y5%?}dacjdY;XG*k(NYwZw(K7xCqn@AHZ^t#E{=(~P^)<2Qt3;ifUlWhM@&0uG zs&IXmsPy=&qRvl=oO!N_p1*jVZo4Ale{-J0u86IFBs%c&vbgnEqNTGgi@*OQnh|hW zl*;D)@6aXD#>jQr<&qel!|UeDMUiOYea&!D>@iF9q0&Wh$0E_Svlql~E7x7$3!<o9 zB01~42z0Q&i_VK)PG0}@&Wouoj&IsIvDD4}4L>Iidn9`6JS*;bCAzTYtoZ5UxCNaR z`TP>i$~Yrx=8`FL!Wp5;EmPxsXT;z<GUeZKTFlHV)2Hy$Vo5%kF26b@_T-moZQLnw zwSdh3XMIY%C@536!zabRLNe9rdQ#*qB9r^e2~nx2Oi!f~qIofy4pu%PIu(~G@!WAS zBtWLY{f>(%C1h%veN4zDWy-twm`E)p)0=w7#O~5E9ZNeZ&XkcUWyDc&yDYbN9T6|e z$<$=+5%I0OOiIuZVW}X~lZ?Y6cO{wjOgJn`RF-K@zQdww6}D%`A<?j^OqEoJM5}5t z{dsjzV0D=;#T^t8HDp>`;-KhXQ>LiH2gLANGBxUYK*ZLT$@yi!m|91sJJNm;Uzh!? zykF?*$u#ENK9O8srl5ZN#DWGg`Lgzkr441iFX3LXvJtndw^yubEK^L{9<jcOOo1cz zh)sbqIh?!2mZmb@ShHJfZ6?#wAZBx!24?IM+k#}OF=3b38Z6VVyt~Af7BZdKzEf;! zDU&*Ur&!-grgpD(h&8QcejjLuNDYzcQNRwdv<=U9XuDY0R_6ad-Y$|uIqqM!34NGM zKMmVNe7H<UDs2<fR5HytyH$)6GPUlzRg3_cT>rL+0Vvazg<C}Tb~4Fzw}^J_W$JK! zvuNFc^F4gCXw;GYcWe^XI?1$f^(IlWvrOHaZxVUC$o%_i8-<m5f80j#qpM6y@@y0@ zyUEmJ+Xj&y!RNy^h;!X#`tQYhv9|~JpSfPF?kQ8(;_F3XFCPEkIx#hp<I!oIi0aMr ze_AU#_mN4ZUn_$9vV9fSiYonNI(vGJ$lqV4nZ4JDY-Zr!)#B9v_HX`bK?7ylReQDA zH%O)t*H(#TgJr4^wMxVdk?FB5RSX@<`Atm~5G7N`rm3R#Fqu4eSBe6|WjZr<rTER9 zl53^N7$H-gEi1&aXqn!&Ss_-8lqvPuaxr}r*U$9jqE8IhS&`+U`DpI9Z<z=fBh#b~ z%fvrsjm)Luzp*ks*DMwLW7*GgOGU~!_T%IdF><_2IX#z%unAn3zZQ$K6S;opE*9C$ zA~hF_JCiv6mlug0lVzGVc#%*~k@@@63q_x)yk3?s6!oWZ-8Wt+e9Ttq3&hjuGJT6# zAokCY`M%Zjg>I%yoi@%Fy=Tc}Z8cxij+1Hk<9Wiy>^EhexHDU(+=b?e4e>G^-#u4M zoWpU#xgs=y=YN+X3aMqfFegR4V#bzE5qmW<l{%Iz;<Pedi%1sjbnNH%BvDK+Q~9JM z@tT=dHA(C?aNI5=im4LEdqARSBeR_!WsxgUrdQ=<agW&~QWB|2GD-6cVrVk2&ntRS zBSog#R-O34oZUnx4$qb8_Gqn`F;Av)n>3=;e3`~RQ41&YbRo64ynyX*mms8tGWAZF zBib#JY0I&Ak$bUBzrW8GH<+!f%@)Z^ct05sC)zLNdR#I~<XXn#-JB_|F{9lxgnqfq zuU}_~&=s7I3Dbp**>=Y?adIWs-K(i$N~%oh0aHc&RWcRpGDUo1_BBiv8&}J;?#v|7 zZ;edv{!SD{)^fh<OcZI%(NPnGdYw#rQ^$*D>$x89juYRQjdG0>8#i#=+Qf=p8)Z5) zZLG+>iT9noW5gL|;}4_7_{}ok|8KOYxJ9P@Jz~Uv%<svggmx>(=h8^gc$-WkP0`{l zb92LJv1mKTb>s-qW`|6r*AExpm^~j36RA68nxB7|fL$_OS4D~6Ojq1cv1YeS!H0(k z+{5#H87zJ?cUBrKR_&GPb)P{Ze4k7K3kQm?OuqAwSiD~*jlI7JKEV5J)BfTW^UK(N z!f=rDzNN3Ib%@vfvpyo7sV~w;OghZ<)V{YUenh6v>PT^%S^7jT(f25?v!6YMnHg8T zr&xWA>v3QY(egOg^V06(DKq!22r=^n@1LG-qQptA#}?hhQRb3~T}Ag(T#q}th#$;v zuR4q5(=wGT(OFbG!|SO_Cvl!R-q2C>J<IF!Ob79YdHHX9k#dgXSG&Eaa-RK)Y9~%H z+oYn1xWI9|3*sYlfnSLDi|k*B5JfJ@^kS+?Y-4)&go_rJIqvVn#BFBRGGSuy6|V2@ zq2f0)HL0!8Ugdr0LK{)^8ef-;A!0MrTR%iJCa#C**5V?w{n}Qd>vg_9WV95|ne+0t z6ftQs?F(xmvYF{KgN5b>*U5n(k?*EV1wS<xE12~wG#8a_$<#Trnb^e~JFlr|d|RgE zD}mw^bGxO92uqjgYU3s%jrlgFvFLh7Ci{j)B7<4_aYNDfu1w7eHWV+I5zs&ky~pFk z*B9@Z(vf;1`ahXAeyuA$F;7*lD`M{RI_X<Sd|`fDSX+$F;OiLG5}%pn95uzL2Yfwl zR#Rj$JH^%z!yoeXWlMGOhB@n5H8JoJ?{h_}i6_jh?W>BOk9obStB7>w-Q$%-yC*Vz z_)$q*WSXi~5-pzcem}6HILNHHw1TMhjQ8=I<;8j?y32`x=e*v6%ZU``m<eTtlNrCg zjF|F*>-%MC@rk*<cxlo9C9mU7rNnLK1zkze<`vI(s)RVeeEmB>RC>+vtr;K|G3|qk z3nw%Gieh5?8=1<a7Zop<wR}ZHhqp2{Z&gGbXR0O_7FFJH+;$Zb3z&o66clFW=#mA+ z@b|ngcP${&nOZ5oX#RoodN!Zfz+Cw+ugI0j>$6T?F`l_MDvx-`Jf50cwE8I1#k;x0 zM&>-fU!^eDPgPW-Kk@UQcV1OG^HPLI)#x){AJ4l~OPFu#IaOKA->dDa$S?f-BezX; zoLOLoMOES}+n;GtO=H&VnWK8Z47`-BYVwWuv4&Zyh0KoY{;Iw+BlG=Hwf`>DkXgS} zTbVJReyE(xNs-@G{eJMeyz*6bgsE-(MV0?2*V%?osu9eE1wN|IGFQz0pep{0uP<NT zsm3rj_Iazi$lP}AwW`E#zTO7DQjKNq+w?+pfqAgdb5+qlG98}tR29uU`t7mmB=dN` zN2)x3`FYIs2de)6XR{2|p8s>pe=6($S>&Fo<3DbvzN1>jJo@9d>J#(ufLp4jSv>BI z8!9bxUr?GVow<7(sY++_dMI{HHG;WWdquUMx$f5`m5G@;=%Omj$j_^8omV9@=eIbg z`j08^IHM|+!_T7vPOFA6XX;O?wlOFEIj;K3j2UuF)zHN8N<X5S!tCAZu<8V}%dUee z3sY6{fU31wrWVpZRRXiYzdfo8%&JklRZeE9yE|2FEnH_IJ5&iw*Y0hqbIgCGwyLbm zkMd?!u$A|}tc|K^%v-}Ys17mD-CL*n!Q9_wt*Vxd<GgpZY8Z1-nN_N_Ol{Ii)kEea z<8oC2J3l`iu}lTbi2F-a@yxcNi&aOM4fZcoePNa_w?I|FAydATd8(dFb54pXk@+z? zS#_G3k&&qS!JHK@sVX}8d0Jncsynl8Q?*LR^cIR&9brEEHdFPEdFc8yRZ$mTSGP=7 zg)#@JC#c3Vn+%9mtzr6`k5;8IpA{Xc%3|*OIZRc_&DW8eLsXrZJ+}{3O=DKm^;4~9 z8VB`Or7^F!=&Ab6Tp19dD&>)B)Su3(w#??~9aPawWhbiUGBXS+)gk7lp`ogW%n7YS zRAy%DQY}^Gy)xy=YOV@pKD-;K8phnTr;*CQj7_Ys+QtkTUPpC}>1k6_mC3warkcvf zTw$!Fs^a5(-!HEUW!BzbMm3oEC%L35j(Iw|xM~Gc7gj`dnAx#>K~*}lh&iw76Z6S~ zTq-v+sJ|z?jKceJ5nFf@X2gx`@Q%zEnqT2j%u&H#!e=o5{(2WapBca7Mfhf>fAFL5 z6U>Dr?uFlCmb!Bz{55l<bS3-`vsTEta3AwP*75KFKgVV7!SEW)v%`0X2QkCTZVg9f z+WmFmk<2bBE5k=H?}sf8pUmuIP6<~t5BArE&tsmvF)cimc`<l&_!j2%9RtJnGt)~% zgr8()NUHG5%qLmR!*4NP4X+cP!F+eWeE4(br?4X7@0fS`d%`|59|eC2`^kJ!;$GN4 z=KHMUVJ7C6`|HAN|3BYf7v^NXDG?FoVSc?|KFr5_TjE}*pZTstL};#DiIgi>u6BOE z9~G9D<z_ip7M79qhxLt>$$G_l%(}}W);ZP@)-KjM))JP)n#mf=8pP_#YQt*4s=&(6 zvMPT3%zDVW#M;M7W$9S4tVmWHRt;7GR+i6?&sY~&TUkl0SXMVy6IL-+mY2t49b+wH zO<{FsHDKjqefIeA3Tr)UCaVXlF3ack;|taiRtjq<s~IaV>y^uohglM<534rI;`HM! z)@s%mRxr!&@Z$s4Ce}DsFw1NA;~myY)=*Y$)*qW6PqNf3VC7}qwfb=>s}HLT>xG4F zWDQ|eWW6@?W7Z&6S=JMiAD6SbvkI`%ayV|R)~swJ$C4GzD#yym_G2=u4eMW)A9t_@ zunMp){`2D`RuxvpUq9+uO;~UL_;CTNHS5c7o{JUA`u2<eX0>H~{^`d>tYFsbAAXcs z^;rLX_v3U{8P@r4>^IB%m2<`d*2gd0mQ|f~<+C57Sk6y=T*C@tWqkDGcvf!Krc6J! zWMzEdT4H(LbB(YXu&%!IV_(+yw|>;Him|r6@ndt=_1Aok_4$<_XRwr)9A8!?*1i{h zY{9zroNI^m?3o{jv%Wv|<207-3CDqzm$m4z9|KscA93BXHa+xXHP-eAeyqjXmBBe> z?Yhs8S=;~P^Q_JHxIJt2T|bs!ExN<?$1<e*(SDob!}@W{k0V&mZ*m@4bi<FWSO?NL zAFSoq{g{h2i#P|@{Mes$<0{+E+Hr;LV(BjX@z*8JCyOrnu`z4S1@`wm$B^~t9Os?2 z?JUpFnskPbo#uI18%}XNPja2GuAg8(SSiQ-`1P0{JF<2i<@s6BNBnsCFxMe#_95<n zkmq5|J;3|Hem{n=R_tSc_WDt<*6iUL-|a_at=Yx)?et?fYxxd8e%j9U!b;xe$ERC) zPS(sVJpX1t7H19H<j4IRd3|l*{;WmoIX~+-rmS&md0nsJ_^sx7SShRgcrTUX!0Nw} z*T)L3+vVJrHFB9BcP{1pFX1^@F^f57i@5d{@_AO&0^Y0UvkmjOKdakZ9xsLKF`4t9 z<j1D0*hD{Wk-3fJ$3iS&;9Tgresq3(qvd*Ifrjf#&0{C<nw-P&Vl|KFn9b&Ti{te* zi|cBpA4{>s49>}PKdzd_@tn$QX$p_QYBibtp2YjvMD~3G*ZO!rn#S?kWwnjvb7Q$~ z#&8ZtbB<#;hNF0|8OiI1C8F7v5xkcS=U5N(<B2HlKa_JZgl%C}9?a`!5btvXd7m2K zM{R%Ji~6w-ec6USypQ*0J0f|n@8!oLtg1cP_a5w5ciw*^*pF_0oZ6MwLl@3PXO3|v zKkn}6$KxG%&u;I>+wHg>kmCVdqk{9U@}oK2kFGGbF_iatR*|;62HNm`5aP%3t$7W$ z;`(mMbG6`H1hXGO%;x;K86R)T=L5N26F-)2%(-dA{Tp)aHsJB<@jg+PV^hbEziV@D zYw^BQllS`?ycbvJSXblvsLFL)#gA(%`*C3<_O~Km11j)3EAPi%<v3nt{TNt=<5HS) zT8eXBg6$6AF^Y5lVt(9Hl<Twz$FnfkOd&r;6y$m>z_p*B*Hm7PRUWRn+&oV%&ZA$! zctyb>J_W<P3Rd+f=yNIf!KvU?hk{$|3Tkb9-l|}0i-M)i3TEdhc+aTdo@@oBEI#*7 z!Qj6N7XG8)=U)n5_^IIX9}15B&SQR4FyO0#Up^~%?vsKGKPosZQ^CLwJkL7?AG}p? z>l+29yjC#$6_4>!!I#e!-2F_!=})=;69w}>R`Ah71vfrWaCC-(jqfXHzNg^Dy9y@W zQLuBmf<<q0o0|%*zM<gYGzBYPSMdEc1-D&QaO4#QYg|_No}v8sf`Y@(^Z9cMzCNSi zn$rsQIi+B+lM3EBu3*wJw&SRR*24-OImA93RIthc1)uI?+xIFc_VC=h6x_E{!J#|2 z-*yGBZB=l_76of<=5`x-j13%*^*rBN1(&U1A66^)GnH*ysUWUU@Xs=ycd3G~gpV&$ zaK%Ce+bmEpbDo0p<|^1EMZw2OoR>reE6JP(gMy><3g*#q%r)$<TEXvgxNW?Gb!RJl z?>hx!W-92PuHfcr3bvi9;IqjJPMf4)p@|Ca8qYqBQ}Fp%j`J7=^Nv<<(<lXlMk;uF zgo07SIj2zyCJ$Ax+z<u#4^l96po0JP=Unwu@NXZkf!>^(NCnsT<T-mNcrt=x(T(e% zi-H3>v+W%foX|l*Q#)>pY%3_ZNTp!Oa0S<ea$U7maA$~u^;#=<uqEfeg@VU|*oNjj zR#OFA1S)u{F~_Zuf+rd%*sMOszpjFf>L`5AK#pxquEpvKmanGZ$|`J2Wwy7X!uP9H za8@}5f0f}pmu4SJDi~3MV^*BUD#r0DqF{x>Y)e6In_t1n`4oJYN5Ss7xqkdUY^eBf zt=ETnJU*Q6^6~v~eb~kB!xL5?R<`&s#pJ_(MjsBy_TiO(KCJWChl_su(D;*&{qW(1 zZ$7O0)razDAAb4h!%mq#-22{#1>X5^+#4UJzw%+dmpsmMAAWx7LwMrD&5wL&f8fJ@ z89qGnAGf{d!%=s9c=5ImOWpF}#2Y@me%*)V$%j*}`Y`RX56fNR;}?8*^_&k&p7r7A z(>^?X(ues@upP&IxaWuuEr)#A{-6(6?DygOy*_NT$A|H|c&r^hEV|u?1GoBc`(_{h z+UUce4L($_^C7?Kiv?Euut%y7Q&;%##WEjOTI$0Qi+#9bA^SAnhjr)qFgAt#O7h`% znIB6$zn;%)eVD2CVU+|Q4vzQXsyH7$nCZiUGuV!4KGaU};i*YJ{4>Fawa5E#NURT+ zkMZHH7#})E`mkv<`#s!;tD}5)dx#GmgE$`peK@o~$G9)Ywzm&|_F~_A`mjTH&QCXv zT^AqT>EuIm2abDt&K2@;;p6*rv!9{dzKst9LVVb&l@Fs@_)re=;qGSKCy?!E?89P> zeAujk4|~`1;fy*yTv^M9$7}fTVKvTk6(1I<?862Xeb}+Q4@Z_|A4+q5l;k!6KD=9u zb6CWOu0ouHg4`}Y=QFPl`{(xI1iu#zJ};(vytv2Z#q$m?-m`h}t;LIfO<un5uosJF zd$H0#FE;$+#gJcK?D)fry}x;J*cUI3`{c!$nO@Yr_u{;_UR?g#i|bx`aock*?tSXT z!;igq@}U>cWq9%Oe_o`!Uc8a+#oM>Mc;^Psb=`~qU1MEgUE;?V`1m<Ke}>zg;`S%F z-!Zo9h!;;C^5T&LUfj3Oi`(~jas4hYuGrzl`P<lsEnbY<<i!aayf|W=7yGX9V&_#} zY_rmfjhB0|>QXNjU+hKS0xxFG^WysyFWyh`;ziku`wd=Pqw`{-#*32^yf`S{i|ymQ z*kq;`%TDJ$Q@r?nk{2^3c=7Z&FK!&`MQOAb$Bgn~w`ea04)<b-C@-1@d-2&oFP`b| z#kGCC7~k8A1ABR~RSz$gj__hm7cV~O<i&#>I9BbvI10QNuJU5JFfV4eVZU3mUoE|u z5bVXs=3cDZ)Qj%MUVPM$b5q}o>bhR+QQM2vYw}ptynJtCFRrTO#nBbK*rJ>lbC>br z<C0$78o+)OW8aH-F>fL6pWlm{@_KP>ZZ9_Td(h$aAh|ub!0EwAy9dizJ^0q-!5u~q z#%6i2(O(b#`{lvoKRh_=n+IEd@u2mi2hV=+VEj7|wtC}1%S#WQeD2}r>>h0L*n>YG zcyRZ94@TefV5K`Ae0s}+OK*6v({&GeuX^yzWe-li=)qd&J^1pB2bY}kV7n7M&QTBU zKkUJw2R&GHzXz}E@!-^59;~**gAcZPP`}xOjW>Gm^*RsETjRmtRUZ7X!h?&Jv0Y2} z?}hBgd=CcA<u*wkOq4y?z`%XA9*k3au;LsKUXAncb;g7Frt@4=JQy*_gMY`feX$-4 z9OJ=^QEXeZ2MZ79^Fuw@d9VjR5AdMWkA3OGw)f&V_3+@YZXQhP;=#(DJb0=-kAoik zr1IdLFt)ud$FDWVrlkk(26=E;GY@7p@u1wugQXgHaA#e%y|xF_YIv}BH4kQ1<~b{R z&{>ZCD&xVDr98MLz=QRRdGJJG4~7-=;EjA9?4E~X>38E$pBq2A-5Be1^J`c)PPe$x zlH<lX*=}_Gb))XL8@)f=X!zzv<umgmKYq{0-}3oa-0lUpf6DzHbN>fC&V3&5E{~h; z#-}&k*gegSH?Fxc?1~#tTy$go^KRU7#*HOUxpCfcH#(2Faq=NIzvggb@4aqJ+vUdK z9d6vY)s3Y#yHVcY#_Y9j9JbnxcUQWx)p9rPSmMT_i+Jw&Zv2$u#)u?0o|4>HS?|VV zjT?W@;jw1B@$5`KHr<WV6gPgE=*G_D-FRTE8;gu~<CKwZd@$UNO{3hnY_J>u4PaaQ z@%i3v%-_q6qr1EDT37Dh*^TiX-28mljSYnxlfvBiwv8KGw07g77Ce7*H-<EI<C4bw zcLTPso*Ng|cH_GmJa#p<zp@)2S8!vka&DYi+KtysxUocWH;yRc#=`~K|9o!jl*f%L z{VsgxbzyzC3uic7c+u*@JZ2YmH@a}uKNr6F?ZO&AT^Re#g@-=7(3t7MmhW9S=MA@g z=|ayl7m6n?lpeb9+I<%)clmL;3w1a7{B;*Pu5$a!JoW__9zN^BAE#Vc^Mnhdj=FI5 zAs1%scVWK0E)3u0!dcs0cwmbQGdH@h^m-R|UE@M+stb=Tcj2ccd~A^mJI;6E>=YO7 zNp#_JgA4QOTo|l&;mCLwE}iAV3)5ZvTF8YZCb=+dybH&Ub>Z?D7oLxH;m2VvEI8DK z%?7z}Kz|;)j|;c=a^bD+F8tNig~d9%uz3d;_C*)Qsa&`w)P-k4c%GInv;^_|&0HAN z#D$R!T{yX(3m4RO;qDsjcU2d@uH-^<c^4Kf>%zLFT&N1*If}Y)QXv;6<#*w_JT87; z%Zb;$PJHfi;!nF1-4-VnGdZzZwiBEEbt3+9V&r!xj`-rlDIcAveec8tZ=AU1r4x5N zbMm#viRT_TG3`Gm{&&ZT&u%&KeVP-$U322!%T6?3aH8|96Md(gnCFBO3mkP~kwZ=_ zzTb%@_c*ciPA8Vx=ESm_SsVE8wR~)q6HBddV!%=-*R~T2&39tH6es#+womUwtHz00 zbDa1i&WRsqIPv9FCqA6y#9QN?cxkK?kH<K1PqY&^40GbrAx@MBI&o${Cywdu!~s2> z*eSw^t-3g|ZbzQ0ofC5lCuWB_@m+`$@3eH{=^!U=YwE;Bjhz_Rz=^}_I<a#tCpN9_ z#Bx=f=&j(yFJ+y0x0Dl)1~_q5Q76tR<iw%*ov6y=#Oi(r=JGo5v(tfTHV5uBJ227c zz>)tPD1JMz@(%|(zBusNM+Y8%@4&@x960u+1L2tiD?D~!PKE>T-gDsgbO+A4>A;@X z9a#G+_qpi6`{(%hX$QugaA4=7-2RXQfA4ePrQJNv4hKeUbzq}S+;*J<Z?AUXs+BzN zG6yzU%*W<C@J5OQmnJ%Jkio(C!*<}$Ic!55&ohH<o9e*4lN@+&oP(c>Ik4X-2bLe< zz&Ar3xMh$7qx*B8J`ViU(}6o8c-$@ytlrUqAJBoDRSp~y>cFxg4t(5#=V<Q0u7M8B z-H2_g=fK&u9T-@{f#0fd+loAPIR_Rh?ZB%64xClgf%OYH@NGT^F3at}c8VQMZaeOF z*s;IWj(JUXJe_67(SPh%@~0iIeYNA{Pj;;M!H&1y*m3$xJ63+ie?PM0)C@b8zh_6f zZO3sp>=;0HzAoA^>Vh3}owehhlXi?aX2*Yr?EGBVjxF}s@!1YL#&5M_xlMLFyUvaS zSKHCL!j7w!+A(O69UsiI<HTe;=9lfbLubcuwH;r~=6Pq@vCuR=Hpz}{$J_DI7(2#} zvZH6Xo$ncD$9jY8c(I=yd-b;Cryh2k)y<CiI@@t=dpp(#JDv-(W9K$@UdwhI6J*Dn zKsy>5*|A7{JFcs3$67V)c({rkLn^XAW$oCllpP-zx8sl^cKld?{mW~|zkVCe^xDww zwDD_k8~RK(Ow6`n-oG}S`_qO6zS(g8C*}v{8yn_(X~UGKHq7<NhKBn#bl<VzoLe?D zUAOV;2^;>nXv2}`Z20<=4f`Co;oZYF!~-@wx5tKoJ8gU|vSIm6He9^UhRP}%&RAi? zuS;w=aG?#;=h`qN$;Qw1xQ*6^^XAylGRuZB({1>0vJJx~*l^!i8<vW(p?(C<HI(NV zWaAjwuvTvyF6v?9dnej(P$wH+X=lUQ!iEb%ZTPpf4I^9F@MJR^mTkiJHn8EVIyP)u z(}o+W+R#yv`;@ccnNl_^9bn`8U)nIEfDIeuWncYP%=B2Xt<#FBRxAF=v0}$8D{lI2 zMe}zncKc$*ZJAcIzO`b+D=Th&X2qOGR_v5v#dUYB`0JJxL({Cd_^K7(UbJH4b5_)x zvf`a%RxEeeilg^i@z`!Fy0=@g%NCwvgB9Pcv0}YcD^6Qx#dC|SsLZos=VU7`m8|$w zYsHEQRvZy$#hufw_<gb!8&0s|q_I{!GRlhC!>!nSs1>IV<Z=62F{_sq1H1G2E>_&% z!HVC&iZ#QnIIIoZ*V2klnp?3*Ap6wNiu$@%JX6z(f2&%tb|otgDQCsyrL1_fxD}m+ zt=Oc16-VY_8x;%R3)+G<y9Mi8EI7z$!TEnJc=o3SzkRh}=}#8K_ZEKN#ey52TkzIn z3mP*lSm~YxJKpBwX%<|2)q+<qTJZZ>3l=+N!4}6XIOLE84f`y(bC(5gZ?oX<O?+;> z1)Ht5V4oEhoVmn;sS7N4GR1<AWgb&!!QyHQHkoa~ZZj-6c8UcPCs=UvSPPyT#chW3 z7(*<WXP^Zu_vJZyS@>S%797>tg7NJwxI|cRN2mqQw6@@#U<<x&YQd~V7F6n4uw-ou z)~s&9pvo3(SKflXOIvV6fCVQPv7owu1?T3m;7Y}eTij;cZ#Uyfvl%aCoAKr!GiLlS z<MS_Oe3xm)FK^BG>!lg9o|@78(2S1%%;-)xqjJNHxyX!pE}JpmdDdw&=0DCnY{tC% z`S@-=zuk<!P27H+8SSgM|8gE@u^E5PH{<7IGrpC~_)KfY`*X~AW0n~&PBY_)NoL$T z&WxK!n{h?78B?Onm@vqU6Z@HQc%&J7bvGk)G4pc*Gu8kz288h(t<Cs1*o?26n(=lc zGoGqv#%;CCxTu;L<0_dkx||uimNH{daWhsd%y#8x8*-WXb0ia<ahh<W)r3-x2}l1k zVV7SfZ1l~9ML(G^>zxT7y)xnPXC_?n$b{4Hn=tZ@2?KAMun3v(=Oq)~I%mQir%b3n zX2QXTOc=b6`|dK~x2-0;veATV)|zlistG$TGhwAgCN$3FK8YsWpy%Ui6XI+WmY%`w zC!6rxcoQxd!{dxJVS`~Nv=1`j?S3X)9cjXt?j~&3#f0wmCQKJ5Obs>R@YW`*AIxJ0 zn(#tH6DHO*VYiwlEK${jFDsaEOBwE0g4+}|;hzE~JeJ3VGnE`{>CQo$EeFq=axft) z2iyM6LC3coeh)GS<KO3Ci`O}r^DGCCJj%fd_j9oBogDmlBL_EL%fbGaa<JIB9K3xp z2MtGau+_mF{JSRyckRf*!CUy;h8#>=&Eu@d!G=q6@ZJ0zT$Y@J9AM1R<lxTu9PB$Y z2Xjr$!Q&HhaKzXgEHWwwFAU4Uv4eB4Wd9tz9GQdTy7S*%a`-*y92_HZuxMxwo^F+c zQ9(JFJ1__LH^{-Bb#l;DJ%`^5&cV>~IrzR*4$8%IuvXz5yqS;t<;vmD1dV(hHDX7L z5kF@eQT}7ZYCnv4@v{*JeK4ZwwGo#-H)4awMohbJ#Hc$)wA?V_l50k+bIFL8&Kj}L zNhAI^VnqD`BR^*|;-2kBY`fWr_tzP5#3~~iml-i>kr7MHGvdxfBL?e@cs;?0k#R<R zKh1~}CmGQmYsBOjBL<8x;>IC7_5dRu?QO)69!9*@#fV)y81bPn;=oWNzH4Q~m>{0B zi4i9^;PGo4aaMIB+A0|_p_~z2C5@;pW<*axBkJ-R(XC{o#+{80TQ<g<veEo68>jus z#y?-Paoopj{QNc>hrP_k=TEY+*Mn?KznhJ4D;v*JHU?hK#$D&KvEr$0Ty``Yb06d} z_GIJl?c8T`Ha=LFjkqcsPb|yEnv1e=<=kw{mB{1kvhl;5Z0tTO8_!S8#<~-;amCnd z^o-2L*kRfDXizqW_T#a8Wn+<uY@F388{c3ywhPb3eIeOctVK4?Y?_TP8gje3*|?=f zHu@`PV@&yMyiqC}>lDw%xrMUvYu;?^=+DBP?kx1%vT%ec3orf4!t%eeaMsr>e2|%i z4c}&A@{25d_c#k%Wn|&9J6ZVcMi#2BW#OueS@`Qr7Q%@vTzxnTf9}iT*ELzVd}|hd z-jIbY*JR<`6<PRVaTeB_pM~*BS$IpIg=N%P7!#L;$EIbWXJQt1jphEMvM_U47B(83 zg>n6|@Jg>N%pZ}3k)5(|EoR|||8aEIVO1_&A2yD4>~1}FcY(pJg58aciKvL(fwV}2 zbazU3cXxL;cGv4S*Z0R<^USIl_Ga&yS?jmfUj2q?E8n27<PE;_-|%_X8ziQ^;qjz5 z#EyMK_mOXq9`XkD{%=U>{f6E>-mv*k7wX@;Ncz-8k9S?r(Z%EDF2d@%_*~h=qS7ud z7j)sA-9>d;7o(E8*c01@Mno6U!9vEbi@Dxi$hmd#%CU=dn=byCcd^){i?jM&m}qyA zqTa<f<t}DD?&8Qjp?kB7V8t#PFLg2Gd>89Zi#d)7{X<>E@9m;<M;9Zvh_!6&;?lY< z3?#&QmUU6Pu#4VvyO=+ti+%rfad&(dR-?Lz9oj|1fG&FV6?63LV(b4pQTWk`_UBGK z-gT1R(MfA_C%x)BnO4=w`qEBL6m)VwyAzYNPF^Q=k`~iRLwF~@f;t)D*U3DuPNZEs zIqJ|U;s>3mn{{Go)QPWdCy82}l&E&n{#3|6=w#rXP9|ONWZ{)gq%L-{>x{5}qLV8} z#2ovD?ygRZwsvAGE!HE|NsweGG0VlAi#o}l+eyU?G53GM-uO=5kLu*>&`y32=%jn! zPI~w3<lp~wFz`nQLq2yf>|F;VIyxBH+`*_i(JDnN5##(0hG%s!G_`|42_5v0?x0Ut z2R#Bi_~X;TclQoHI(5)#+d-2>2UR8=6zX@7q1{2edIzD(9e6+Lz~OEOuWoek;%Wzv z<vX}`wgb779qg0sVB>)fmhJ9f>NYWtOb6ZQ5cjqY@qN)D{+14c=5}B|ql1_Kb%^&y z=#A=N+t3b{4(MQfAF-Am9d!I|C+}N3As^eZe$&qLwstNwwzI9Ko%!YM3@&QtLryz| z>Foq1wPO<7&h3bH4g|Ne)UTZ(UhTYfX(!XZ9e1mC)J)qsZP?CgopwfO3f}W}QXjWt zcdwm$H{02x*v`yL?fgF5PQl4`++^E%e4w4ZyW5$zt(~vZ?W9Y!V=39r)#dH1UDVFN zx$RU<Z^vtLI}gXTvt?vEBZsup@NYY>d$seRTRWS6wK4c>8|ClYaO`a3N=qBd>)ZHM z*+xQ18!z(P*pt=9h*TjH--c~e8)rk?m=VxMt9KioZbHYgjrlfhbeXl`ZPdn9-8SZG zwb8E9hU=3y&fRb0zguloDYjvDsg3>T+8A)EjTG57o*igIVs{(wx3=LX-Nq>?F_&Z; z8OsE3p;*hDHrl4OiMWhd$JjQyk7y%sP#fp_wK4LaHj@9>%AFsrO#j?U!P{1p+gn-K z)GE$gt-`<1%Ce$XYI0i9N^fOpQmcs9wW1#0%7UO)ieI<#*rSzc&aI@|wxVRw$_SHI z!t`1>@uHQ#s;xLaZAIomtMCyBd)Hc-t<Xx^xmM&)wbJ`&D=r6G*|@uv+O5KtbSq<| zS_zV9WzVu!+84B<I=ht#(^?6h*vhUktuzl4asyi#-nSKx9<50IX`%323-TXZ_|?^d zUTX_e8d?afYC)#7h2s1cE@rjxHKhgh_!dS)wTOMDg+=}?M0vHa%~i<Qw;*TLLg%X% z?i#f4SGxr*^%jOIw_x#5*t^q$yHX1aFSihMz6HtCEyNscVdKFTQg#a)+giw!Zef>H z3%L?4>|NGE-U89j7UQXcKCy+Y(L!#RkRKrQ`Uw5*Eh3iNOwiY67JX>Oy|bAqEzMZg zH8ZTTnHR;)bjxezUPd!rNzI&%ZKfo=ne9Q%#Jp~1iAOU|PR)$8ZAR0)nQunTT+(f( zRI{1QD$N8xZf4rOW(;mL^XE!4moEx^xn?#TZ^rvjGo$u4^K5%FZ8FWmr`1fjWHbLQ z7q%8Q^JaE42c|U>HnEwBW14wBteNHk&1~({jA!>|2K;V9@oN(~@0)~gstLX3Cf?LG zv7@31&!Q&!<Ti0Wy@|NQCMLu*aWAZif`BIG`!u29)<msC6RWJ7&^K+O)u2hlSer0W zZ=&N_6Fh9f_;wR**P2+PAZ(v&qW)wPOJ$o-+uua-&L(DTY2x;VCX&`PF>GZMa*LaI zJ-3Np)0@~bS?G^#qGos#a|ShWt8Ww0J(}qKr;**?8ZrIQNKI#>zz;PF-$f(-6^(o? zYGh4rBhS(sNla{{cT6K&!y3^JXe7(KQTWIj+2zoPu9X<SYNWqGBipna(NGiePaEm> zppmt=8o8&~NPv7JU1u7Zd7_cihZ`~9+eqH_M*3`OM2bf4NH*fNtdY6}jf|Wv>`ZOs z$%IDyMm5qnM9}&-vbmSA^|t}{9}Sd!YM{^C1`&&D;Cw>^MpX^OmNd|r*TCq^25}E; z;96`0=HU$_1PZ!O10&oUSm!9@ts8i0+CYGTu%q3;AGHRiK5Jmhg9fhLYCuo10e|@h z3ePm~<#+?54vTsAHgIHn19xQ_cqP?9phN@tOB=-5zJdO;8kjvr%sIY6++`YgFt`EZ zehv8aY#`-NJvHCz`TC)rfnD`XZ>eW>T|Ij%>bX!<&%>O0^wR2aNT??$s$Rss>M8fD zr^B<JU(WR+_F2z(i+bi7*CU}@&lb&k4nD8v%%gg)-Kpn+Qa$Pl^%$J1$MR%7&PVF; z*;h~Sj(TD?)ssv;S(5b>E)#Yah_%eBr*TR>t>f$I99hrX!S#ITSI?)O^?dnL$JcM7 zeGsivwB|Yy^Qq%gc^w}L>v)@8M`vmst?_j<MAlIgTt}I29R(hBWI5H5WK&19SslTK zb$Gw5!%4jki)VG{Kd3|PRvq^h>rj-hL+(r+2aeaV=};Z3_S7+FTOH%1>-cwF9Y0sr z(Z0Bj(z$h{Os^wwQXTeV>d+ll$AbZNobO%7o^EwW{;Xx%=UN85t>sH=E!Fk4Bv;nr zU0f@$VzoR-ujN!?Ez;4o%nGfgzke<7JZs5!t|iE}mRIJr+%>A@h)yl5G-?^GT+7!7 zwG`c|B|xzj1NmC6o~dQq@mgjas-@eWS}M2J61=e%y|uN-udHSL;#$Vc6?Ui9k}|Ot zo6)u08d}TN{<Tc(Rm+F})sXzX2J??KT<NM|T}usv>T0Mhufead2IcG;_N3M@DZYlT zh#De-YS4OJ!$J2NrZ@_kRSlu9YEaRyVdsk)Myb|N^SB1LyEQ0XuVLlo8vdNCA@O7l zFOJl(dtVL1chpcSQ-hUM4W}f8ouxH2&a1(BMhzDx*Dzyjjo2${a2Zg;x!yHQ?Izaq zqZ*q})f|0O&B)ej^6RS6si<a4Q8nFjs)<Og=2m<)^CGKh464TJbv66ktLf`lO|+HZ zy{cxGel?X^)#$5Kv+;2?U+z@nrc{lrLN)!)RTF-q8im8vjM-aF>b7d`N>?*&T{U?t zt9i1xnmKc;DV|o1^2BQ9j;<D1h-#kxEA0MLP41s6?tQCb(uXRNJF2+SRK?JmDnd%D zIG$g{-;64plB(DoQ$<^7725t)%=fAy+qnuw+bRZ{SK)0~#g3O%bf{IK`Lv4Z_p6A% zQN_tCRs1+#g~_QZ7RgqTzORb2JF564BWO}p%#)}haY+@&=2h{2dKDUzsu(|}3csOM z$n>wG>>sh7|5ftmTO|e`Dw)z*NkCI2(lwP7mR52$zmg9bl{`zTWME7smZ6o*@~<S& zvl5&u$*`&9kXa?Q29+piSMpJ{l7~+!>2a?T?dz2cy<Caexk@IUti<WC(A`^!_qIwF zOA8xoD_Oa+lCVXUNY1Gwa%v@OCWwBd=m%F4(YF$b9+iars$lt-3jE(zu%N91kNOIx zS5{zGRKd8M3XD@L7#LrHdPD_(0xP)VQ$d$&1?TK5D7UC!w{ZoDIu%H0RN(!rg2@jm zFuGYmud5Z@yHG*fsS1wDR*2WG0?8c}xXV;9T1wbkRl&!_70Au4AZwb?pICwOs0s!T zso+7s3L1M<u<Lg@Az#Xw^sXF@wsPLomvgYPoQR@w{>v#xJ++*UxN>$!loJqG&Pbnf z?z@&#VOP#ti*js?%K7uMoRjM1L_aNO!u@g{-YBQ+aycu`mt%BN+-eV(vwd$luG`A# zCSA_)wdKMmSI(eC<y@RyPRx{YMvX5=aYQ*u1IroLryQkj;`v7zV?ULl*i}Yca~Z>H z%eYWhMreK+{W8lqmQ;pkbQwQG%Gl;thPg)>EskX@w=U!Pt1`0n${435be@;t_ppp# zx60V0SccxkGD=UE@!!!hF6}SFcSjjtWy)A5Rffu{G7&p26KCu)_Dw6})r2z2MwT&t za2Y52mSNYujJlttO!-{OnKz}_x0F&_Tgs&JQgLT1#WJ&$(xg&`$CR=sw3L^ArKEV2 z(#@%q)z+orvs#L`UMWqQrA&BU%E5=FXx}O&{%Wc4fs``;bSZMOr2@N9O4{~Peo2?I zaGjv7EX8P1DT%X7`81`J>ElZ|FrpNdfu;EO7Hjxh!hjzoNPaBgLT3qvO(jHEmk8fv z3B&SASeq{B2_@)7mJkwDLXA%ez1&KeZ(qWGixTb|m0<I-gaow`TAq~9@1EdYFJX^D z2{+D`V0^rUpo1k8?JD8(<`PD%FJYNv3Hz3opfs-p-RUKGOe`T~R0$1(OZd~bgfTrz zSo*7&ou7*ZrlFXpEybAD7W2BSnB@FosxpfCm{?5T=wc>@6tmQ~n9c6R9CIi}(XyCl z#>FDWQY`kdVuGFuoqNR;UoWOfp_or+i|KKqm?4LR?(SmdZYgHf`eLLdi$yG;n4|NG zIX7L{nOMx-QNq^XVzl}equ;$4v!6xSek#Jbs|e5LB7AF#2r4ZiEU$>D^df;nE)uw@ zB9elNNcJfr#kGi3JJHNVGZbTO!BZ_F@o^ENP6E-_iinUe5<cl7{Ersly}t;z9Yr|E z6k)lp2;-GSBHmRb?hHjdno`8gaYbAjUc{*ZMI7i=B>Vw|tov5TlJ|v7Z!ctYLm~Yt z3q_o|kglvks*?-JjwvKMv=DE<LaaOrdEr>dJ*z^_n-sE7SLkaLGUr(#BkmXS_j)1i z3WXG$EhO@IA<hR2(b-kV?ahT8r;rU2h0I=3DE`L_`8c(Z((yuWL?N~V3whpK*!)|- z=I;f}`cOdMjsn^n3P`Ukz`dvd&Flg$rW7C(TfmgC0{-V$K!ryEA&vzYSrwpYQot5n z!P6+<=hFfT?-k&Ey#Q5(0*;?8VCivT^I!orI|~ThRDhOL0Vh@!uy}C+J?9iqGDXZY zu7HQb3)nfJfC;?{MBYO_QD5`Xd6&<zwtVK)=kv8ZpTvTE3^MaMk(AHu=zKl}=ZiZ? zK3Z=1?6=Ps=eB&B4D<2U&KL3beAYb9r~93J(iHR2y(nZ(=QCP1pUS=Y*lo+_?8ba% ztjVW!Sw8Ob^HG?Q&)iA*bd1W!bFdiq&1Y8ke42mc;q);Nxz0Q$Hsw)Xm4``j9(!`~ z_%}6=q}V*3hUKx!KaY1FdAK>|aoQ@6Q6_n0>ExlJo`=NKJQ1VL6EU7V4qwWn-<dq3 zj^?4XKaVLp@+gqbLv?K)%U9&lv>*@TnR#rSoX3aJdDsofV`slSess^n^=B^oKjreL zGZ*)!Tn<!==i*#kb8^MmCYSHAx!8y0vc*4_w;s8|2bRkkt6b`gb5YmHWv+TIIZtxA zaW_}sta1sJ&*i9`Kyb+BVzn=qwcB&4+L+6uHMxvio=f=rT#n4h<@3Z`3`ga%aBwae zeR8?bEthUTa<KZ4BXTBk$Z5zyzA}eyMLC#f<*+C@hm`0XPKM<0>2;2Hk8%XQD2D*^ z95x%~Q2ru^t13DCeV8MDh9-w;S98RjHV2uLITRhr;rwo)w>gLB<S<ww2b;w?%$<`% z=#(6!$BFrd<#4or4oy9Cxb{1n@1L`I{wAA#E!h~>WHYuj8~faBW~F806PL}>@NB~T zvsvqzO`KykGFI878fUXzCz~v_Y<54%CihM@d#+`ZcTx1Gv&lJ<&91%KWNyu7+lFjX zB(srTnoaE7Y}QQ6CS-gzOGaelH6WWAy|S_Wlf~$-S?IsbqEBlUPiwRIQkun;ye#U| zvN#-{MP_&w1Z0WxNEWl4vaqnqVt|Q|)yWdEkSye$WRZ6#i}lyC@Vl7Bq|;dfgOkOt zJy~4Znnm&YEH+AJ;j<)*v2(Lfo0`SjaaqU?&my6J7W4ke!uWS4zdmPj?oFo1P03_& zO{TyHX7W2HlQXHAq{L=2H!Krfzf9h{XL7(HlVFQXMjK^vUptdBl}scbWnz9SlW$it zIeb2opc9!4JCupiu1vBvWineT6Sb9@!Uvkk>RFkXOwQ!(=uEZ@$;7^ICf~bdirk?L z+&^USzxE6cHDusbkwLdY@tm1~M`8wlA~V<*lp*k_8GLolV5?0A7Oye{<~f5k8X3HN znnA_g4CW|ha9=)yl+ziEkj>!C-VA)UX7FQu2Ad=^Fj$g7<(v#=OwB-PTn1soGU(nv zgKa%C1jaI5++EX|+?6iyLFsr^rSrZhofX;XJV;I_Iy#+hA?YIjBOP_ubW&~8>1USC zcKvj;HPgv>CdT*EMLaSc%}ePd%caxfXgcgm=kd05!ZxJySu&kPOVd%9n~u}ebgIXt zGirD`d-@BVp6Q694}ATU#)7Ui&NZcBQI$qcQ5rq7(^!?9#+B$ak*|_QzE2wexTdkv zHVt{xG|ctVNYhB;`_nXL-%I0&QX1;=X#}25qy9)5gZ8GedaICKpN5e{8qtf>Xq%nJ zuqkP*9h=65p=s##OCzLv8Z|#s>Gd&{`5me3Z%E~SMJhH0sU&2i(wdOUzmcgd3`}L8 zS1PxhQh8;SN~m!vWiM0tuA0i2$Eir%PUXneRPLTn#pFaP0S8mb-I+?KOe%fXr7~?r zDlACl=!{fuPfSI3WGZe0Q;F*(?EFdL{g)KsLr7s#OA0G$QrJ<Ff?Q4tcT!T&j!D5b zBnAK1DI~k5P->e(yJ-r)^imk0nZm?pDJ-~`!aAiCcFL!4{B#NmM^d=ACk6E_DHtO3 zSEb;&D23ozDa1`qA#-#J#e-9*>61e1-(=o@OXmB#WV*E_)2A+(L1oE|$V+ByS~8R4 zl9?Ws%xu48=DR1e$Ud2+=E*EKNM@y0G7`$kNZuFix@ea~lM^&q!P_fjwhGzxLPtXA zE*3Us3tRsQn`4q0H$=?QSIpH-%=tZuZts)$(VE1E+9cXalc>#2q9iqmtk@*tLz4*c zO~T792|K$ajLnkJ&`;ulW)fGPC2{gz61$a>Kt72@r<0g`B#FU$lK9`|B)X)Ms92dq z+QKA)XC~n=DGA+CLU&M-xWgy0=YNSveobWB+e8MmB=V&?k?P_^lCu->PEN!)I+2IL ziOBgRvdJZpxi*OmewD~4okU915{Y=6i1qD6o?R6(=M&j*B9W;F6Y04#kp}5R64oT* zuuSmhC313FB5TGcGG=%ppZ`rHuZNi9X9AiZ69i@_fwc_@jH*cBU4DYVH6>slpTPa_ z1orqRFw-M}KlTX}nkV3HkiZkI1okTn`uzlcDkYFDpMc%z1a2NlKzdJth|46<ER{gW z$^<kPCU9tG0@EiY@M&ZM@dFdk>y^OqKk>}|63^$Zc;cGkd07?D;i7o{%ZjHXF`mH4 zcpeAFBjXj%0H=70EaR~<iWfOlLQf^0HxJ?oxDn4ig?QGSiRZ6uJPCW_QQsQRmi6)U zlZYpCQ9L@c;@LS_*ccs8)*vxY?|8QTFOI%n<4ArJhiY>iRLAkVD2~vqIBq4yu`nu* zw!k<Xz2Z3G6vs%bII@l6P}h!Qol2a*w#DIfBaSl)ag07Ac(QRk-y6rut#KmS5r@sH zIQA}zqvxzRf+xixKPrwfgW^c*6~}`=vCR1rOIcSenoY5+sEVbrFc!niSfmnT>4=EM zG$59Zp0T`fh{fDOJR8Q+r4`F7Wg&AvmKLR0^yFh%c{-Nr!?CFD7B)A>lDjUJ+bd!j zyC9b6>9L%d5X(O!VsYy)O33z%rSoSDx*ua$*bzf+eGE$FF$~I&!6z+7;MZd42#rC@ zH-?#RF(lf?aN0D6U%D}vs>iV8Neo%HW4L%VhHmF$us9yW(gQJMY>yFVwitd$#)$9y z7-r3hA^N`<_K%67b#M%i`@}HhZ#2$dqebp#wAlNiIZ++W+oEWcv!X@5L^KYO(JTp! zCf+ley^hh;T12C07|k!OXtb208F^pGC`GeCKAP}T(a0Q*CTCYPvYVo5SR2ii<<Wee z7tP~o(fl($8l7R$4Er}4i|)}({t<=C`zYqNMe({eie;rygyclAIwgvz=qLz|BHlZS zjm}XdT16pa97U40=vBn{K@=NqL=kr>3MsiLB9BBNu_ub4%~33tio$zE6tfmY;W#~t z2@|3)9UjHt{!wW4h@#uiNbY}#<b7Kt@^z6^mqv0RH<I*}NTgyS2@H;8mQN&B&XEkZ zjzq;clF!<aTvUmq>_H^kZbTAsDU!Kzkysvyq~9K4Z*wFq>moV0B9espkt~`XiS_tM z`VNoe_P>$Tc8_HHj|hU^M=+@^0<GEzK9odoG$(@i<Ot?OM_>>X!8fl6PC7-9Xenq$ z5$L^$;G=Q`hwn!ap%lSH`3RIxMbL040-0SAxXMJ(du;^r%OXgf8^Nrp5onB!plL`1 z(tRUv_#4juzJ_!BZ8)LL;S8@1N2w^BjLdLmB!=@WBAjynaF%+6^U^+?Mze6%=!avh z5l+XGa5mft$Lwl2Z_b6Y@wj;2A5Q1CaM&PZB*JM~6pq9!p*ty@s*&L=92m~if5OTA z6~^RGVO;A7Bf36}0p(#F%L~IjHH<GYVQdTuL(eCS3g<9pT8DANIE+Z`FnX(mvFAY; z7T3e5mk(p^=`d~{4kLV57~M97v1M%-y34{SoEyfNsbQQP8-~M>Fq->>G3Re6SHFhh z`zDkRO`$BU3gvcTD8U(_e2EWbMYtIIg%acz%17H!7Mq3&j9DmNYN50}3T4KvP|jQq z#rjMrrLv(6+Z)QxEum;gg%Z0Wl<)IHSu#Boh4G=-4-+!|LK)OO6q)ZKJbV`-auGsk zst#dnaR|GzLQqZ&At)k*7JotW2w}T@2#?J|@X-sQT0Mk;PeNFCJA|uOLIhqZg!H2! zeA^qsjIAN;CxoXfL-1S>Ldo<Hx=#oZd5Iw${#V%TF6iHbDSQ{qua;n@*95bpIG9^m z!B{2+6BiLI<_xC4N3g)Z2MhmQFc0*Cu~82u{&6r(w}R<?C79V~gV}yGm@9jO(b*D= zw^T4$D}w2qAI!hggPAivm@UJCxzH~dm2Sb<eG4M;Z4jl+L42$VVqj4avonL(m=MH? z@F4E^1)=8_gqv*;v9E$C(Fx*>Y7qZC3S!*NAQmeGu~{yN<41x}+8u=IrXbAL2I09Z zi0HXN<W32qeoPRb1`C}&L5%ocATz!Mvb-x0nZ`g4R0MJ+KM<v~K%T?~q8$>5nNJ{2 z&Vl$^1rlKtNb-w7@{|LqxEDy%wLson2;}RDK>l|ikls528MHBwk&=OoUmVEPS%J)& z6v%>+fg;8o$jY99to|8*)Q12zv;`nj8^Gq00Jdfaustb&9gzX-3=qvjG<z{N6LdYn zR~K@Rh5Rj{cUkD431GEs04w(duyk_(3)TfNYk2@u=LIl+Y5*h01~6zy0KNMJ@V`I) zeEsatn@)e48vLm!_a`sUpX5}3B4YgU4fe;$+aEJ0f3z+Ad1C0#buE9+KJ(|mU4LX0 z{e>UVpP9$~8M)tI;7R=Xj6aPl{mEb8PwaGmyvO@vG0Y$Je*WC(=FiD*er$c~$FgQW zCRX{;r_hfN>3&qi`H>jvhu3RAj9vVAXzj-tV<D^U$HM1+0@LEhk86HZU+^R0gdeU4 z{CKh5kE<K}*dyV`l0`yhrXOD>_)#+4kC1=;FzxQg-S55vBk9ZX7GLrG<11n{zT{^3 z;vMgcR+ujre0|yA>dOQhU%napQlKq(&wbIj@5^Z=UnDO2GW4V`?FW2`-|ma~249pU zeA%?fm+>=&y$Qag4EM$AUqSEgi}d%`jC%K)_U6|_RlP>9@HKK7uUQiRnjT@VDSZ7J zCzsdUvVP4*<JSz;eod|NYkcm#=Fzp+Y`yTBktbeLzhBU{z2^S<*GR8=&7g&^DVzRU z<PX2*%COfg@AsNNe|<>)>VxhZ9}YJ8Fuu}<`g|YU(tJ>i^<im<58u3fh;;JdnWYcX zhCcMx@*(}H4=?Zdu<NQ1gU<Pod(;Pmy*}*S;=>RrF<$P2-aH?6PW9p6u|A{?_CdY3 z4;%k@^Y@cCksaRLt@mb0nKxZI-nb@vBNye(xIk|TJ-pGe_eRFdo8P+L1gd#+`H?qM zZhBLC$s66%-fTSV&9|N2cu5Pr)!qzW;!Wx-ZyrqYX8uTTYWjPl-@}^?KfL(x&I`vD zFZNe^(Y?qEzYH%<$9pj_%uD3Gd7<Fq#VBhp5{$f1df~-5WiL|hdT~S1i}B~h^D#l+ z=f&8qLPpAq%PYJXHs6bgsa~8J>qXxoUikL*;?N&Ykw4*yU56(!^`5ksdZL@-$>L;B z3L`za8{o+p4^JZOJUL<N$sZk0Y*jsxdgw{bbx)qidotmaCy@s|Il9A>ZyP)@k?<7% zm!9Oz@Z|CYPkImc#G#)jYrA<;`qhIQZ#?MV<biXAhsb&Hpg7e7#TXBI1$$ua<-syX z4>HU>kkj|zi@FEek3E=t%Yz^V54OsAP<hycYr8z?F5`jmY7b^C@gQ`T;7#(Ne1r!I z{XK*q%7Yi*-5K-F9oJ@eajtY1zBzaHrn^%e>(1p6cfNYNqvGVwKudSb4cwWg>5k76 zcUIkYC+@O4+s?RCaKxQsyWMG!aYtc|JMWjcb8ogge<r!3I?|oK1KiQ=;m)uhZkWDv z6L}JD*i^akU!fb0>2A!3bHg>njoChKxI4LtJO?*C3`DOf#!uXsb=wV>%Wh0N<A%c# zHzw_N!%D`DF>Bl~S>nc!*=}N=ccb@6H<bIk@w2-dx4*mc=B+Cin_a1?bmee?D>-Sd zY>IUyD%h1}-mZ8!x-!Ya6=Qu@k&EKWlgF-nxarDy1y{;WyR!YTE73b$StRW$@W`$V zTkML;OjkZlaOK=^R|@;Nvay>h{$E{~{Kf^{Mi+jSyKpJbh2j(!HblGN6X?QNPZ!ke zU3h2e!ckop5>;JT@X!U*>n{Ag=z{!77jh4{uxh&tw(DK!waSI73tT9eCTQbaupZ(< z_dYIM{Nqf<CubIPIAd7n%;yqk4re<Pmgvm52xlJoIaB58%vu{~tc{)d{lb~!%FaaG zb!M!hGxyFpQ+(8!rF)&x-|S51T4%N{bH-_oGk+&Lb8M6|K?9r_)YF+uKb(kv=Oo@M zCvH_aky+rxv~(vP#yXK7?8IzuC!RVwQDpAK9DVVu?nL1uCuZGr;?X51a!xrh<&YD% zcQ}!<!HF>vPF!B-MC5cQ`j2<w#84-Z8|K8XKaOnw?1)8&Bdv9gtSEIvHQSNAL`Nn@ zIHKU^NU*CT-E17$Y3zvU3rFgoIWq6ABe$<Q5_8s3<XJhgXOAOhn;fZK<H*dVjwsG{ z6ftl|evWWN0GCnk?nwGK2L`=$V1JVXrWFp9=Q%JT)q&H|4mbol(CFd7bbALbm^$F9 z<3Njw12Z2ua8bztmkWY_+<~e49gy4VfcQ0TDwaDidY%IZrZ}KK+JVf04)p%Vfek<H zMNJ!ff?Mo)S7p!aLVM1n+hZAPPeHIf|9acA!O@=k=Jq1r%${a7d&WJoXYUPr;TN(e z{G>f^57;whyFG{2+oQG8p2+$3yqRjx<gr3-ustfh><Rc~N8<-OhPT<VvBr*@MRwR{ z*pVA&$Da^8=K0tm>tu(zg&qF-cH(SjN598*thi~1+$B4-PuYpskR3JK?dZF~jwP$? zIJ&?Nm1%Z(j<q9yupQrf*)jRIEt@{ta<$D?_+)JfDYB(9!<KIGwoDJTWs8q3SDb9o zv#`Za-&WKnvE}n4TSncqWz{8Hj-0aP{y|&Jx7!lB-j<S;wtSgy%kXKoEE#Ldp25O) zFI!&xvcctp4e_luR9D;Zz0ii?88$48vtet94QIV=c;sk<iMfq9tJ;vPCgdL4@a4J< z1LSR(cG3pP12*j0X2S(+c(}p_{dqPxO|c<#w2gRwY^dpJ!-pT%{PW(LQ7zWYuChk5 zz?vOt)*O$q=4y~Nk3Fr$ox>VSQ)@hQtO--GCgr|0h1aa9KW{DSCRp=xuQk25STk&$ zH4~RvGiQ!9%O+d1ZlpC^`dhQFyEVtYSqa~*6;~RqxK(b&qg*RglC5|VX@!Bm6{c=h zSld|PU~Gl!3oE>yS>b!fioh#Ygr2b?;)oT|yR3+nwjy5Aio``$B+alQdAw*ttw`x3 z`ahN=f3hU0-IBywOX7<yiOsYmD&CTaP)kC5ED3b7#Mi<SFMUf~)h%&&WQo-cOHAc0 z(LZU)ivyOZY_sGMmfTul$(4DQoSS0FvC)?78)(Uvo|dfpVZpL@7R+h3U{a+8!}2ZY zood0)C=1>OSWxe7L6NNmDJB+#X<Oi_Y=PBX3v{kp@Z_uoin11*+-<>584K2|wqWjJ z3&zY8HYQl`d8p9uV?oXzb0R;P<JNADQLQ;oi_KBUH0NNvIZ~nKVt+7asFOLr%*|=k zGZ+4TbAle4V}0Em)r;m_K4H$j{pPINYR*I{b9yW{r*)2yn{1B%NONBGH|KtLb549S zgEwYOX*8o}xf#tlW~3&W;T~be3qLayT+P^KZ6@xyX7trEqxFdyDYwjURxm^5v>B%l znXz_<8Dlq?@nxkM`SZ>2nQDgC7&FcdGDGSgGsgTh<>Nb3GMh~WT)~tl`KBC7HDy7x zDZK(ssd6_Z(AE@f6I0~0O<AdIO8>j2)Lk(p_>7<*G3C@QQ<g{zc}Y{s7MkKY-IT}U zOxZoel>d60^5NGj5<a}bsPz?Rt6#CS@D<(DUy&E{3cH|JD0;qPjr}Y7n!cj=<trSY zze4Grpeeqh_qkUT$iBj6_bcROgzoBB{9g2m<QcEf8~=(ULtpV<pI5Z~Ho@<s3HRDe zkg74ESCI*s=_VM)ns6l8gb7|I)Z3fjVrqhdjtL7?O!#omgdjzsbIt_GqbB^?Z9=q+ z2~Ss>AOPHSn`uJacoUR|ig6zk{`cFM=#R!cZZl?ejj{OL8xx#v%*|M176lvA>1m9c zy)oxZjhUolO!;$TjPDt<OVOA<=ZuM$HRj=NW0uJXSxIA@78!GVhB3p&8<Rf780Fr^ zto&_6*9RjUTa7qUZNz{=BjVDGxE*7}>>wkmJdDt{Gh*W_BjHmq!d2M_*}F#cyJ|$l z86$zYHe&oPBQiG{@le8uxeJXbpJs&SSR+;pHlpz#BMg5UBK6*oj%Gv5Dh-j&H{@N4 zA(l~wYzi>sy_<NpF%<qHL*8f!nWu)VzimjHf*}T{4UsrxNZocrG}jxlaHSzd^9*@7 z#gP9-8<IT0kjp&`8T{Qq<V+fHq|tz1Wd_*h7_cG9fTnN*G<*%1?P5TNr2$tA3>ctc zAh6d4?7U$>*F^(#P8cw6zu<2%5cqckdM`7;dA87>WFYX320ZF#!05mF1b)_MPlrAo zwfd+R>+@fxJ`r*H91PLtt(QKU4uWQ;PneEAdsXylyQhz`qCTU~>GN7vADP|yR7mS{ zT~eQ3i}bOYuFs-z`Xmk3N4A%~@G0u??7betTJ*$cLyuMYdcw!0$FV3qy8QKc;-<$y z8$E$h(PM#@o~VVR$Ie@NR9@2K@+m#OAJ9W%n;yf_!+yDtnX5;{WIZ;I)T7{EJx+Gh zqwR|>w>owCQ>Tk&i7rF4bg_!p75kMg-rl;baMTr;J6$&E>XN0R%Ypm4R4D2qcTQK- zpwi{)Ze2b|>vC68m!AuDc{W{_p5t`U7_7^`y>!w2sl(v+Iv6(VFuYQSS9v;&O3}eA zQin1AI+(kP-dc<e1zl6{AL}sUrVd8(It)3fgYJGE`ft@ibDa+VEYm@Gwhq51>Tqwk z4j=pKp!mO+w0(NX+4h%I)x6|T(MxjDU$Q0kC9y#-k??$puiZ;#y?TkQ_De=8zZCbj zmvq1Kl6!J5={oe1Gdo^Vvi>DIRtlYYFIhU}C9b1hGIqdAbh^J3{x@x|cWH|{P1+nN z)g~!Rn-vM#xP)pm%10Y@M{T~CX>(pzn*tSWHr&_7S5cdZ=d{t5)fTn41W#I<JPB>q zF4V?-nl{77YV&lEHtjvNIr!rRF>hZmtLX)X<uCY|^MZ3pFGTG01<QP22tVcvdRV?d zLH`ALYA;y%@CDXNf_C8rmyW$4d(R7&Y<hv|YN5O61*c}bAb#8nrVn|6X0I1?{M2IS zdo6sLwHREf#q~Tb@{_e#5~+oupB5inwAg2*g`a`oYiObPNQ=ztTFkkqh30WBn)Yh3 zVY3!CYqa>WSWCnlwD2FV#ekt&$oJMF{+A{bK4@~cMU&i0O=jn7@;pV8ibzeC`fH-? zs!4;DCX$Al7-(qH@<@|)H#9N6s7c##O{DgT=gpe5uF+)e5+O5Flg9CytQsnGduvkt zOG99_HF(~lL1Co^)AKb%3{8WSNDW5$Yas8cL5P(Gy$v-uq@jWHBMm-Y*I@lc4fKv{ zP_<V>`0zEjyGDbA#TpErsloB_8n_P8;6pDB*8WmQ^SwIx&FbR*ug--$bzUc{^DRPM z)DKY?wXM|2vs7n{fjXzv)p32O&Ko6lmS0fk;W2e$_o&lrlRDd1tE0b2ox<rtcAPrL z2diWEk2(!M)R^&3jY~~xc$TY)`@R|rlGIQNSHthM8t<LdSYn~Z4Lvbd732GAEV`z~ zwR38C%c{|_OO4smYFv;|!*PKcwNurYFh&j8fohoaP$T!7D*fN6vbjMO<x*85vQ+sT zugbzuRph-@v3F3V+*FmJI;w1cuFCVfs)S!r<-MFLvkt3ra)&C$>s3i#p~~O6sx1Fc z6@`(i*!-(X!Cw`6e^EiQLq*g-P!X6u6$;W-=oPENsvs3Ed8lA%t3sx+3cs~fnEzCT z6Sq{*xuinWNfkQxt1xb>3R~ByaBry!F0+LG1QogsQ(-|L6^{OXj>d=Q1hhOC_s{3_ z%YV-Dl;@m|e2%u?bAnt1-SRno44$(@{W-@TK1W6AIbP?VQ+V__KX*T8s?2k?Nj~TL z!snPydrs7t=QIp_PT!u-S@2z%{cn`H+n|h9sWP!y$~43)(>qj|Io`_bbWrA+sWJvH zl?hN*rs$3`UoI;%N=})Thm<+IUFf2W>2hU)=Lr5JWj+m8W>`OEPXB&}Rm(F<@}4my z@)_G*o}sG$jHn0C_<Zge^L9Vuti&_Sr#>Tlz%zP$d&<iCr(Di_icRoSa_yedUHd6Z zZ$IVisiz`G=_v_IpYndfQzrF!%FYi@cu@HSm*gkp`##~f#S><#Jz?)PL6?1k<Hjds z%zwg%QBN4t{RvW?k2zQT7_HdH_;@@f&-gK)o;+sMrN>C@f6URfk9j!rF_uFf6aMoN zWlfLxn)8Se;g4A2^oU)$k5IVx2(>ehu-oy7@D-0JnEZ&&evjz+`61(L9<ns;AzK3; za?1K4w=^E2b^RgM#~$J<^N@sv4=Eb+kmeo_`P%h>KBW&B75{)4UJqFQ>H!;{JrH=i z2b?(YK<ujzL~V%&JRSN#+-L4%)O;W7-1|6(-^bhOK7qRTiMV&4xHI=j*>RuD75B-V zd|&ty?o;yV9%a?{s7Sp>mA`0KqN$6fbdSoT_b8XXN9lrl6pg+|e)oH1cittf_%4aD zcZv46E8_fj@q2O?clo>6@4JiHn!D)DxQp80yFB=Dhii>@IGcTk!=ZQB=5U9#FYmDM z&K)M7zQd4hcj&h44(}%1p{Dm8vfke&qT)7gNw+b1eH&%-+gwq(&Ec!JS%3I8bJyQy z_}tt48h)F`Kexziy@h}NEwTUI;)%;Gk)wBu%@1xd_uMT8?z+YMmA5GP?-qgo-ooVb zO>WoR<Y3xOmId5osP#?Wsox}9=_VdWZ=x=JlQRo$vUc=MMs>f*`;Hr=7u~=y<^~Vl zZ?NC!2D2aE;O~VS6z{o#r{oQuP80NjH<<bDIzQ^JlbLZ{)Zn?!HQVb*X<cW~&FfSj zzmE5&>pWa^ovmZ9GqUG(>bsQiDN*7<oD$NWN(?knqV$Op_VP+x+NZ?gHA;M&u0+fr zCDguOV|&9j24`KPF!&l~cGo!e;u;fgU8DBIHS9NE6Zx&zm^$tn4Lz^n@J5l-rHV|9 zSESNY5pxqo4n9?6$R$NG_bH;WMv?V16!|eok$~@4xzcb|)MUL%MetP&?5?u;#Z`Xa zyo&#ct6bQ8m9dMjk~Q`!&w5^E$(t)Qlw83$?g}!VSNLRn1*a!h*e8F5zk9FXv-%3h zreC4=peqD?yUfY@%k<5>OhC|OPS{?im)2!`Zd~Tj@yq;?xh(3XU1r;u%e?D;8Iw*0 zB#RZOj!{6_U4dyv3M4;LK>mUPefKEfE}_8IsS339S3vX2C1%uKA~Ed}asik4V|57& z^-C;Qx<sz*B@{MZqUZceSdYBKiofz?x5;y%K%U=`@))_uGh1JtnEUb^I4e)<4ta5B zmuJvqd4WBWXX(d_BvoGIVDd$pUti>o`9=Owxrp(Vi^8{bk=IfeSu^{hsMjK7eqErp z=>nH?F7P?@0#6+-(C6g^^lx8a^r;KjZMh(F$}iwO?gC5yxj^Wf^Q<jBFKX_c7q8!W zfgwB3wkPMwym($<#m<u>d7j<VL_a``zn&wr_8i;O&ygB%4jJonBDe9Jz_6SnO!geh zHlD+0-Z^HCJcs@N&N8;`EQa}K=^J^LXU=E&s(Y5J_s&u;cUFAwo)x)tXOWtCR^Wfn zGVT2tOv}&EC-DsTz0c78>I|~a&X9KL46F8^!DY=EhR-;I@}M)k`6kEFdO4CZ<X99W zC+-q*{G%y{;&nMnj>;h=Er;uTIR=iB<M!XvRJ5IDeZgs5B2UxL<ur<Vr^&x}n&oFs zW4iq`-<O@{=)}`R^ghkF_osMRev0yhQ%HE9!t~WCK0Q6fzDuV>Ud$=_tvSW{>8FSt zc#3h~PI9a6B-!aFnGtxBr`9JaRzJynrIV=2o}_%kNfyl$zYsIxq`(WEV1DZfp5>jO zAp8U~oKA38=LBhYPB7;52^6-TAZ*DA`i?)r;eSrx{N^|xOO8X_ab9{Hr_|^;(;gq^ z+J)nU?LJNqiR0{;avYO?kBd?k$CzGyj4LU}2=G0|ck^RNsT@P~$}!Ro9%F#iG4{+l zhS88?6#qEN=*FYSW*x;M_$cMJM;WVil%qF}Vs`8(CDKP3zThbPMjb`>uPhmDvh*sD zWqqV9kDO%*)|KVmU0G(!$s)H+7W1XD<c*i5PcK>4y*<LM(j$1p9ihhK2*Zqzh`8Gk z9$Ywr_ijO3b%Y^Pj<Dh1Bi#IS7{{u^6eJ&}yYFF9lkqU8o*zc%@?pXc9Hx2gVTR5; z%(}scx%B-I#`TAY&NxI%;2{Rv91^(%hmcb`gr@8vUT-)=@!UiF9Da!Z{v2de%Rv-! z5271(5I={56lx#j%dLZqK6wzy%?CNU=%A=8dr)8;4iesRfYQPPe2qH5aMuGY(Lcbh z`v<su<^by34`9FS0Fe_8P~7VP@80gGZ`ppP#P3Jab3c2H_jBp-ex6>~kIC-+c&^${ z?0@^k9=4yhkNZTf>OKY~?_<jAeJnHEhm7(*4k_&8;{JW&thx{N>HBy!a34-z_u^l> zSJXA!OP2p$$}IQNq`H^)SNHPk&|Z46m%+35GIr=*rvBW++{QgD&Dz82;5}@x-6L|k z_OR>v9u6GcgY3pVoS3%<xe<Ff|7SPyExW~Qv70MlyHRx5%{6V&Zi#k6v`vDxQ1C_z znQlV1eHZ5ob|DwJixbYfkk#EKVwk(wb$S<Dw(erXl3lDGw~J*xcQLPPCsT`eGB#!> zL)>=K+h8ZZ@9*TpnVmFm-$})?on%kgDX?-o34FT)m(m@W#qB`LV+Rk6cA)TR2S?BE zVC$|OtXjE)8IyO2+|wO&`>>ri<=e&QZ#&6e+wn8mj@6Uxs9)TU(w^<eN^EDtl<my@ zcRRyAZR1DfHtLeLk>axrZ_{lUJ=?~;OWQcUZyT)M#<XeM=sjQ?ZJ)Q2QN0!Kl&$Fc zZsnT!R(3z%%7V*V8F*kToofVt`c{GO+lu<vEu5*{f>hcT#`<sJi^Uf5RJP!GWeaKt zw{UXZ7FN#O!l1!hX!*98n7YlpO5e<tfX!^M+RSLR&2(MeO#C51m)gvwS({lqWHW<) zY@)t?69E~UPz&6|LF-M-P~XI7#Z4p~-h?rmI5T?_i-v9zb%<q1Z;-(>Q--raGAy)_ z;fJ~miPvNVepZGf>t*<FjtuQXW$^zg&4Wg1)@Mo6Cs>*s8)<<Lk>;q9G?QedX;?3f z>l|q=50hrWuZ_HG+$bQs8@Uy{k>$1<`J%CrV5N=Rk=@9O4IBA1NAQPj<od4-ENI$5 zN7e@1f;Vu^b_0_%H&Cv$0TbB`?B1|}zH>JaKWqaJf30U}(|RGh9*5xd$l9)Fu;zM_ zmDcl6c0G$Wtfy(tdd!EdXXh{Y+xY*mVa^A`C|gL?fcr`?M;6N0gVr2aH4IvQN@3C{ z#l|ct-UUesKd}^BHKh1_O$xgsQfyx@o@Yy8J5<nruH!?)I+4S&j*UU<=&)Xgq53)` zuC1fy@H*63$DG;g$QiPZTR+x{JcYHQp6FUm1+Jx=^;(?N){6X^wX_^si>B0CX3bhl z>fp7=e_uoI`ZYMGuR%Is4Rw}lc&fUFaaY$6dT<Q~)~(^~j5WL%w1(;5RufaZnj>ke z`QW#j7Z$6Ts<N7h%d6RUU^Q)PRtq1>YDNxRjpr9hsF9>7MUqRtlKeK4#6Ve+X$q1A z?~`Q9YDvncN^-fsq=>sp2%Mh;<C7)u^pQZ)RD#r}5*(73pmC1`*CizQ^PdDS`bjYI z<0|YcRxvMe6(L@$SZ}h5^vA2%e_<7syH;^-<tjQSt>RXnRs4Ln66La$^p0PNj>k%d z8m+|i;Y!AxTZ!$Cl}uT_66XmkMZMRRc)VG`ypk1o$E;w1+X{U2MSow6<ph1(3Otvr zVD`8bxb|2fY6UMB_4Af9F={y$&dV90yBz&H%lY@za@002=YI>Ab8qx=-v3>OLfbOx z^OkWmd>MHT%h;m5jOd%oSay6F?$XPcIDZ*NBbJF=<E1=oUdo&7rO1UWrO<XMn>3da zqO_D*vP&^vzm$G+mU4gSQrdnj;Yh<0k~5ZwTKP+Guv)@kwIw{hx`eiaOZY#E&H}2c zr;Fk=A|MFTNH-$b0`H!UEw+NKpkN~yg!EIy?(XgcyLdBVq2eFAQ0x{lKnxVW^SKu5 z`ug3uGw1C6dvj(Yl_ogr*#!RenqXyh5VW2KVO&uVZs!F-wK@nprUt=uY!H%r2jOvW z5Zbu~VQ<|am{$fO<xwCWoexCV{y=PB9*8<wfruL!i0j=0(WGS{mOBOFyFnoOe{PJE z_Z!3ZY-6PDZj1+u8>4kvW2_q97~jJiBcf?z9I|iBzcV&Q+{XYE-41|zK>%x|0F=%T zK=b4P{#_yf?>hvbZQ}r5iz9&d+-QVuZyRCz^+u>U(TM9r8ez}uMqD$~2$2IDVQ(A$ zzi%T%STw?}-~On1;g250{`|Y1KPuPxqeHGg&lmIOHt<KV;*U9={&;BW51(p3OnKsm zOPBo6AkPmmtNf6!_QT)NehBa7hZU{;@Z7}@0Y-j2H_sR69{R%MoG<$A^~L6;zId1J zizczYNbBm0!e+jx<LHZ?dcIgu?t{m7eBi3_!RQ@6*tft3Uy^;$Jj#dX<oV!CV;|I7 z`ylL}H*(&3^BQ;F&_C&oj+?zPbGA1wP4wpV47|~yjW=?Ay?N~zZ=N6M1$yCyjH_Na zdDsh8YrPOO-HYdGd0|(C7hZ&V!O_DD{Y<>DsLB&p9(zLjq9;NQcw+JjPwdI^#PgA! zu<7B69xXkQ>*R@32A-&>@PPY$4-AkVn7_*da*+qVP4R%|Fut#|2j&KO;Eb&YK52QN z;d^)Vxap4UQ|>sh#T{jH++i}=9U+6<c`a~vtn_ooc?)-Z`R&GQWV<1}*bPZX+^~6_ z8;Ym9;d`7LoFn;ul^Z5|xM7`%8!lA2qWrNd8eDYcb=X}Iv%(c~vRsij(iL~RyP~Fr zE3f(GiuMMs7+c|j1@~N#FI;eYrwghVy70PdE(nQs!H`Za$O?49MjIE1f6jRF))}?e zozd{5GgO<MF?hB!(k41%)c|K44Rgj#A7@mUJEQI|CwM(~LYpg27;?x7Q`R_P$uuYI z8|#D%y`4BzIicFs31)Sj;Qg&3sI(#aoomRyuQcSn92)YP4h@kP(~#HpY>0<V8*&{- zL+I!>g!M;9_}+Ge>Wm|LZga%&d5%a-bi|Coj#$~w5xe{yal+COMKun%|Iz_(iXHI% zhy(Q2JHR5>0WRYm5D@8r5S0Tuc{m`#!~xM&_K16I&ujbHW7>Xu%v)~HY}p>0M%ZI_ zH+vjvZjaNB_PqYBJ+7A9;pQDXJS?!o)9rS6Gv5y7Np|=;#122&+o7hB9ki_Mp!e4n zbza-T_&;0JJ7$ae8*E`Y!xmQYwy^1I3tPn&cAora%75Q&U{_`X+lw}^IbZ|p6*g#) zWdn<mHZbpQ1Jf2ZsN2v62KqM8`DBgSJJ$GBV2!Hn*7!W%8t;>=@p6bY%Gz7wUL$K< zZ(xn0KUR>htavW96%HP?!p`+pSf6W!rQ@wIJJJf-Dk~&<SYeEb6^2$dK<~#5(EdUL z_9+eEzq|pQG8^!EKMkPMtpTc<HNb0!2Dqo&0GB>m;>2xB>^x(MmD?;aW1c0F5-kxk z*b=?kS%Um6;csaP+Zqe#zqH_bV+)iWw!oFO7C4$?flcEqFt?8dl0qyH<z|6SbuAF| ztv>8Z>qGloeZ1dOA2*lO$EmdX*gU*G|0Yo%@lEO@!md7AYuD$oVh){~=6HM3oY$2x zN8W66ESqSKlmX@#7-r7i)f^4Y&GGMt8J;~gLt&8_w&s~(`YJQTs?E@Lv>DubnnAmj z8J;?sK^T}}eMLQ_-K&TGq8^&>tOxUj_3$aV9xe~9hwUBeK^;&JgRJVI#a~mHy*9=B zYo<7N)D-L1n<6RKl;?Gs!ZXqoe?v`i$K4eBj7^bKX@Y@|Ob~e91p50-P`cCvdFdv| zjWNN1E+)KgzX`PLO>jrsgnyegM%qndbUS4Xr!B_#GTRu16OFNSfH7jjjM2=;7`o=h zyoO3$Y<*f6lZxshEUzx=t*VR1*>$mZR9&R@sEZCQ>!Q9>T|Cj}`#u>V`K}Sqmob9L z4kO&3Z-gyLMu;0?gcj|MQ0s4mB1<DIuBn3oFYEB{QFZX{a2@2Yt%E5!b)bx^1HC?V za5cCNukBt3eU0kC{;MILJ}|_#vxXS6+YtVX4e@!3Ar40yBDs?xS_K;7m$f0z)EXe` zjRB~{0RN5|KyEO=)ENc{i|5;s1`sNK+`|AW69d*F`Z)PWpVw~HN3(tU__9<V2h#O1 zHbx(wUG(w1i9Xib>7&24J}lnr;o1#7%sQ!uu+4h-JxdQqCg@>&e?53W4`p6@SYA&L z-Kuq=`$QL~FY02_0bTw*M;B$8x>z<s7oEH5;%_ru9Cpy<H9>V@|4|1ew{$S=v<{kY z)!}_4bg*`k4!RB0L2Z~0@_ckK%v=Zce`@30Q*BHx(uP}}Hg2ud#<Xm01dY<h)9%_> z*g_kshT3?qr;SzRT4;Yq3t!J@VdFL}beX4x>d9KzGDr*E+iKybuNJn}*TULw|EQwy zAGKNXkCqSnM{fiFQR{!TG^eDNN;lM!Uwkd4scPx6aV=Rt`b)8U|5AS1U;5MeFLk#4 zOUvH=p|azD$b04=n%MUbo%HxaT2(dF`Fss6Tv|hShS!jNlNyTFs-bPyf79EIzsWD* zH;q?*(?OHp^ySen3flLJCZ_+Q{P17&+4dI&y!%OUCw|iInLp`O-=E~-`I81${h*cS zf6$GkKd5fZ4{F=w2W4pepu^Xz>BGiqa!IJBeo8gXGpVMtkG@mY-tXj-{+$Mgf2Reu z-$}l$qA$m*$aQ8F_3m3mQ$4CEud<TL&Q+4}(n@MQypl!)Rnp>r->9JE8@<`^jm+b} zQHbgr#TtL3d8J?J$eyoMn);QrJAWl_o3GUE%@>+<><g{T{X(ZAzEGLl7y9@0Gr1Oi zrgn=zQ*88S%5D6aw*9T3^Vcfq`ML`FH?D#lLn<iPsDk=E_(YR-eWLj(pJ-QyPgL08 z6P3O!r^>_SWSmn@?!C(?#HE~ifBs0L#7D|p@R61b`A9qbKhmk+AL!bZ5A<a92l_Jl z1L?H-K$Zp{$o=knYPS77wM~3az1zO0==$$z{IhqImiLZkWWS>&-QUrA$9J^r<6Am( z`YoNA^Oi0QcuUv3-_o7xH}v@88+x()4ZV+jLlsTmP^I=8`g!9u{n_-Iv?jbJ9ePdr zrmxBH@hhsc?-d!P^Itgs-}V(5zI{ms$6u1(jF+Sx`I2hgUefQcFR1$L3;Md~1(ip= zpf>?8=-Hp=^sx9j-CX;eu8e(7g{_~{NyF!K@ZK}pzT+9KNqR;L+dZQki)WPl{3(se ze@cVZPpNy4r$h~(QegQLayj#a%;!F#e*>OSh4&MBTwO+2FP71X<z=)zwu}}xEu#$W zG8%Q`G4<Z`m_idClZWz{OidnBb?GB2+w+Jnraq$mogUFr>qnIOx|D_<Eu}WoOUbKG zDH*wzQu*hHbX`28Lkk|#vLO#C$^Rim{(eBsuRI{j)eq>~s0Vbj#RJOIdqDGV->0!# z?^B0K_sKQvKK-e8pYE64qeJ`e(Y%a%G@{ErQta-L)w{d&>G)l`Fyk(*i@Zyd-0$-8 zpm)fj@D6=hbcZfP-J#V1cPQ@9ZBmMFliAwa^nA>1I^60u<rv(iK6h`C+xA=Zb@DBe zZEn#5^IJ6d=}q!Ec$2C!Z_?SWH))>zP3rgl2DzTRK_6${prd_nP?pCH>QH%|OwV1X zyNj>W`snKv)A&01{4JqR#U*rjZ3#^oTSB3&OQ_bMgbMHeN7J|eM;#LXBg3};(Pi`h zX!g@<)cN2ws*`n%in?B-8TQwx-TPwFK3Pm+W-+DrEvA+p#q{mlRXR|3l}0bVO0LmY z=~2K{TK?w>buYd``fIOH!I&$Q)anZP8C;=fcP`V)ZI`L*<jbVh<}w{MyG&!A6p_P$ zA}Yx!qG?@<sHt5My?T3zRvf=X9cEmj?-7@1hubBJ_<E6a&R(Sag%>Gm=tVMabdgT{ zzCf{8E|A&k3v_za1sd6c|F3s}PTe|BF<Z`)@x=3VgwE4o)AOYJ_#Exsdyaagouleb z=V+bvIcoE|kX|1tq&Yc-6wtenZa5cGV#Qgq(wwCe^Ul(ML1*cg&skdgLsIZ1Ne`Dx zN{y9d(^S$iElGW@3;Mi4(ERa&d_o0XFcLKEfrftX)X<7#4F$H>&}9n^4S!xhzw-)c zX?6kmbuXYohXNY#;S5!rJVUv&&X9e-GnD6XhT2u0ru&7bDQ@v;s*O5LO9D=l`=3*E z?CL4%xaJhyAAO3(v^+&W^-j^O+b79p>q*)%@gz0FNh&lwNj)E*pvQYp(3rFnRMqJO zO|?Ej#;=dl$|J|gCFeNp?RA`*IUlEjPsgZ3!7=)8?lFoSc#Ix<AETJ+qxAm5QJS#q zC{+zVN@+nyskZhA<@|Sq4Avi^IpdCyN$?R`Xn2Io?;WP4+Yggv;$d3e<}fud=i`$@ zWO?8aEzLMY^}8IRMYe~?^ld)PJ(f>~x%rgaC!e%j^C{zV9#w1dXwv*V`Zy?$M*8N_ z(;o+Ez@>w9bNN9Ek3C3*O%76Pt%H<Ta)3NH9H8}a2dI9?0h(3k0M*>vPZM|Sr&o#l zX+YckRBXPV=;=PnKd_J7GWXH4F8fH|ZXYGT-Aiwd?WKOXd+B1Iz0}-wFKzq0hw6(x zq@KTr$_MSCfxdg_(vRKL^wMtHxO_Jm#qOr0CcEkBzg-kwvWt$a-$jmbyJ$x6E~+rx zMg8vWB(Z%bc_!|p`E7PmrP)pz_+$sk{X57bV+YL%-$4~NJE+f_?R5O;cCwqkoiclG zr>D-_sZGT;+E%cQ^yY1&kps8UCGTzIRlSvFUf4>nmu{u@!?)75z^$ZRyM>~!Z6VFt zEo47-3#GK$Lbvs|P~e@-G<WM}dOdM7g<&(THQh{Ak2X<{y_;xP>L$|aw21~<ZK6Xj zH&UI$8)?|ojdY^NMlx-<kzzk?pi`$dklE}FG@{=I&f6Qvv~oQSD_l=U7p*75sP#0c z(R$kddmYtYUPrxFt)nd?*U`6T>!_{HI$CyPExp*dmYT+|rD>sS>AKNca(b|a#_wE1 zr;^r?LAx~+QGX3>e!7~<53HtEnX74fm(^5ayP9m@uA-P@t7!l9RaD)374f7anq9Gy zZWOE}+j%Q#*ua&v%X=kNd|yE=F07!8r7Ng#*a|WTTtQv`E~mxC%jxc#<zzQzISpyK zoHpw%r<b>uk>{3WG-kpw+N&(1kH*WWQRz~O-@TL$rYxn3_DiX;CEtF&g!bnxp^sTh z$gkTH8e_kNcD!3mFOM%Kml=y`NW@}V<GPsceqKc8Vi9$nzldfIT116Di>Ri0A+@-; zkjKM9+C6+BJr7(+cC`zr_q7EyXYB$i9J7Fawp>7g`U_~}?fJBB%Y3>yVLlnsd{UXr zr%9#rXvgk(^e|-}nRb{*VV3hK@%dcZnKzdnX3ZtzZgWXxKbI2T&7n=l=Mc}GA+3lx z6y!RGhJBt*i#4-J&YMl22F@l&pV`!<dKRT#m_<95&Z1kxX3@XKv#8PEnKYnyCgrY~ zNqM7Z(xVnLNndX!1>KrKgEr5g+=Ll)P&I?@*PTIH4|B<PS1$EV&ZV?=xwN@{E?s^) zojxC!PS%;zN!4XK#n?`#*>7^_z|kDKm6Jn1dgYL#a}I@lnnokeOru$IrqRCs)2PIA z8hxppN|xuQQj5h?sbADoN^LZintWGN;u19-4Nz0nm27H0Dw`5@vgz=~EczUhMFICS zX>4L9?J&!v=le3qv2zCXdzDU$rl!+n$8^#@l}5pR(`e$?RNA#5mCAfm$>P!!>J&4D zGHO#OZ*2;_XqiF{ZYNX63CT3YIGJ|uN}>ntlF0B`BDKs+q>;9XwDjm?lD#HVdHE!= zoimBrc}}8)!iltc=tMgAYXW^-F@YL1oj|IR1d1J(KywWeD1U1_-KBV{DIHJFQ^r#} z%keZSFOKGPjiY^U$I*Y&$5DmzII34LmKqNjOFgQ_Q2gRCG_TPZ+H-j{T^cc(UTBS` z+V!KzK6n(hxI2=1P8vyLO-EABo)NUJ!w5R^JeG>HV(EolEd4wdL-qQ^kVnOE3Z6Th zx_b?$;pc`?Qq(Y-^*fr@tc<38O`}<#M$xr#QS{g#ipsYRr5YMaMvsP&&6FYJVL61F z<P9dJ>tG6hJBT8u529%2K{WQvKuYXCkTNR=(2PX`Xo3F#T2a)W*2ngzt^fMb?sfg> zV5@#~<aS>=F`+M=G44xZS0oj-i=+!rBj{2_1Qppt(B&h2=yFf~``DW<&+1J@?!D=v z>_z7X_oA~udXi>YPdXLYla3YlphKg3&_3NBv~yE;+8o-Q*4*z#OB1`%T(fSJv#%?q zcj`)$UUs3;>Mk_Yp$qjn5l)>V!b$bHGX>4-Ozz&D$@+XJGK%g*HNQL3r<EP)Nz;y0 zQqqCMxDIsCpaX5#+MY*OdrB{DM`KdjQD2L8)b?Oo3hdIB9Nx4cgPb<>wP722d@77C z^$nxEub|ZnK+}9dV=of*9!}KyuR_ji6w+^@(8n7px;b7&$LpwQ?e<X04hyB2$05`q zHH3T`gizi5U@Gq#OeJqyQ{ME}w8*(NO*qqvdiHNcjVoJ{>7thO(XS<4xzvJo#<Za6 zwasbR+UBHc*_>=|HKWS-W>jL-jCSv6N;z$s(vY$y)FQ13nOZfWH~B%N=@vvQ-UZV5 z+(2sU5=gcMjj5u4V>(|MK&ux8P`rNtwJB;u4PqP7yIOxbz1E)=wDhOwTYeOj;78hZ z{pi*XU)tKnmnN6_P}?*gs&C~(&+@(LU^j2deCI{sxn5-L;zcjdcv4<}Ps*tDpiYZC z$im-)9$#{&T`}%7sn(4`*1C~yOE<c9)0LLTyV4LNSMu25LLb9i=<s7_N=<bpYT!(I z`A&4Ds}n7J+mIrrHzXV9hE#gmkv8^oq~YHj$bF#$z43LRT^H?X>~MSX`)fxZSKHCP z=5`c!!<PKV+tLR^TiUbDhDP&BX<m=4>1B#FZLzSXsDoDI(8Y@GzivRwrZu3R4I5D1 zQ<iiw(vs9)EGT5Y1yy-l(1G*yX;gH5a;`C_2P@54|C>{%5;OWY){Ktpn^F9hdgQLu zqlXVnX;G3XwKq4VU;9kxU?&rbd1*}6YGb-;Z%kRo>rzmky7cms5v`bGM4dd1=-1gg zw0B4y8vN6cOqLr`L7*XxFE${@(FSx)$AB_6>XUDXK0UarM>8ksQFBv0dcIqi7Pi-= z&}TaIE<=Zw+vrf(5pDX|Lz`B8(4w|8wW!=xivlwKDbo(uDtF)iRlHsQD(M6MD8-9v z6vv|9N__1vrJ%(x#U%cxGHCk`W%r|MrP`ueY1`$yvS?bBQhKsd@%-{lndtpZIUV&? z(OvmP>Gt1eWx3vG<#A|*;-2_P8N0V!$$S1$sj~g31o!%&WX*c76pD9>UiDk0W58Qw z_J}vim36O`y0>2`UFyD4=C*mMTuFVQ)X9IYbbR|vneOyVIotQC@^}6dCHO*_l2r3p z$!q#pc|Z1%;=ZL+8S>zvvcl}4@?XaXihlNeMRn|+l30FM+2ej!c{=Eh(qQRrrSp|r zN~YE=CBM~8<z>PR#d_y;rE^({lGdO^+1vF$<x$Qx#rSlw68iP3GS26!vO4;TQnd22 zQuSYv;;LVy^iW+=(i1N#JNI5tZazP+{INZ+c=bA`^qN(uq>Ho4w(n9YZX}h;SfMzq z(<p6k6)0nj3Y0}*XOyE;PAjDcPbsypPb%&WPb!@vPblN&9ak2dJEr9SI;z|XI;vES zKB8D{I;^z3cSz}PdPtelK3`dxk*6F#d{DXb{(w^HazLp+V87CM(LSZ?rM=3Szk8J2 z=6jUQal4g*t-F-_4|ghG&37s$op&gn>g@`SZ&L<*+Nw<Q*s9DKyhYivbhC2u$|mK8 z)+XgmtBuOvgbj-2&h?5<**ZmOuukdIb*(ZwXN{6^YPGWH%PM87_bTOB)Jo;@iWN%f zwdG2=?sBCzWSL?%d8y*EXNeN@Y_Sq%vsmfXW05j!#zJL6!2%__a=tR(Z@#j2_&jCz z>bc7C>vNRz26L3_%53Fv(k$iOzL`qZiy6v4yBUgc?_9-d_H@NX<|uyE)0E}`(-ayp zRaw|lt!&<&r5v)zRL)IJS8i3LDldjiQNCPFR{ph4QcQPER_v`NDPGejC{4e{D-=Cm z3IA`L5)nF98M<e*GRkI@k}zY0GNm#`$sRsjnQ=W@nXg1COZE*>R@n_!*3TNKZ2sO~ z*&f?Z*>y8g*~`B)?my65Iq1+!$(z$d$^X$!$sgHO$-f=0<hALn9L(#e>~GjX**mYD zvinyXWyk0+Wy@VsHndZeHHSi#<<23>!Ue6BIW;YnoUtvG%=^uh<PJ@h_#;8eNY_AR z=%N56@~^+rHO^0I^Uz0W)yZ3Fbj(w6bN5iJm$)g$|6G)R<DHdnr45xgogI}2$L*D3 z4?9J()JDnEvQ{=HG*Fg2wor1q)K?~-G*e={>M4Den<#B`jFq5?MvBuDL&db4fl_ly zPkHC9tK3_mt(@1@Qlek}QMH!8ROaidRc})&RkF_))r!WSRB?4bsKVa7QCXdPsd~TR znM#vZrdr&$R29?YzN&@k9hJfR8>;&k{!{JVd{vd2S)}ST;DXAgd7<jHnNS`5cv>~B z=!B~0wxcQs^&!=}K?hYwTkca$wb-rdTCrVaeRYfK*^Z5>z0=mIl7_BUDZwjL23AW| z*S{=Ot-3Z(6}@}5$}4w<sv<f^HFiq2s=9HiYWkZ=DzlAos&#!ws=Q32RrwbNs6sOP zsLnU*rt0>wgX->9sD=&-R=uj<Oci&fk?Px2FI8%57gg<NJ5}y3OO;WyscNCMp~|8} zOBG=JJ+wvoyHM5pN1^QoTn`N|Iv3ir<?+zS9eY9tHrNn4bo%1Zm~YcVi_ay5-rC$Z z^kKFt^yv`y(AOb#L+><s5%T0yUdYXejF4Bw)*+9~!h^N6rb3JV)%;WAp;`Fpaz5TQ zyK?#tA0HK4pE<<GOJ?C`X7F)OM8=sOd@L+pd8Qs8cYn$|bD>s^*=ASHOyuLRCNIud z@-Zl)=FGmoYGj1eEePi0fMV+c!E<7RhPW35^HJwhV8PxRHLhi;3hMK5alP<@gx_j( z+tjz9@Ru6@0%Hn{`MCFNLP3|GYIN<LQ84v~8sEN5FW6tLM(g0Y1ts6rNT0U2;7yep z$FHm`sH#+>y#9uQpWoDQ9JH;V@~avhx9%x;`9+PfAMy&WepX{<)8hrZDtK;gdO<<@ zCqAEZ=L*`DtC3&#N`cNtHS+pgFF5&Ljh$=n7R0_&WBH3m1^RFKbNpTuY<SJ*G5KAA z|0^{bovtXzd!fcp?e7J?&($~`UQ@8<shamz*3xJ_;qxii)eL#eb9~JWHF>3K1U9d$ z`T0PN>i(vhR`=C7nPILOe^-s<O_rKfxB30fTWe0;RHN*To#y6sZqK?6HBbIiBcQR1 z=0&lZ=Q_G;9$!&oM6#FWS`oj`YG2Lai)!Q)G}0_OujVzJ0yV=6)tI5%Oyeij_~X@5 z^S(fh@m+#78&C7~j8|#GPpZ*s2{a#$sj=i}TTRXpHD2BCpfNkd*QKVjX4yeC5}mqf zO!sp;wCSnI+M~vc5q&f-cdAiuc3)mhMvW%>256RS;p<c~SW~)Djp(mY8r${Uz71kD z-PfowuGL6Q>PkNEL1Q#)mZ{M@D^7E0F<-CE2^z6L4Xg8$H0S23xqd%Mb7qzrN9v?% z_T{RP<e#BgGVTBKqO&#e+5EjvPSu2Es3F30G<8zdsQYNTrYK2`KI3O-GA8kL`8!kN zm7vD0g|jt9<M?rxxti$FYN!s))0Ff4>TzusXeJEf^Sr%K^L~h$*V9|988}dl8{d~` zWM4J!Gqg<O&_|75HY+soJ=D<Ovr==s3%^guYE4x~J{GUh__pKwhpf{?f}dBuUXvWk zuglt~ncqr{>-9Ek);8mQvuTTFL!cV-gSKf_`KvL!aJy!fH@9b>otk)e?jJ99X~Lbk ze<beFI6A12qPI`;%9_t}#eU5;3m$Kt2Q>rgsWJ6<o<_H>8m^rVX}0UDapwMEjY?aM z9%GJbuKdYH>Ca=D?mx2;J?Dg`s4^R6cBeG0K4&9x?`h4357{^$QlR<uIvb6OHJWZb zk9@&ksaf$j8*e_I)!ezCjo{348l&6Um~L`G)4U`bm)2j@^u3Y|oq!@u`~{wSuDPtq zkUZDC`&EtlbT(#|6>HLX9{RTU|1@LsvvJ~YiKf@SY+P7yLldww8<!j2(r9hT<~2fZ zYp$%z#wq2lX7<W#?D+4VMp=@LIYS?4-p|X%h))kS^JZqFRn{Yo`!xPM(=yGW41S;W zPc$u3vXRy3nI?Z?Hn08kT;m#-jeA{RYG#kj=6MpYG_RwxVG{R7(|S-g*8YC0$%)K{ z^W68E!XA9v?xW@h&xtqPQ?7Au$IowFq3Nh(<9^X+O;js>zy4n}@lCRk`R1EuvVS(O zRa&Kq_sm9(UbQC5ncHdk4^2lqZa?>58V}2CBp&{)`C*ccS#ADk&KdB0`x}2XIa=9R z9{o?#`gayOOwkgrE3;5Q+G6&nEHpOI5w35uu<kz{ap+kVbXMq!mZe!3IYdt!zMX}0 z?)t*xzbrU>)EDzFWg+3Pf%qu-^=XD8>{J%?+td+r4`-os9V2mVUlwLwHxjx#vLIL1 z6+s)bP&w3CM6Sw$tEY)bSe%6}<t8F~P8Q;in2H%WS(ukzPvm4|VS5`hk(88$6Lri* zRD2fCfiM@!s4QGtSzlO2XQ5=Mg?Q4RYXLkg#pYgFDEw$C28L(h@Zko+kZS}srdf&o zAz7FnW-U53%R;Q7jd<Xn1*ODB4D-lB{S~&N%rOhk2HT0=R$18XW-kg%vk>>*UN{<L z!8_kUB-Uo)Ns6O5Tb+r8N<*RhnQIF4oP_FaCd#fliSbV}k+H;CEWMuz%K<Lp;Pp)G zaB>xAi!u@P+ErZPnuO!~+{EP*nOs-xE;M;uqtMzz?AetGmwz5&?xsx4zvwAqR%PPb zJTK8?Q6|@@dW-KfGqKm!N91Q`;?ENwF+3#`op<<(ItiIr5a%a$j?BchCjKI1XeRW2 z`il#ZnP@2*iT2$xF+4XwoN1TI^E4X^pU_NfH4hZ?nsV*Ky+HBWHxpOZ2Z`pcnY@l{ z6OnG4i90?`#R;=aT(4*<-Wz1{`nb)6MQsKSr#BZ(zGv|Kp%$W3c?R#F)>1^g%s{Ve zEk)nb47e?ACA#0tKzaYxBJ^?w@*4&V7ny<aFN4LOV;OMW6C(cGpMmQMp<)HsS)?>q ziHNlsu==eMhD$PVKq}(!tPIc$5)s)MDCz?7At?jl=3yc=E&~_thKWC1lhJBj8<8>~ z1KWnR6>ocFz|gCm=+PkqBR;kh`$D;1Bfq_<ZNm4bbP%0=xSk`lqnPcKfq7b;glLd~ za~C^_k99Nfb#7--Pdfv)y~2ghk94%Q>LOZwN=MJqE<$;kj_6HYMaaW+j2_WV1YGB% zZ+BsRF&)Dyx{L3p)6wfl4^f=YuS@MIR_#oOozhDT<a&^=+P#Iv(sUGF>MaUqrDM*# zK4Mf>I?oS^5ITwJy#G<8SUx5lhaN@>$Eb7+*w|OBi%7@Mn0~@MJROU?`-@CUN5k^| zqO4guw&xEJEq&ABmON18I;CS(@E~!;G9CK0gM^+@I>wzFELzs4;nK_@BC0YC_FadH z%y(%RX%;1xl%-+!?I^MSRvKQciWVD+(qK7cm{?Je29?WjG5rwNp}ZO{#_UW(((V}1 zZe1FtkB=1%7IQsH&<OE3Hw`nZMu@d(X-GdgQuIhj!>G(r;#W)>I){xGYx}3cO>c~7 z+AR&0MPtO-ur!>WH&(Q7k%qLM<An50L!f1x2y#lp<9l&pwM81QA2VK58}RpxiWgnD z=4GF2f>`l6mDlk}5cgiD;_&VXqTYj4_>7+@La(J_dEg{5>})E2e48XPj;5mb@yTNT z?o@0^OBBo2r{bL|Ni12M3NNi>F*7$6gU%<5NvWxrF*8N<8K27QkxvnR!%`tkQpL}R zR9w5BDhfKK;>OZ6F(s61YWk)N-@sI^m&y<~-MIGVQHGdcor;`|nL@8_D*6r25{v#$ zfs04BF#kFQ&);N=*{`Nx^&YkOaeoTjT22*1il-oH)l_jpOu^ZoQ-#stDX24On&`b_ z3c8$`CKj)rg4vEa;^I7hJS#_h&7Oj)Cpp4$GQU1-y6_)4g=?^;i;w|RFi|I0gms;Q zMX|X;MN_c%Lau1sWD2C`3}NFj1tl|Qh-z!D?|C~zTr--&d)LeqYyPC*>7JQl@aGhi z)}1A+UZmhg+$?e7P6`UI%@T1%DL4=?TNs{B!Lo(3#oGNTNcucmcx*~RuU>P+_9ZFs zIy6T(<fh<9{kdXx3fBouo-4}7q+sstxuWCX6m)GqPps;m0>c&a#1n80(f4`6p=k;d z`pp-;JW^nPV!lYXPQh851!8@j6bw&YAddV_#-9fZ#JTchEDc^LE<H(x+uDWV+>K-$ z_`OgZIhV|9MK2QTk0#^rnMERFXEGW&E*8C3Cu2+IV&OQKYmLelizgY$NK=-GRq@Fv z+pt7*;u<7X?Go{+S2FJ@uvE-xn~b+&sc6_d87-WbiJhLw$Wkv8-ZsgQPnU@eb&^qo z<-+)P60aq;Tuk_wgcz+A;>zPBEEu*z*q0>X$k`PlMkaCH(Mqv7KMCbiSBkq^lA!f` zrO;ZIgnDgO3AfxN*lbxPLXwkUr@dN)k4l2|@YSMc|0G`9f3@h^ISI8cYXk)+;r-M# z!kcTJN}jC|h7L(M5Vls787E=p=Cxw)pF|AQS|<`dCBl2yI^pvq5fyTscvzB%Jm>Xd znoPtv^?Ko)p9q(y>&4N{iMUQ11TUJ5)QuZN;j~0J)NT;LlM``r=ti+SCJ{X~8%4c{ zL_BreB;wlf>oYeA(Tv~s(I#Q&k%;)v&7xC-M7&<RS!C-aqW|yBVn5ebog27C+;}w^ zKBu;bk9Q_xmhD#Y=fY$>o3d5t9pjp-`&&6*PlmeXHt}=$WL#XaO}xsT4E^uhL{ZXY zAac9dJYq5?AK5M@Moz{iiyfj>dw$-e9ipn)WW2tyL+o~+%sywQ=wmq<7K?U@DxJx2 zuGlH&f13o)?z@Ej3qJ1OB{toh1ZU&j!l7^yEXM5?3-c%OzTLaUk4=;C+Ix@azi1LJ z%-kamW>3O~*Ly@w{3J|hzgJ-BB!q6;E7H47g0{{+u|ISY<gk6>j^89qk^4lY-6Z%r z?H6^7CgD!ze$n9PM5I32FKpg&U028fQU4y-daXVnv@cGC>c;``{>VgJ>U&UJ+By;8 zM-Pg1OD5u?MV=Tnbs|D0<q4k&6S4bxo_IcVBFr1-izVGAV$%G4(JGW{$v)(ZJH8X) z6n;pIww;K$U5CUsgNex3KP(czPr&Ec!{X!13GhC5SPZ%~0Rvo)2+8$iIoU^qL*4}J zczi@8Y?y$;kfY+{ya~9s`l$GsHUY1zkBXo%6L??tV<M^_9}gcB)7wwL8}s90W77$E zkZ@e&yG+3OYsbZDu0Px5cS4;0lYrdWCq({-1Pp$2LTr4H0KfJpMb4!JeBE+V3_Y5F z!~af-z^w@wKlGINxhMfnXHJO|SqZpmds@WDB_JW?w6GtT0OLESh2WaC%}vgTfz1;T zxaf@d=$e4z<!3~qSpr&iDG=ZO#3OH4ff(~49<KTtarb^a=EZ14@WpuU-x{&(a6Gy+ z6ynvUcx+4;B49y0KHe8%e0n^BT1c^FbUY?3m7*jv9y>lu@vTif@40kVm;}b-@1C=w zp<_JPgcb@<qj<C$Q7AmB$D?y$p|F2B9uZFGgyD_x=$mm)d?*-?-Ve@+i+jeSeT(yA z<w~xBTY6qZO&<@d&*z2H#PRsn^@6y=wQ`qtUl3En$77}bMd8tMJch(v6w+-x9OOmO z%WOPuIb0GCYvPcTdPxj;7YE<Fmqf{(I9zQ~Bti@05VNpIY(Bs>bsvgE&6+r5cDgLO z&5Xm}ZI{K0$#IyXbw%8bio^RMSA<E|I7FPfB7$4R;h5D`5#=5S^T}65npqrTORkFf zHRE_MsbaC>?Kpg$$;aE{(CB5cm@mg+7_Nzoed921-8C_6)i~t+xF%H7$Kh(kf5L3S zI6TSwPdpqn4j+t5#M+MIP%*kh^l8es&zA^oCw|=dy4YpJ&(F9n+I=63gZHnC`_IN= zZnGOA=Gs_9ExI9Iofr$hk2l27ZDUc<@us-8a4hz2y(yZd^W(KQ#S*URGa7VDyy-O- z2aeqmO;udmSO2z1@*ayv<8O<D7F_pt`L=lacMLwb-w}rI$6$o|j_|rO2KP(v2$dXz zHZAXp@V#TOeaT(XZ3Wi=mfsbfrj9|+&i6!c9M=PGyC>ZHjX`PcJ)zrX3@iuT7p4AV z(C*lMvD<nK;>;h2c<nKm7xzH;mXF4^OAkcZz0o-A`cTX&9F0?%4~6gk(KvDcp(tEA z8V8z|ir&*kW8H#M@gQzAroJl`efy2Z&~}f+)v(cMvhk5<=|38Oe?AgxtVW|S;<2dw zHwu{tABzqjMxn7$nOJaV6duHuiECmM(nOii-7^Xnc27jmvQgNY_(b&08U??SCt}j* zQP|`2ROI#^1?TBc#lp~0SWxy<Eb<(MZ>^q**=D2AbLlfN<=04TD}N@UUXJ8;el9}) z8;PJT&xJYHB95zhE*@_liFJKnh|P0H;zHgFF^KCDUmCp>#!(~jJNBhG%JqqL#7hy$ zHH!6YUx|-gr&xFLE0M;vinYbBL@n1VzW01BGPq{3Sp8a5aNXkehp)vzu3b!S_C}oH z`bE`(H=+U8FxI?zBSvx^<Dsy(Vt>yO7`pbY_}F>`w5#3<SGN&Z-~FBFVLSqjcfAvd zRk1js^<FG2i$$wJ@5Po&u{e0-z1Wu*3rEurV*lz`OdIt<?3fnIYr=gHE5^kl$nK-a zh=@h{<d0&IDi&voKZ-!lSX6qHi$A8ZaLq1fKNW*c_sd1b(-__Z{gVi|9D|fOpTzzA z7)*WfNu;ic!L-l{VV)C%wB;3I^SBs{{!}5nB4W_3<7crwGzLDKJ_~z~7}WguEM}U- z;9{>Y;zQMN%-HiqbSN7RmCjeO`r>eW81z*<KQJ5%k9-wwD~H3^<eM0rJsf97d=qm= z4d=bAzKKIUhU1)7rMS^@IM>Bgiq}rVvHemd$8oN`bgmNB6~i!mN|pF_Zx{|;uM)4t zF#PoXF0St!h89!5i~NPdFy_H`F(+jhmIYOdK~ckSWOlW1?Klj@&#J|<fMIyh`iEF) zH4Kjz{}Ao}M&tgwAL9M1Xj~5aDP~@aM*ixb!tQ7^7Jm6DcC3p=Oy^(1dwMhiHvbY^ z#zmvz$1h>ghwC+a{uUX*(TLdfTRd`!#*f<HqFJ42EbUh#rhSfr+rb)f`Cb(E>i-e? zA`0F^|A>$sQCN5Mj~G2a3VJ4g#k|BQjEwy&b`FZdnbUv8sWwqCw5S#5eWK81Y^}Ix z7KQ0&YlWyDio-VlL|z%!Y9{;>t1b*h<;8y@W#3Tb)YFpPmkxzNh?aCn8;ThtwdC{Y zq4>90OK$8q6iEeIG9q9oUcJ?l|15{1r=_;s_In79k+!7gLtrybTiz@h!h7dv%fSbS z;ObdzS+;x#9Lu$3zYMPZw9%18!-wEdTOAq5b)Z%8I&xv-A!xcrN4{w=1aTL1Wb>NA z*z{RPravEy5_?^FvS=`VcF>id4h%-aNxIU0`CusPbtR<_M*kvRIcV5m#DCM32^|L` zyP=*;Ycv>hI_t>{i@{iws3((u4#JX+dUEuWL0E7_PxiVn2)R{yvdP{-NOsnjMvDg_ zri;FOm^=twlJ({0!Gn0O9eo+yW)O2VeQC}0q%Xef%L^uhaLmO(j{7zcIb98;;e&zb znqnZ=YX+j;76a+KZ6N+DHjoFn#&l*i-%c2a5LZLFK4Ku=bv2ZAf(K%0ilH3mG!QMf z7|M&f197+5P+GqqfC<%xa#+a#=(^UCTaFCC(yn!6>FNP+OsOM{vj<?y<~p)j?12Bz zgO(AU2VmXzI&xgV0GPWN$ux@rybq(1ocg0b&$Ty_>c{=jW0R3gF6@tkSBzxr&i*i} zGLoI=^+%LbUFkKkKkva%SJp)K$Ir=iWl?Z{C>!d^`A+?jRa94Y*6q*xY4hW6`=Q*y zSnj&k4~`v;WtT(!&~c)%e7&L{;?^3=)bxIscfnZdMEApv3S*hut{+a@n#fx3ez?-s zL{2p6huh;#<ijt0aet+W47tn4vnF!cslK@J!9>2~+SZE=Ol6~-zBodra{Q>i*f7Ra zZtv0;)0UdbJB|Ayy1-P{Sa1#O8&hfjBN8U&^<<MrkthwWC&OeUw#L?zowh|{<bry# z!>mZSpQtB8<0A3sSv~33GZG8ynn|-}kqB*WCO=t6;>A!idH#0<a%Y*z#ZMyOddN)n zJr{wKrDoECYhuIo%w^FWu7M3Omr3yvygsnGwCx>%$5YMavE~uHFS@x5w~fGmx6S3< znm$nen#+Mt`(T$>eR=m>ADHy2FT;2CL0npWd2~)6T-;h;+Q#>R-L?8Mu~#3A{$5{R zYSst&P8PDhO&?TtvXGI#dn0I)g<MqD8)Md5$a80V^V-Q4^3%57C@Qy*=CgXE!pc(m zjq43FSW2aPZ}^R|l%0ZlBW#JK>|)s)y-r)o_FP*#_@$+6^{^MBO&Unof?kMf(Lm~M z>V*MO4P@E0Ug$cjfy^7x3&HsfWLl?QaDCW7hWhn_o{p8QHtB_DepYh#=bktbVI}+A z>WSHzR<i17Pekspk_%S#gk6c1bWZPyht*bc&yb#2=4>tf!F9Qvt>q5ao~WK^Ep7CA zV$&LHIgRUa+nlqOuP*n%lMmLC_VqxDrHx#?pa%?9HnMa=51#XGBOUwnfb9Ys*{^vI ztUhKV=UDfE#S<HO<Y#xxGO(3*OS|KHV_W&9pgV^4x0O1Zy5p?cR+>)hj)uE!rFm?3 zB;K%<bvty&g`c+auUB`Nx!TEhb-JT(xScHi&<)Ec*~y*PxK4MColHB}4O-{yWCyO} zZT!Jb)|uQ5eJ$+eg@|sL5@Ih?TXe&+7<=h%(+#`l+RLJ!UAgwkUdEPo#ko>@`SVOy zT+(rnxf{CTyq|+KQg_9fJ`Qrmu&!Ji;2?ju>54U59pq^Du25ffkk|COBKn(y^n23< zt?eD9nxU9UTSxid?k*@D>nJVfcEQ#qj<R=L7sQ@&l(V{Zf%9`mc|4#C?$&82AJ^-G z+@OZC=5sh24`?VYZieHgx}kJC9F7UQ8cN?~T=#pOk4fQJRozg!_6>)dlas99G90@) zILY7E;qZ!glBGX7W7BdcdE`N7SQI$P8K*iU`=yiYv9>c_*L9ZW8J*Fgsk6K~n4dS$ zS!Sv_qjIXV^lsQ0ZFckhe>-8$b!R#9NhcInJIi096AYYOWbUR;2<_k^>rCy0apPUM z9XeszG8g$ftP_r(c9El9JK@%I7kN#)6W-Txm3}We;#Z)noO-S!bo;x?lC2$KknJk1 zrgwzi4p$i&+YvRzu5w;Gt}XuND$lrg#C<zA`BJwd&V;#1-B%s3X0)4hywHKy8FG^W z+dHtXbd#-eJD}cUHyJ#l18(cM%VzC6V5zUW^z!I{uD#r4eLb!<PH~stU$)1d4es*h z`S$2}!Cmgy)*clf+~wry?J=vqhinzw9`>z0<oCAiu`|j;?sIF8Ml(F*Af5Kuzt2Nz zy=Vu|TOM+AVLPn;=^<NhX$L)LPkDK2JH&PHl)Z<wLvfs^yoGk~Sn4UeIk)5eYdxi? zZHo&}Jf-iGw!EI0mz=L@i!T0N^4<Ej$nEVVRheyZVv3iXGq^2YZt#*NA^f}xUeegU zE&M)s$+o}R@Sf}5a^izF=-1L)u0GKQF+;rNiIr_ICdXUeNao{iZ}~j34ThI^%MZ=k zAhOC^mUGQ=n7xmD`!x(+VLr0-Mi}%*`N)fTVR$mnNA6h|hWtZ5a%OxOGVlAyA>G2z z=C6<R^ACfTyRZCW6o!+XeP!M|U~Gb~99;xhE%%i+JAqTDeC63(p#M`}850Y9*7K8p z+5l7i{Ny4Rpl&Ze>F|%RFxgLTDkB)L<J$#<oU?v%$y&m<*M9O}Dq*m(zZ}Ii&LYTP zUTi^d=;tqaIu9mh_{)TE3gl*gdE$nGI+y(AuY(G@eDs&i7buuv-bjv)Q+R!!MsiuW zg13Vj$&=m+%%(Py_w*G6?r0>-U#bv(wUMkTRAK1nMpAdPihutLkh<9_Ob8B;e+Kh0 zDnM2QbN%!50Qt~Hg@L;RWWo1PwEr(auD%@#-){jj{!l0kZ5qqaMWJ{S+E{AGhvML{ z#<H+WC{kxMmT5kr2;JLQ`Wl4dM@eIO`(+3YR5s>39D>2Nfzo_a2y~S|xji!kJBA0! zCWAtNnSt^|s}S7Y8z@^?g<#nAK)L^0Fy2%K%7!<BG14|j&NvW^C#oR%c5X0w4G)rS z#{}cdj3Bw9Lol582Fd4KH=S7$B)zqQar;}499h;HZZ=Ki`qQlu7urM?uWF40(M@Dk zQfs`Q-b7mWY0Yu7i3|#Cjjq?4$aW^JG3iSa+3S5PENReG_Px{!ds{b^5!+g!U`SKh zWoj!FO>HVehPJ}B?M<b72-jF&ZYuR`TH$PYQ~9*ACGyOh$s;#fVs-OoQhlH$*TFZF z9p<(~-^^xGZ*)ueZf+*ev}=j#^UdUVmzF4a+e}*hZGjBq=JMpj7HA&WTt*ykf!BSS z%ePBgU{OkQnLMEdnyqavYr3|;bxm`b;nM;mpEsA4dM&_zlK&6BPx52n=SY4o_%)JW z3w{sD?*)EO$?pyR49TAb{!GcA4gL<2zYF*~N&ar&?<o1Zg1@um?+!i($>#z-C&}jq zK1a#t3O;Aa=MHWI$!!5{6Ul7@ZX?NU1#UCRZ3k{c$!!U4Q^{=$Zez)94Q_MEZ4bT% zlCK5$nn=Dj;A<rLT7j>b<ZB1MhLW!(_?k+-w%}_l`C5aox#VjP?gNth0=Q2|?i=7f zBDt@C`;6qi1MWkT`x3ZMN$y+VJ|?-Zf%}}~z6b7ulKUdKPfG5a;65t3uY&um<h~2; z!;<?lxKB&&+q~9&sN}v5?(>rSKJUvBDtRn`$Asju0Ujfg#|n7NNFF=jF(i2`fyb2O zu>~GulE)f&%t;=5;4vt9EP}_R<gp1Jqmsuec+5&3yWlY_c`Sp+wB)f39^;b7I(W=W z9{b=JAUPI*V}j(^0FDuoV+A;7NRA!g7$P~AfMbf}*aD6*l4A`x=17h`;20!17J*}u z<k$p`QIca7IA%$XUEmldIhKKAn&j99j&YJ>9XRGmj(y-5C^;5_W1{5P2#%4GV<k9d zN{*f27%DlIf@7-W*b0uZl4C76=1Pve;21197K3B5<k$?3(UM~|IA%+Z-QXB5IhON& za(vA32FG~Gu^t@rCC7en4v?G+z&Sy3ZUE;9$+-fYGgw!9fpduDTmsH1l5-0<$4JgK z;G82l_keSd<Xi;KNs@CDI7dm&Rp6W@Id_3`nB-gr&S{c!8#u>F&UN6NCpq_lbD-p0 z2+oO;b0auMO3szwoGCeXf^(?kTnf&ql5;CK$4bt%;G8Qt_kwe<<XjBS$&zz3I7ds) z)x4f-2g$h`oWmvOa&S(UoZG=UUUIGn=X}YzAFKf+YXPt(kgN^B8bPvF0BZ)x+5xN~ zBx?z<rjV>Hz#2oc)&Oe`$=U;~K_qJtuqKhLO~4vOvQ`0W7RlNLtYIW;8L+02tZl#= zN3zxdYaYqk2dsf4Yay^ElB|uu8cDKN0&6D8+6k<oBx@<Krjo3!z#2=k)&grT$=VC7 z!6a)juqKnN&A=K>vQ`6YHp$wJ*SZRqtmVL(PO`QGYdpzX53KnlYd>C#Ib5<91ZzUc z+7PS}C2K{nW|XWQ!5UJsmIP}`$=VXEF(qqFu;!GkJ;54OvK9qvQpwsBtWhOvRj_82 ztX;txR<f4mcIYZu+k!Q&WUUL<yppvqSOZJe!eC7-SsQ~jvSh6c*36Q%Ggw1Q*3w{2 zEm>QGHMV4}4c6R}wKrIUOV;9GO)goRGjHeTh1-EOyJYPS*6@<GJXq69*7jhH&vDZh ztobEtf3OFT>;=G{K(aRgdj!c|0qhwhdk3(GknAPEo<g#>0DBC{UIXkoBzq6A2a)VW zz@9|1HvxMT$zFxm<?JEZyMR56WG@5uG?Kjy*yBj{I$+Nu+53P!kYq0e_C%7s5!fS1 z_DW#SB-uNGJ(OfG1@=^uy%pGFN%mSu>(ooK_X2w`$zBZX$s~I-ut$^Z)xe%jvUdY} zILTfP?CB(XJFv%-?DfE&PqOy|dqBxv5bOyhdqc2Cl<XD3o>8)Q1baxyUJ~pnC3{P- z$CT_f!JbpH_XK-T$zBxfNhNzzut$~bRl%NBvUdf0Sjk=%>}e%?Td>EK>~+DOSF-oz zb>ku=dttCAmh6qe9$B(i276}7-WlwnC3|VGr<Uxk!5&+(*9LoT$=)06!6kcfuqT)7 z&A}dBvR4OtcFEoy?BOMQd0z7*QnI%Pdwj`WAME)hdw(zkkjw&jU9w2YYyiv%B(nlA zGmy*<zzjh$O8_$k$!r147$ma>*42F_vj;GPkjx^$OhPi705b~7tOCp|B(n=J!;s7} zz)V9j+W<2T$*cp+JS4LZFawdyLcmN!G8+Li63MIt%uFP+6EH)O%u>KiMKW6fGZx9L z1<YI|vllRfk<4PiOhz)B0W%uOtcLS&f643y%y1;L95B<7%yz(xM>6XHGat$92h4!v z|7+rz2}x!{U`8aF6@i(NWOl@BpbU`Al6a5C0g~Agm@!FaO<?9EnLU9Slw=kKW>S*b z6qr#-W>sKjC7E4;8J1+01!h{3*%p{_NoHMO<|UbZff<-&76xWwlGzxTkx6D{U}h$n zoq-veWR?bIYLeL+n6XJ_ZD8gmnZ1D-oMaXUW^$6*9GKBbW_4g@Cz;)W8J=X82WEPb z*&dkjNoIY#zsg|A><`QUC9^;<6O_yb!HiHcD+Dt`$?Oo!5GAujFjJJw7Qu{BGHV1g zN6G9F%pfJRNHCL>%qGE%QZlOqGfT<r63j3qvrI74l*~54j8ih}1T#;`>=VpDC9_a4 z6P3(H!HiTgD+M!C$?O!&P$jceFjJMxR*4y_WY$W|TqUztVg@Uj#S$}F$!wOG(Mo2u z#LQMQyCr70l36Y>)0ND2i5ahC)=SKMC9_{*1}vEc6Ek7SY?zo4OJ>Ey%vdrzCT7Tz zSu$k=M@weQ#Ee<~kGeMjkMV5(zh^R8Mg+0%jGZ8a*pj)=Q;^uV#J**ZgzO7RB$<{{ zl$I(=TeRq|r7cy|rBz$0+G(jOT1u1F)<-w~-*aZJi0Ape@9%xz|NnT8<2OvMd%5m) z?pf~h{C>VCV$Fn@vqJ2d5QA2TMH6Du3bARb|53C;teOzBR)}2_V%Q3?Y(h+1A+}A3 zaVx~S2{CVl*f${tt`G|+#KaY1<AfNwLaZFTZHz+foDf4-h@}%^>I$)SLX2G@)=r4I zE5zOjF?fYoJRv5p5Su5&=oMo1gqXcT?4A(ASBT{kV)_cPeL{?1A=Xcb`76Z!2{{0T zTmT^_ppY9N<OmdU1%#Y|LhgW&Lr};i5ON9%xdlRwK_S;b$T=wF9tb%Ig<J$7C!vs= zAmk_%autM}g+lIvki$^OWe{>23b_qJjzb~WLCAS1<UR;F5QSU_At$1c8zJOK6mlhm zoQXp2gpfl~$fXc+Dhjz3LXJft*Fwm-DCAxUIT(dp3?V0@keeaoXcTfagq)2+?uL-V zQOM;GaykmR9YT&rA=g95`6%Rm2st2yTo55Aq>vjT<cJh<MTDG@Lhgu=LsG~k5pqfj zxg|o5Ng>xn$T=zGo(MT8g<KRNC#8^^BIKwPa#e(!l|t@{ki$~QWf5{(3b`#pj!Ple zMaX$6<h}?wFoj$gAt$Dg8zbb%6mn&RoS8!IjF3Z9$fXf-Y6`hELXJ%#*G9;>DdgS= zIXHz}93dyCkeegq=oE5wgq)p1?v9YdQ^@5Ja(W86JwlF8A=gL9`6=Z72suE7Tp%GQ zsE`{Z<OmgVg@l};Lhg`|LsZBm5^{<PxkW;bQ6bkz$T=$H9tk-}{H{Dp$Vn>XCJ8x8 zg<K^eXQ`08q+ZjL6>^z`oTfr<laS+7$aNBOo(j27LJm|R7fQ&9D&$59IZ}mODIsU7 zkUJ&hP!)2igq*5EZk3Q@Rmimxa;^%wS3(X}As0)?$tvV#2{~Gv=i`K&twQdWki%8T z<q~qb3b|cEj#nYqOUU^u<bDY`V1-;TAt$Vm8z$t46>`OdoUuagn2<wO$R!hU$_lw< zLXKG>*G$McE99ODIcSAkG$ALgkeepts1<V6gq*cP?wXLpR>)-&a@q>HZ9<M)A=gdF zc`M|;2{~|uTsR>ou8<oi<j56r<%FEMLhhW9Ls!V96LRVbxphL0T_M*_$hj-z-U&H) zg<L!#C$Es3C*<fAa`l9qy+ZDuki%EV<r8xH3b}nkj$a|yPssTz<o;>OoKyuZ06`O= zpba2s1QfIa1kHegc7UKEP|y+(GzALU0)oasL2E$J94KfH2pR+hEdoK4prB14XcQE* z3Ixr9f_8zRVNlRA5Ht-6+6IEgK|$+4&^#z;9|#%<1uX<Y6QQ7uAZR2Mv=Ri(go1X0 zprKIEQV=v13fc;S#zH}BLC{<%XfFsF3<WI)L6f1N%^+wr6to%y&4z+@gP`G1&~gwo z9SYhG_)nxOXgvs;4+ZT9K?9<o1tDlc6tp1(jfjF)grFHw(2fu^B>2ABM$nWfXiEqh z69ugaL35&@Jt1gN6tpM=O^Sjxg`iPU(5etLD+<~bf`&yw%R<n!C}>*<8W#nv3qkXu zpnV}|U=*}4_#Dqv(8drnG74H5f@TKs_RRzhje?ejps7*N)(|u{3R)Y2=0-t#L(t$T zXmJRd90hF-L8GIf)gfqh6tp`84UdABhoI?E(Do2CJ_=eNg62m-`$NzGDQJNRnji&j z5J4lPpcNu$h7`0z1PzgbmWZG!QqUF=G)4+qBZB5gL3>2dASq~(2%01XZ4yDFq@YzI zXqFVTO9Ty*f|iM(X;RQO5j0K;S|@_$NkRKW&_F3@p$M8N1#J{TBc-5~B50-*v{M8P zm4cRvps7;ORuME-3R)|I=1M_(MbKa=Xt4;IECp>AL8GOh)goxN6tr6e4VQwJi=gRJ z&~_0tUJ6<-g62y>`$f=zDQLk6nlJ@z7(pYZpcNx%#uT(;1Pz&jmW-e&Q`;x6A!y7L zv}Od&nS%C=pg~j6q7gJ{3feSkx*5wmUM6VP6trsu4I8fSmk63R1#KHa<EEf>BWT_f zv~L6roPrjPpovq^#t}4f3R*dWW==snN6^qIXz9Sd<|=6G2pT&DtsOygr=YzfXz&!Y zcmz$Jf;Nw!(Noas5j1-W+C74XPeIE^(DW&2`v@981+5=J^QWNwBWM5>w15Onpn^6K zo;!I8T0w$lP(eFLjf3+Pw1fmrp@O!MpfOa?8WJ>z3fe=022nwaNYErIXcGw<MFp)Q zL9?i!T_k826|{^5O{0Rgk)Ux@&^i({j|$pHf(BAS3rWyKDrh4K8c7AMBtbK&pq(UW zC>6An1Wl!awvwQ+RM1)yG?xn6OM(VdL5oSyWGZMg2^viWttLUUsi55?XgC$LoCHm$ zg0_>O@l?=y5;UI*+E0Q8R6z?$(1a>zLkSvD1+6GSGpe8+C1^+$w4?-0se-nYpfOd@ zni4dp3ffbG230|eO3<V#Xj2IqRRygoL9?o$T_tE(6|}4bO{;>om7sA|(7F;duL{~% zf(BMW3ro<%DrjQ~8d(LcEI~7?pq-_Uch6MN($b{ESibuc1dXkF@ht~IbE}}eC1`LJ zw73LKu7Wm~pwU&(>Jl`&3ff(QhF3w$OVIQxXnP47Uj?l%LG!Di{UvCC6|}$vO|XJC zn4l3>&<YbY!wTAAf`(W@OH9xdD`<-e8e;{mF+p>zpgksNkQKDZ1WmGnHkqJNR?sRF zG|LLwWrBuTLCZ|gG%IME2^wbwtusOMte|}+XrL9e&;(7if;O6<kyg-36ExEb+G&D@ zT0u)q&{QjEs|gxw1+6tfbFHAgCTOq~wAchqwt_aBpwU*)Y7;cu3fgUghFd|)P0(~J zXuAm-Zw0M4LG!Jk{U&I@6|~?4O}K(KoS+d`iPffn;Vw|njuSNG3R-f4rd&Z=PSBVu zXw3<la|P`=L4&TKMJH&|6}0IDjk<zXouFA)(5@3S><U_Tf~H+T+fLB9D`?#bns){5 zJ3#}lpoJ%B;uW;<1dY6cR-T}lSJ2KAH1rBudV;22L0eDI*ehu537UHa?L9$*ub{;z zXz~@b`2>x=f>xiP*;ml+6Eyq^T7H72UqRbX(D*B8{Rx_X1?@k90Z?E82uy$i8$e(L z6j%WQGoZi@5EudlmVm$%D6j<t#z28JATS3C>;Zv6P+$=VOo9TNKwuOU(y|0*LD5_y zFboPT1A%E!U>gXGg97V7U>+3M2Lc13z(Nq12n9BRz(^>t5(H*Kft?^Q6bdW_fvHen zD+r8*0&78FE)>`ccwfv?U@-_xh60;GU^En14Fa>Fz-|y24h5D2J~TxNYzKkyP+&a> z%!dN|0so*P1r~(Bgeb5f1V%)G6(KMq3hW4hAyHsS2uz6rTS8z=6j&1ibE3eW5Ev8% z7KOm1D6lC6Mn!>DAuuZn><WQlQD9jJOp5~BLSS4JSQi5GqQJfo7#IZ>hQP!qurUNi zMuC+fFf$7541u9hU}*?UjRIRkU~Cjv8{AJ7DX=#L21kL#Auu@#Yz~3ZQDAil%#H%P zLtuCmSRMk?qrmnM7#{`Jhrs+Ous;L_NPz`{|5UL88$@7)6j&hwGo-){5f~x`mWaR< zDX>KZ#z=uRA}~h^>=A)MQecq?Op*edL|~K@SS13pq`)o_7$yaliNG`|uuTNUNr833 z_jR!X`$S-%6j&$%6Q#gL5f~{2R*Jw(DX>!nhDw2@A~017Y!!jAQedqJ%#{LrMPRTL zSS$jQrNCwp7%c@>i@<Ctuv-L%OT7^N&;Zk=z;+p6ycAe31I(8K`(?DOS)#y#8DPQ` z*f0Z(m;x(ifEiO@#|$uJ3M`ocrc8k?Gp6(?QDDssFlP$vnE?h(fkiXGq$#jz1{gI3 zR?PsjrogTlJtveXuxtjHHU+lL0OO{>x*1^J6xcTd44eWBXMl-QVB-uhatf@R0cK8t zoio7DDX??~m^uZv&H!Vlz}gvL?iAQN_zILLuy_WTJOwt-0HdeC>KS166xclj44(qa zXMpKbVEYWmjuHjd&zPl36xcrl44?uFXn+Y+U;_;>f(opl0cKEv9W=lYDzJnGm_oG} zAtNw`3ap_4=1_q>G{7J#u!shjL<Kg{0HdhDDjHxG71%`s45I?eXxPmT1-8)u<EX$o z8ekq3*hd2lqyh_RfQeLKBMmT;3aq5jsG&oFoixBuDzKCWm`Vk<(s;U!LxHt4z+5V@ zmj)P21s2l)lc~UF8elZlwc<5KUZ_KX-88^(DzKaem`=piRvBPC6<ALL%%=kTX@CJ$ zU_p(BkyvitGDD4aD6paim{A3G)cARlLxCkVz?3Smr3M&N1=iF6bE?3e8emWrSX2W{ zssfv8fKgRoRSht!3hb(3&37oUtOl4?1-8`y<Ep^A8cUyaD6p>v7+3`s*4X*9LxGJo zz{o1Fvc~Sk4h44B*s{c-z|tCEY8BX8BYy?<qer4Kbd>}7zc{1b%MJw=*8r2Nz~&lY zbQM@#1I(@hyK8{qRbY7yFue+FuTkY)hXU(sEPD^<^REF07+?h!*Z>o(zy=#&gjJ8F zY=9Y7V26!0JFwq_dKqAf71&}UKsgjxV*|{w0()$LK~`Xq4KT?HY_f6WfJ1>*HZB}; zD6q@M<--mIme~N)tiU!KV4M|LX9LW$0{d*NJn2wip$#z63T(6iMp}WDHtwHuD6rE8 z7-|KU+L&~~p}<xfV5}8bYh%^-xIPB{We0<;z+&6MWGk@Qb}-rsthOD@wgS6t2g9wv za@*stI~3S%I~Z>T*4qx|TY>$y_x;78z=GQgOC1Vqxc!YXhXN~Z2Q#j~j@!YIE3o8t zFy#ttxgCtT0&8x+d&i-`p4)%Ai|stQ#11B1flarAQCDEq?O@gw*me7$`wj(`-Cp?* z2kvv??4O|p>uyi~6US3^puPHE4h0t84kliKjkovu8?Vcx7WUm}!Oq*k&?~U?_IJ>N ztq-mFz@fm}hl06RVDCe}KnoT>wCY2L0-GN?3@upwP%!%n?0)DLv|#x|PoV|d9|Fc- zf%Omh3+=;)4!(!y4|slo=gW9b$Fnn@Hy(hM$Fnb<m%#Do;Q7zr4lc&?_FoR3g6C&{ zI=DWbQ^D)Md*6Y&1`e)^CxO$Sc+bJ<cO5+Q4xZrhQ*VKx2ag}}tAlHlVV%D?c*9Ms z=Z1r;{p{ea*T8uH<lrB#V!100F8u*4`6UM*y$FW>I|n~~9xVGe*xoq@N1Z`Uty5t5 zPr#qx7+C6~IEEuw=a=Yn5c{>?!LNPc;DXN`+<%XQEAMvjzMT%v`^3QwKX&lPAE7SS z2VltG2dBOX>v$V{`<o8b)B&IV8d&*t;Qn6$JH8s6{7Nw4%N^YMc?b7;&cR`e9Nc?> zgM;TeplNro=?Mp)D{}D00<iEi9Naty>&<X*eyW3Or8xMFMC^Z@gWsEic@rI|!{9)D zVh4whaPYDrs1r5-b;822&M*fj8Q}VRfpPEV;8%k1dUS-JKs$ITv~uvIX4qz92b=5T zSZX=g;g9wD;dp%<oMmya=fe_S^k)fI{=I~sxK+Y8e?hIV>m|J5N(tY-Si;@DE#ZvQ zCA{t!81Ta-d}V(L-}|hDAM7gOe?CT^4@&srCh*d4mhj5;C7igXgxjqu;j7EQLO)x= zp$kg*+?*1gUQ)t$^Go0bUBWlgN_ccK81c9g_McqBQ^tZDABpu0F5zksV9R@#a8yVM zPYo{NtUx^5Vz@b`HNw0)=;M#Rm9UHj%l=c${{JfG?Y|ZCm>b1><4Q5-eOJu)&J=U% zv10!A5ZL6s#k^uyG5@r+m^-{*42>2z>{r1)uP)}x%ZmB_qGGnpD`rmz>Y~lWIx@jQ zrxf#Bam8FXv6#a~74w53#k?iFm?u&(|JA*imv$=V#%+svovoPb*DvPz)r+~bQZWzo zC<c38#FuXuaWm9V8-1mSpEzH{ubwR8?T3qa|K1}0awmA;4~zJtcZ&F>^+lZjQV|bc zR>ZZQ0XICmh!+-uJI*fRb16lf6<frn@!*a}6tQ_g5$A9bpYLA8y#m2bw<_Xq8WnMa zTHu>27qP>mh}Zuyi$DEs79YJfi%(yi#V1bB;(bSE@%wvc@pC(7anhDq+~v(#d~eMx zesB3Kp0a2bd(N4~>k2SEa~AJRngvbGEZ!V7iyID_#l^j6p?35vZrO1br?i;G8|q`c z+AMzHHH#blUC2SV3)z0Xkd2Fl9DE9V?U#k@y{C|W*jmURyi>>puNHETm4$p`Q6Vp% zUC7<?3;9S|A&-wQ<nP86^4MX8e4uY32lguDX95fPVv9m<TfdN#s}}MaOCcY=U%+Lh z1#G=i!1c}*aFegVynbH5RX#4@f4x({XV(?*rsd#d7lOrg6rlD_0dGt$;K7p%_}WPD zwh;wv2?dYaseu1z0iL&B0Uz`$;AZ9m&bpV+AOD=scfJGndLo~P@6YG-9r^s!d-=Td zH88U)@_F&Xd@e4@=lJY==A?YCF(IGN56$P7!objW%jXAe!O%9!=MevV{?dYJ{{mZj zV<v}PoXPJTpUIW?&E(kaGx@!DX7cSdGr7a_GkMb7nLH<dCa;@5lRug=lXs7t$*SK> z-qvF#ZwLV6+IS|%`_JTH%S`_3-VFZaI{47@GobyQ!8`WM;K&bW@THA2IPt|9{KLW- z992AncV>Vcjhn$4qc9vXgCF#q!9Ci~;H1Vgcu}<({FZqJ@4A!6`+mgpY##4Al*e!F z%;QCy@;GU29(+FX_`z&U&&}h^q&#jo4#NZUcvNT}U+Iv?$xYB!%j41JJl=gfmm6Qr z<($*GtoG-!$M#$feG9efR_F5b&*bv^MY+5;EtkKV0&a77F7N9NE;J|?wF|KfYS)E( zg7dtW!$*G1;n`<$IPgFYAO9Gv<(oPD=ZiV~<boW2P>{pf({lL2gdC>9IlMeHhp)EJ z;kJ!(IKeN6SNxsL>X&T3cp;nb9m!_%r(h{JW%I+AQP*x!HlHoZ=B=sOykHV)-VH%b zJ9{>N-9DR38fJ6L%Gvl1%HmNsviRaRSsZ;Ri_dJ&;(n-e_vXqh)c4BbF*CAwZ6fB6 z%Hmr6vN)`37AG{%Vn_8Xe$JG|D}T-8<rgz~;a8bFV^=1Re<zc>tj=VQ1(|$sW+u-| z0^>M3lYi`s;Vzlnv{@#<S1pr+A7t=m)W2(aK7*e<l)+^mXK=*p8T`Tv8T`%c46dD( z!2_Z*IBRGIKOdUGZ??<eZFMtvrx)5g>HOvo>Advobk6!To%_F&&b3yh^SOEHyev1J z!{gGqY<M~^HqyC8`*hx1FP($E)A_wSX>9u;jprXt<7+$9*mx_A7p_R-ujZt&H7kvK zO-V!D+BANmXBw|)mBz2tNaNQZrt-R9Qu+CBQn_${Dv$p#l{>9NZM;RPtO_tKDV5tt zrSeHm<(v+wT&cdWf2rKy_H=&XB5L3rp3WH`Pv@iSr*o?%(>c3nI&Vv!&bLNQ=aym9 zd1%M!oK}B2Kjk%@m)@GjE54h?&mWq`bGJ_8^jD|x@Ws=(b-^^gmoSZYjF`qV?bA4* z?KD1Ja~jWhn8H<2FK^A66mI!>3ctE3h3l+J;U}L;;VT&_Y)nky#StldDkz0(GzME| zP2t=-$-MGnGJkLgb@M(-<|Av9`S8MI{yZ<4-;YV=7X~MDM)zdy(=3_&{4oFbsr=Lr zQ@QJrsr=2hsXXJ=sqDLGDleZgmFvY$<)wqCvbp<IPO(kp1HMza@x3IT_C4z39ZKS# zKTP6=Ym&I%{3K4u1_L)Ki5EsB@siHq*cv496P`(&T9(Me&Lx6hO~mI|BCF+zT;fRN z;FLuEAu^HY+7sE<I*~uDmdM5*3H<5h1nzbufj4eV;L2+gIAwkU@6JkK--)P|*Drye z?wG)z)Jec^vUoQC9MAPnpf=vlc<8p`Ibd--H<=O7m7?SMm;Uj*uX8-Fs2|VK9+-YJ zj!&PA<2k#)er*Krg}Qm;XT<T<DRG?CKaMYTisO;>;`kF2YV7?S%NfUGdG{x=Y<Vq~ zdoPUT-0WChJ0X@o>l4f815huoMl9d^GluV7j^SUvjN$Vi#PDaUV|dN%7|xl7dU=sC z>=6>fyPCytdZieycPpB=pNr;^d!qT$8__)VxoG}zMl?^H0){I*nmcri=J~av`TSo~ zxb>AOoc!e!es#+fKJnrd{?mcFcvGiv(6A}Y-KKDOqbc0iGKG8Gn2fr<li6qcWWKUy zGH;zbnH{N<IV@^2|JiFYzipe$kv^08cIhNua0<-S&Pn{rtCP6F{7L*=`Xv5))Fh4x zoy0qvP2#H7Nj$D>BCkF*kx%WI$X>5b<c?2IL>=^roESBcb9+tXSxqN$f!9RNxH*9* z9iPBqA5Y*WuT0?EvnTNG<O%%bunBArp1@`GC-938!6f}So=<)`o)b2Y=U<kO=hRu_ z`D)B~j*1x1JKB%udez5+M;gbw&W~e{&&DyoK8|yr8OQ4~$MF}V$ML0*aeSxgIDX(c zj?Fj5@?S^Ca_NU-`Rt2hd3*6#UK%%+<NA-~fDU8%rvF%8e{T#&eme%*xiP$I{TS{v ze+=(V9mD-bj^WR{jR6w@e(1qy_PsJ1y2{bK>z&cii;U)>xubc(IMl+kkLK&P(d_3n zn%n$5ibK8{#r?L7;{MA=aah49?mBrCHx3)c4_l7nZ>*#E?O&oe_gEBn`zVUbRz~rf zSy9|SI*PCLiQ*?(N3p+e6u(#+$@Pv$@{*4t`Qb`%IkO^p|CC5>(kGJBT1E0lR<JoY z!P$I0lE;5Ak{!!O@|ygSymjJ8-b*9-%Vs0_pyx>5eQgA9`f>y>+cbi+mW<&3IU~6C zm=S!w=LlZia0HKdFq|J;8qOQ`4(C3v59hP<hjZfe;e2!Ga8BtwoWHMudUp4Q@rP%@ zyzCgp$uAG%Z6(9_@0ej6(svkVwi?E3eTMNq)T_I4cqsq5X(-MO_?GOUTy@k?_Ub;A z@75j4=l>YOJI)W`rJoMrn6*Q=?UO_JTHFv`+iwUDYdwU2_Zh+~ejd!74i9Ga?qKf! z>|j2aF_^<f4(1QK4CaQl26NFp@Fr&lahvUfIBV4){;&}B=_U^1rp6#1)MOB+JsimM zFAYRZ^@05QYXkZ1IcO6G^6Kz`Jg@aYp6)Y{2V5V(jSmgr8*dHZ%?nX`Zu$UjIb;Bz z>@a`}P;ahYS%2Pqv_JFv{rSLi{dquUf8H~qKL-W%=hfBw^WV23c+80ie)EF}zWsaz zcgu<3%%}+7&^3aO)r{b~cfz^)sc>%nQ8;&fA)I^VhI5Zm;T#wo4()F^d*1EGS5Nli zT_2)$+_HW=CZ`|Qjq1n8yY%BZHBejbc3(bzyf1Xiec8OUFE7sQ%QZ&y<t3f^vUjz< zoLkn1&wteiHHrH0vPIx9ruX3vgZprLKp%diQXl^IdKh~h4CA(MgmL)1Fdm;6#!3Cc zIJHF>PxAnG@k4JOv8OlpT-%%Lm-Ob_lX~+Pp}qOp2EBRYAIyH|Q08(QbJ}v|8oA7y zBboaLF@DoBPbnk5c!YTDJH&?;5O+-`hOI5%Zb=+rA=HI5_#0(#-8BXeD>Arbg28X} zH27d0gD>B;^W77u?Y70vp3m8#)3)>PgY0~@t(^~h+xeZVq5Rb6p*(tBC^sz$<;xR8 zc}=fSj;tHX5AT9UI1$4AP{Zx~;t<r93gLSLLU?BD5WZ~*f#$v!pV-}td%WC>mlyQn zU&i#p=SeS~TfG+_DC^1QBRx6zt)3h^uP4uo@5vi__vD?8d-9im_TZCed+_Oxdhpjv zd!U|Q4}O1e4}PI7>altCKwVwbUQ^xq%1hmOV}5sz8Qq<0b?MHZRqf7GZ+2tvgWY(| zhHl*H$!`3~<Zf&a>BigZpbp!eV1DWAVD@-7nB(RLgZT~SDq+Dqu5mEG@<&(x_H<YF z|DY@Pezq$oPwR^BzOKBnIb81_bm4v9cHzU@y6~6JcftO2;g1G&;We$h@NADR9DOl} zyX^>K&lN#@BrAv)4-Mi0?SkOJ6~u2|>deD-b;jpOXP%eSnd=Sj%&!G>=GNYwdBYE# zxWT8Lc;Snk_)bnI9zDDhZw~0hUf!KJ>W4sHy(^HvT^Y!=ass*kut3gf7s#tTQ7`TL zj(luKNB&`XNB$+VBmXwIBbT=B$XCrB`P79D{OQLXP;aaQ7o~OJkpnugtwjgE{!e>; z`&@fYK#jBd&$j3N$?Z9<Z+ouVq&>fJKY&9|2JnGR0X%em0Dl!1z!63OZ?7A`EpNBu z1xHZ3?Dcls8wSTqC${77y0znG{_QyWMq6I_MO!|&rY+yjZ_9O}P_wLKTORDwmM8wu zh7)(T;gscVI4PqIPafEYhqh?LJ^pFU4bHaae{F{5d|_)|lhB%zsWrE+2d(+7R=nmg z>Wyt|#rI2E@k`^NAMetNzpmVhqknA4KkshIX)m_q8(A$mW^hYB-m)c!K4`&f&$i&d zH@DzX3!xW}Z^6IXp$D(ig0p{Z&Tk!T&gWlk&fc?{b9>Yh8`QBm$9bd9*!R$MZ*Ru) zmNw(L)0%Nf-)5ZEs2NYWXXEgrHg5HX4Y3XzpBiW5SA%Sv;%npfSDNxqJDc)LFEr&r zX-)Y~cvD`{q$zj#R}((;brY~ZP58<aO*nac6aFcv2~YNI!e3u*%%M9Q^Q+4mvnjPP zPw3Z}H#LI(`felc^Hn3Bv#}AY;zoRTbR%vN*ocRCH{y(o4SDglhP>g~hP*YYA@8Av zysu6}{-Ue_@7~{lx2$QvYi2aydBYm;)Yc8S&x870?@WEZwy8dEnOmRpCfDb#-RkpA zzxw>j)p|T|S3Ul9Sv`JkdOZ&4TaR})sK-NZ*X1*Z>hkzk(dO6XVI%6YYEzfnKdi$` z&(z^to9gi3xpjCg>Ti{Gt;0Pk*Wtp;sJrz^ZT@vhZEluan};%LZPlsG%SvnUdtcPz zy)V_`6WO)+!oXU5$ySRm{;MXRIa-qsZLG=LifZyJQ8n2SP?IN`YjV)J8tn0Y4gP9w z4Ss%74UX(ugZ)r5>$6MM@%tNfv!1QayArDNgwX1Ir+Rgsd)*%dt3R(^?$3=<{drX% zfA+8M&rkjeE%3f-9QATF{wN!I;DOaRv1v8ldao**jz9<eT2-E#UzOFcs_-1B$}Rq? z!b6T%;jA~R@N>mg;PY99KW$fq4?jfBs?&aa4mGXLJn6?@kM-lvJNofviyy!EO=T{6 zzcP=XTN!>umDww(GN16S%r9N=<tZQda>Mz)d~&ic&+F>T!Ie<E>LPTwA64SU3oG%~ z=t?}GTO~f@TZyM!vLe1<<>+Uud@jbygS%V#lgd_Z{(}$C+vdYppYdUe_2K8c`|zd8 zJ{<6aH>YodruG?cz82%njk<gDkjmaX;}U8|ZS~?^3%&Skv={#p?8X07f>!o>Pp<Zn zCs$wK$yKI!vS(LMe1CiLl?xU=yv4%r&9m?`6D^$3*}^@%EbRTQ2OoRSgI}2A!BOKp zxN1ia-fQ;Y+%slw`i`0RIm|qDl$kB<%)I&^6L&me;vKJ>xbG|z?;mdB@RlYnzV(o% zu6{@neIHVzUmno)7aq{t#siA`=^v{8+&{Ff$3Hak(%*D>{@;`m^fz7m<}aE!`!Cub z@E5f{`6m_6`jZZ~_>-z0`GW@L{y_ze{-AYV+^0`d@6+*G_v!quf6;}6f6+<5f6<<g zey0tSekX_L?=<4wdsKJSJv#l@U0S&QE_EAxm(Je4Lm8{@(1Wl$^wiI{$+Yw~<@CHw z-+h0JjHhqW3xT)j^6B5Gb@6W$+wwPBcKBD?mHjK7um3CE+EYdkrk0UMwKC*pOQ~#9 zDV?#D($=?sp=VGtY25vr)M)KZIum}A=Kpd7y2KlFtk(@ny7V*Mo%b{4cSL*gIwcie zr(?G36m;Mk%}={VXKP-g#yftZ2{Av>v)(_^C-449=Ocfld-t!B*V?P7*>IKoZeAge zrB~>;?pNsah0C;M_GNmi?PVHv6ne^B)HAC80~M-E)I9MLedc?K#-eu7kE6e*X@6a$ zYwIr3#E6S@;O2J}u=G26s{40z=KKX}`s4+QX?=lSJba!GW}c_tYM-ZSJHMq?G2c>G zuWzZ>+uu-+;one)yXUChs&n+WagNSiK1=UDeU@f+I7=bN&(OWRGxSD-GZd*#)1CO! zwAgx@nr=EpZ;m`g?S4N=uc3}mO+HCQS5DA{r%zCDhZ8jS*m3$Q_c&Flf1JWUJw|!4 z$LJNWWAy1;s1Y>uYx?mPY6C6Dv)57j@w>0+^pjuF?p9yXnnOouM%oeTU;PMG+j^Le zjXO*W{{E6e)_qA=`+iAtuN|VM&m5vHfrlvc#6jAfcaVD4KS=NHIzV+}4$v&i0Xp;g zeri8xKg}%NN1ra)M}KzRN1e}pK~oC9pt+5|pbdNW($4t3bl7_@oqFqYIz8lbI{NEp zwCDNH=$&q#(X;3F(6m{5h??x7%AYAZh8jH2c`FKkYd75+yqjJr`;?5OpVHZ`pHj-1 zU352p7tI6^cK6erloGR(&Uox3W8)5brT-4P_wy$dvFH<868H(7Jhq*x=4_|FwYO8| z#~;(m@gLK+zqZlQSGLh5-bOcm*h-~yw$jh7w$jA|AJJDSAJIpZKcW{leMsrUKcwEb zJ|MqkAJDPj59rx5TTnM(3*D=~h1TxeOktBZ({~Tvr|fm_lc~@9^z@bY$U65un%(+6 zx_4j`#ieYb1HPN6&AacCW9YkdyzCunwB#L%4SI*xo_L$i<h)Hjwcn<oZEw-&v2RiK z{WodBsyAs>$eXnO{2TOo(HpeB@f);i_v^GE`gO`Ny-s6Z-AG;gY@|w8HqbY7HqiQ( z8z^btdTNohp1$>7PYd68je-ZfM(3`huFQg0sY<}BwC2lo)NcAZdav?2>iF(j+Bjq_ z)hk^?Pc2?UH#)ANK}TPq4e77Y{i?4}#QQJP;^8mT*T23*m6yCkVVz&1%wwx*b>?c? z?Z28XY+gloN1z_etrw}r(if>-(2G?6_)4mowUVsWSJJ;Wub@jKR?yzxmeZ?CmZQcH zYP=kKf$C<yK;Kn+f!4ggjK&XNM%8|O9uVL2loI$nRXK_pE@?|CyvkDg=G`SUb;uI> z?dEfo^UQPfTflRa{N=NBKIK{JU+GzT|INizeZXQ$yS9k-&09ouS}&sbeb3O_3D3|? z%QMtw{X&}3XCW>5VFB%WVgX%gx_~^@d}=mjKI%d|O#@$kn#P1aO_RT!M==HSXmY)I zH2UMY)PKxe>UQrbYW%`eWa|19eSdroZO@!Ti>l3`iSNy(Rzqjgjhj!>+Y6sWJ)<Y7 z;lU^9ND^wIcs)VJ00+&UTSB`&FQ(FmMO4cuqM*WA6#j7`4ZmAJ!-EPaB0ZnFzB7~R zUY|j?TF#))V)JPJnp}!FpF@Av&7qB>vZ?>lEc*VdOiK67q`MIrlsPAzuBbE`_IE11 z-!qlG^QP12Ez{_w(iA$^E`=&5B~$m;rqZ~JNtD$fiJlyjNb{dhpr?<<Q;}~xr9{Nh z(AlxnZg&j*{YNzI?-or@XHB8rn<mq(>yv0z%SqHTW+Hw4(gd1vc066JF`nXvjia** z#!|n1W9Ti@81lA{rU^4g(d!>X(T&nbYTYi9CM1lcd22_|Ti*_+eYJ<vHzS78)n|s% z_5DNWs(A>Vvk#^(W)7maJ{U-IO9#+|wgaebe19r^C4$~L7f!J?!^wYGKl*rnUmCNw z50(8BMvk6gWX<VK3pO!%UMHH-oapi-gCbViY5nm~`lE6v^^XWa9hqMA^^Troz1M?6 zI`yE$l<u@(J!*4&7fieA2Gik@UFqaAUFg)lAUgW6GkxBxGrgD73Ge4X%DL8&hBWI) zO(u4rpI>NC?;Q=G>DB;h(Wf1qn$?y{wzi?>zqY15ZClgWxK?!SrIwU^x&{4SwFTu4 zXihhuM9qwyHad8>DRl^JO3zGfLRZ%{hCZYb&8gXlz8un!eCIWwa8;iQ?$@I?gX+=o zX?5xD`Z`qoyV}&QPHhSuUW@wAuSr8ct3kv5tWE>FRwqjJr@)QXsNTh@^iQ3tbYXZE z+BV;hp82dYP59H7T6XoN>(eXI8yl<?`<)NfspCWYhIv!Q(_U0-k0*U}--2t*LO-T> z(2Up2WIk`A`87>c>%|8~)k^;u4+{P=e!TIA@%hC2#_}V-8_~u+qv?Bhj5AGc8*`rf z&1h@-)!3U^YK%r*iHjp|7?VH0Zk+0J&FHiKN8^o}R}ITkmyNM^FBz{Td~aMm^_|fq z{DLuj>$gUJt8a`Km!CB@dz~@%<ef4O{&>PTF#5Qm_I+)<*X^jWV&f4bul8YM=-fj_ z<9i2;9~1T)ub=wDi0-%7@c-yDV@HcU#^hzYjr$&-8jG@b8uc%KVyqpx-Dv#THe-3` zt%ldS4~>j!9~dV}HX9ww-ZSP*-eep<@{Up8{<bmUoi~l;4c;&gE!b%M>;8J9Y4U4E z@3ZTSi4kj!%&o5&j+QSQ^OvnQp7B^^%+FeBI4&(WvWCB4Oy2#x(YNDLqs7b584rA) zHBJ>QGB#XaXrzx_U<B`f+PE7$&v<|RQ$}KqIYyl)pETxt?=X(<Dl%%XE-;4AoMAjM zD#v&;DAU+qHO)AGGsU=eFv+;FG2Zxbc8qa0da|*{KEZgk{#c{n_b6k~$q`14%|ne7 ziv}6br1UrJ5&euGn}-=sKQxTy7eb7!+j|&c%Y%*mSwTklut4L}fc8e9Pg`Tvm6pao zs+lqI<tE05`3()P(e;dxLA8yQRcaXLey(cN++W!k_?p!yEb%fnPVg{RraiEK+v>i( z=EYm~zAJ9prw#kjKHvL$`<mV7?C;DtVc#5h#J=gLefIThlzr)#Pwe?sKeUhA|E|4D z$?JBn?(6I)N>|xeZCqxbFnO`PPVJ}dM~*yU|J#~pACsSKfBo7-`>$h$+dJ*=V^8kZ z-M)H5JNv$xjqNvP``dkQTkHcrFAL3EcRF-c$*$0?lh%hG4p|ubNl;wq@zPGA2crH8 zxqNs<$hXxFmHYogBjEJ;hnB$U=iloemv29`2~L0hLCEck4?naHEPngiS0CC5r+>co z$=81P&}=w;@1gB*`rAVT;`Fh9Zm-L)9-0!TFFmv;EdKM_XC7J>_({Zl3e5|PZ#=Xy zPJei4Xk0$<)6l~2{pU%@&BNCn+8w8VyY^{^7Rc$x4$TmY@4EI^hX%>%qptnZwJ*B% zKi59z+Rt43mTP}<Xt<CIzE<ux4o#TTR~*_gr+>Ki3D<t$+V@-gdutzW?boe+xwZec z_Sx2c+R*$teY3Scw)Vl+e%H_>I(@CRf3^0h)_&C5cUt>PYaeOt7p;Avq5X9FKWm?7 z?dJ^5snfSv`!j1FX6?7EeU-I;vi3>Ve#qMQSo<4mA7kxTtbK{K|FHHM)_%g!JUe}Z zwLh@-0oH!M+Sgb6_iCSB?Z>NqceTH+_R-aTxzMz;_~L5+TkUhJ{cN>wt@fwYKD63z zR{P3o|5)u4tNmcL@2mEA)jqD;uT}f9YX4R3v#R}6wQs8SM+HOT^g-2rr`p$4`<H5; zQm`;iKT_>Gs{KW^kEr$w)xMx$f1LiG+UHaId4f4|`gUr6PVK{~{Wi6)ruNU&KAGAN zQ~O?Oe@if6$XA>x_p8*tl-hq%`%G#-N$ne{{UNmvr1pE%zK+_zQTsG%KSu4lsQneS zkD~TV)V_$?|4{oJYCl8mTd4gBwGW~88w3;T^cD2l?eY%<W6I(asQm!7@1OSf(>{LM zuTT5(Y5zU#v#0&^v~QmF$J0J|+V4*L+G+nf?Ng`y=(O*g_LtK>a@sFW`@(7eH|_JL z{oJ%~oAzhZK5W`=P5Y{8|1|BBrv1>g@0s>D(>`X}uT1-rY5y_pGp7B-v~QU92h%=a z+V4yIdTIYI?bD_GxU}z<_Se!rTG}s5`(kPTEA4Zo{j9WamG-C7K2+LoO8ZJ_|0wMf zrTw6^@6*%+E`KNO<Ahsdr(e^)ZZ2OY?Z2dbmb9Oe_D$0MNZJQU`yFXtBkf<LeTuXn zk@g+Z{zBSENc#n8Um)%OqkVp~pO5zK(f&Nzhe!MEXkQ)epQC+pv>%T4z0v+Q+Q&xw z)o5QD?LVV^X0)G-_Kng0Fxm%3`@Lvi7wzAoeOk01i}qd7{wfXJJ}TNTMf;*?{}b(V zqWw&?Z;AFNSv%h4L!$jgw6BQv579m$+7CqgerSIW?c<^SI<zl`_TSJx8`@7p`(|i= z4DExV{Vuexh4!z|J{8)JLi<i=e+lg)q5UGXFNF4g&^`~^&q4b(XnzLn!=U{Zw6B8p zPtZOI+7Chd9%z39?PH+*3bZeQ_8-tb1KLkO`vz!#0PO>yd4J9IYkpsI`kKer+`Z=O zHAk;`dCkRZ{#|qKnrGMCy5`e0hpu^Z&6R6@Tyx@@2iM%U=DRh=t$A(DWo!OgbJm)t z*4(t_qcsPud1uWvYkpaC%9=;k+_C11HAk#@Va)|={#SFpn&;KruI6(!hpTy8&DCmt zR&%nNht=Gx=36z#s(Dq-rE30EbEcXn)!eA&Lp2Agc~8xCYJO95nwrPd+@<C#HAks= zNzFxS{!w#|nrGD9qUIAdhp2f&%@t~XP;-Ks2h`l3=KD0qr+Gci<!Sy-b9S1i)7+fq z<1`1Sc{k0qX?{&}YMMvW+?nRfG)Ja+G0lZ({!4RSn&;BomgciGhoyNd%~ffBN^?@0 zhtk}W=9@Ifq<JOHC29Uhb4Hpc(%g{dgER-Ec^}R7Xnsd?I-1AP+>PdIG)JR(8O_CL z{zY>xnrG46isn-^hoX6ta<0V9k7!Or^B|i0(0qsHI5e-JxeU!;XwE|O6q=jRe1zs8 zH1D9f2F)*MPC@esnmf>Zf#wJ_FQB;qumB8RfWZ`SufL(2{{uRHX!pT5FgOSXE5TCp z4$K9E%V4k@eB^+G!GN&Tk^@V^QWFkL3QKJ_uq_P!g{2Og8tq`PHY_#M!0a%%9+vuM z;DcD|lu0czut+R5#lSQ%xF?qSU*M-0j1_~!VyVX^HMgX$mekIY`dCr}OX^%nEi0*4 z1>TLN?v&J)lKN3nBTDK(Nv$WT=Oi_oq%M=xUXuDsQbS4VBuOnKsdofklBI5u)FuL( z$x>rT>Ig}#AgKo=HGib8kJRpw`aDvDN9ycIEgh+sBQ<fP?v2#8k@_{@e_86#NUa&E zCnGguq%Mrqev$evQo}{+v`8%$skb6ERiti;)JBo|C*a3f>X=Ba5~)WbHAkebh|~^| z`XEvRMCyD<Ef1;JAvHOq?uOLXkop-?BSY$7NUaO0XCXB!q%MWjo{;(yQbR)OL`W?N zsrMi?9i(o9)Mk+S3sPf2>L^I91gVE0H4mh&fz&RL`UFygK<W%gEdi+)AT<HR_g}pI z#lK%X`o)J|y!ORUUp({07hk;Z#ot~$?8T>Eyy(SmUOeT+H(tEq#s3}tIb0($8hPv~ zEPm|bxh}ry;+-!3=<pX34<hj?5-%h1I}%SM@l9g!4;PPc@c|dFZ}IaM&u;PM7VmBG z*A@?L@yQl1Z1KAmPiyh5hVK@O|Fn2ai;uK;MT;M_cs`4-vv@a)KeKo+i_fxnDT`mS zcp{7Mv3MJcf3bKJix07Q4U3<!cm|6vuz3H9zpr@sichb2@rvKBc<PF8u6W~$|E+l3 zijS>$)rudjc+QHita!(YKdgAbiqETfxr$$_c(RJ`s(7o4f2w$-iVv!Ior<5Sc$SJU zsd$fyzo>YK!oyR1KE(@E{6fW3RD4Ipn^gQuS$s6bD^vV1#q&~pEycT1{3*qQQhX-G zOH%wI#S>C|AH~~I{2RriQG6K1Yf=0Z#WPWS5yksZ{0+s!P<#r-i%|Rq#Zypx1H~IK z?9Ha)pDZ5B;=|11$0we9;;Sd#dE$>J9(dw&Cth~qS0|oy;yWkaa^fE+9&zFWCth#j z=O&(Q;>#x9YvQja9%|x~CSGXbcP5@@;#(%(Wa2+29%JGoCSGCU2PU3h;_D^eUE<Fr z9$ezHC0<(MmnEK9;(I0DR^nfE2Od@8LnU5Q;wL4ZQQ`|F-cRE1Bpy!U(<EL@;<qH8 zO5&R&-bmtqBpyfNV<cWh;zuN&L*gqW-a(7u4<sHy;`1Y3KH}FSo;>2aBi=gVpCcYQ z;)5ezH{xd_o;Bi2Bi=LOFC!i@;u9lYFyi+jo-X3sBHk?Gzakzh;-ey7DdLABo+sjK zBHkt9Pa+;9;xi&%BH|Y!o*?4;A>JP1-yt3y;=>_c8)fj**bdJO@x>7D3-PxQ4-4_B z5HAYxn-EV4@r@8~2=RXqj|cH_5U&RDV-U{;@l_D-1o1}@4+QZ!5HADqD-cft@f{Fv z0r3wIj{xxj5Y}IKeqr{7%NO=u_<CXJg_9Q+UU+w5+J##eHeL90Va$ai7gk(&aACfM z>lSuf_-tXYg|ilxT6k$;qJ?`FwpsXPVU&eK7S>pJVqu0?g9{e+SNL9Gc!kpy7FT%N z#b9cMn-w-z_*Y?Eg<};~Rd`fkPK7HKc2xLKVL*lR6qZwXO<^*HyA-xk_(@?Tg@Y8< zQFumS7KKX`_E7jjVF-m26c$j||J8AR9naTsdmW$Gad;hX*Ku_nKi6?`9S_%WZyn#( zacmv0)^TYaf7Wqk9Z%MAV;vvXabO+q)p1=NztwSC9go#<R~=u~aa0{I)p1cB|I~3# z9naKpOC6uoaY!9+)Nw@}Kh$wT9S_uTKONuGaXcNb({VW+f75X`9Z%D7GaVn(aWEb4 z(s3;vztV9k9gos+CmmnXaU>lt(s3aj|Iu+C9naBm8y%m~aTpzM(Qy?WKhbd#9S_lQ z4;|moaSR==&~XVJf6#FT9Z%44105gGaR7b4ukY*i{ky(T*Z1T4zFXg4>-%VZzpU?z z_5H8D&(-&{`o2})pX&QieZQ&iEA{=OzE9NmgZjQt-{0x`IDNmS@5}W4m%h)^_fz`5 zN#7so`yhS4qwj0<{foX&(LB4p@6h)b`aVM6FX;OM$@fW4Px5w>o0I&T)Kr!{n&iqP z9|nH|mb{kat|UJtIVj09NiIq9MUoSeypQB|B!4408p*>*u0`@Gk~5LKh~z#bzacpc z$x}!!Lh=ogQ;@uY)UuNJzr^?@jxVu#iN{OKUE=BzJD2#l#K0xaEwOBgS4&J<;?5FV zmiV#6h$Rjzv0jPiO3YT`vJ!ih_^QNEB~I#&g^GW>c*rB~*imA$;^!|l1*EQl)K-xC z4pL)6>Rd>z4XO7bHAkfGiPT<^`Y%$0M(W^5Egq={L=uORSd+w)BxWRWA&LD+d`Dt9 z5~q<^jKo_crXq0@iH%77Lt-2f$B<Zs#3Ll;AaMnW9Y}mYVgPcVFZc3tzb^OWa^EfY z)^h(W_sDV|Ecd!{KP&gFa$hR<o^pRF_mFa*DEES$y-X$#DFAQsG!HSGOy<@YyzQLu z_#Y2X&op%OFnhm^8J1ZV3<vbVpoiJ|n#}O<`Vx)hvP|keQT}@Pyn!`(czW%WC9292 zBXVVir_XYkVfA`h#;iUg(0F=!rKIP|Ja#VR(?V8iwziYSte!WWJv}>MqL<k+(tHi$ z-c#|%<b4X$9NuH`x5PUTy^Fo)Vz|gV2Y+XIpTpV;O+Irl!!q9dAr|lnm*H%4I}BSr z?>PU;9#pDj>1bVy?!G<o$I{6v6Fpj(e6L9V&ek5zQ4ik%Sg$3>J!<itE_1tBA3EoH z`kqE>>1y?ogJ@y(eOBfMyI1Asdsx=o%{^MxceAXjyH)nVqlLf8CtnusVXcf_mFiiR zSsP-$?^;>Ka%+mSlgSs2$+E(|3Qu2~tZ}7#Z@hhb${JsEkNWu5m1S4Cm$mxtlDVth z`|9T#Aj`hw9`*PAMdrTj+^9#3S~xdyGJO1Hd#_kmx%O$Vbq#t|9%i!aD?dNmq{n{u zsE6-uS<M0WL3z5)&q4P_tiEr{9vv#*1vwncm(G>q47hgTaD`oHhL!pJCHr~A+7ZKk z=FhMKb69WZ6@Z0Jat+DDyd5*DM48NeddpA;OsZNPOPKrimR%kv<IAOAzut0MmtnBV zFq1jFx4g_p@vJ7tVUBQ)dn`3omE$n??=3IRpLqJ`%7zE^mMc$AT=jY~e`xRBm~69{ z?wd_(mw8%Dm)z|W?iRf<y}BH>IZAi_+dcC)ce_V-cD6P8U?E?;F>9Km%$;kRM_TTf zBWs#Rpcz@yqu68)k_&eOHeXAwx}#Wi?Ufj?m}<$+Uex0cO?8ILW(WU@zh2H=tSg<J zy}Ue4rh2l}LyM`-R_S)M4kn=+j-c*c-K|4;w`!*9%jJlVdyuOij<9Y+3_4dgs=ObY zs+ZyPm{0j8x%y!nb^GdmvoPS?S%<0mMA_<(0gGMTa8Bw@*WK1*z_oW~Q}vV5uWkFS zu6{UYb)VP$4wv`yF;)KpTQaxr@SUq4&S~8py5F7heoal)cgXGqcJ{&<#^IXK?=oiB zH~)>pv#hl`uPk!|xo8^5mC``od5`{Jf$HW~RcltZRBc#ww0CuLq}ksa@86cD%9RNd zv5q?Uv<s;mT6thie6G2tTd^@ubLGmI?q$Yw@3!dFs0yxbcW*E0ZLNm2$Uvo<75e&C zajg(tdg2XJ8KYKNk89K~(9C|$4SKcl8(6ctxrVv7_sTwgvXv@c<y}3nlT|S)dxj-t zv|5Extk*yIvANYNjACw$1+&dK@*u3W=EB)#lZWrpKA2p~Y#vxsHdVWsX<*Gxl})BP z?&WYC9_UcFHKya$bk4>pumAY&+Wl~Hy_{WensFYT&thkbR|RdcpR~@e3R7`C43<BF zF=RH4kY)g$o~AqI5o={gI%5X@mOpT(gGnQ$3B%J%`nK?wLA~h63X6`!^zw%tLuON? zG>;aoRdLbC3X4XTFNXngE*d3`-b_@5zEKtX$_hP9OM2@Sdz<c9?)7e0-d*NZ?BB)N zzw=sYW#wkmD1Gc6rp{}1*GA56GA5_d^^o&Z@lkQSk6Cu(XUz66|5de$$&3d^@H7Vo zb{dr(o1T-Dk)0NimmZ&+l98TciVvBTnVm5;J2uUhl#-g5V{4n=vwOQqQ`7ToxfvO$ zIkwpBG+Sa?Tw+2(V#1{0z#g_PorAh$1y71gNuPvOrl!Poni?P93EeuTXQU@~OpJ|7 z>4biPT{~fR`Txh~r=-WH<|QPW%4ZLBPcWfhbV7V?aCAy~N^W#g*DitaviCW;3HX5# zm@HS8bV-ZNO~x2L0B|+PRfjLFC(LF`^*~D{yJe=$Qgx6eJFs<db4#t+E$3Mh>=ysP zW*wVZB5jsVHcPgxlVwVvrG>3^C(D>XOM9E85K|@uTH4q={Bh2bl5&S6ruQl6V#<uf zuyc#q7{E^D<i@7QC(4e=&j05Ll@swF2auYPKGo*@lb4>8GBrIh!6rYK!Xk%84-FeN zAUbMv-@f5dQO@ne%XYG36H@Z!98}m`RCM2nh*73T>ylNt*A|}~n{65vhaGm#mO1em zInhb6@wpk<IniA@2lq5(_DRW&Oq?+)HZC>Ml!q=s-J^3&2^o1Z-Zx}Y-=R?hqg;QZ zqY`uTGTl@9MU99ak`gy5DkCpDK5<h2zI{z8*vE*}*r}1G%uzE_5)x7q`$Q(jrkXGr z=gsukLG~Xu+Sb?Ryda#HPEeQ5J#1~W6H^moa}wLxCgLP?($`nV;OHJ5<8YD2C)=_U zXQbrd614^O3hvsu+ho^~J+|tc<c#cG+hda|9(B*4UR_La&V!C{o`^>$d{lVUsOX5W zfkQ?|hMUGFrc6!F&53sQuki9ccJ3qP+~>Luc0_JAPJCfvZb4?EYq#8I(Y3L}?3_f` z*?D~Y(dLJQ59^PYJv=gUc%&&QH6ym-wJ>m4|ItIjA|Lf07B+0)u!!>AbiSD72km_2 z@xF^IaG!krii9~|5!Wet>|zUx>_2*F_^?s#&1d$-f~ItQD8#1Xm?~cDt~a3bTIt#a z*NPl|-;Bhh$e|etDM=}b*(T@KA6>=+Cxk~29T<gcy6*tjaXFXMCpf4}&&MuH8P~gu zcX(`WUXF8PJ+Uo$9o&=jX3MwgI#TEHW%kX;alg2FE8T*+IG29xQ{=JjN)LSrn@qT% zLu_s3G9Bgoz{BUG4Toe4cYo;FMr3EiC+6hfjnwY{#C04d-(s=yF=;Y)mXEK#xQY|= zI}Qytc~|inTFJxLyM|{ib8T~7OFeUaa|3fjOCxg=kLKo<W_;V49^!%T@1m-HRZJDX zPvu)6tg7Ermbo6!n!~ErGX>@pq~*rOq0P;9waI!2o69u?CdB5(ngZi;a!i4EI|j<c zzzUbY`_&K3&Tw^<J_(6&c~kMRl$3$Rox`zlad@Y?*5I0wnh{@dG!}2?sfq4wxO)8O zl+=`Td>OdrVkb?3@fm4piTD%?#48aPo12@R5|@|z_*#<iot21#{(tyrh<@@_h+j_5 zhy3oS_;7xSU_vuIrJwVw1?|80lX>!JgQpeG|E{0B#Q(nCir?7!dtGjMD&eUg^J{sS zdSgUhW9cJL*`Djif_%%n9>=74p!e$0?}Sm9{AjAlbPRoh@e58r^gQ{URdKrqv0WPu zO1A5Rr`~Q1hV?QS#4W#vX?%qVdNBLn`c1~y^JqP@!n|}0SKRLPx*n!X^pox4hiCbA zpRBM922CG$WB+8p{m`o}#(2f`jjQir^2an;pS*AMalC+Gy$l9TC$K*0ryqLW5=^ML zzT^t)!)-$O`d-JdUPjh&8S9IvFhLK#hItj&myh+y>nZyu+t>T|0fuFn&UnhBt<}Ty zi@wM(F7xF1sk_zvYWaDXvM^0Qbie(WfW<TQ^ttF4sz=aDKY4tMryiE^J?JObq<+Z$ z$>R*36_4YI3g@LZ=IP_8j^PTsZTbc4le=&I(A$z$U&}J@DsKC6muRYw;l_B%`sDn` zHY=|0R80@lhnS`xdVP9aw-u**nr_KGclpEe*b&S6EAEMmSKOkEdz$1JA3Z#suiq2p z6ZBbfO|an8Mqb}X4{wuv4!Is?Y~9<`);WT`d_vB@w+T=OhB4mTH69`3doXTujgOV_ zOBlyVk^b>AE+-5ZlZ@xcIN}*{;$++*<G4MSLzD4}4~z31{%DHju~$}(SKf(_9v0_2 zsp7c26COP*kG+#*`5NWdx2xCVXV3C)XVYTBy=H|6Vj`yJFpj-<-WArsLA_dGzFZgo z`CX4YhL*XemI>v*n4CZC{^OUS{=MM*Jymh8bAp~LzZELZlyN=pzxqA%pI@Ld#eMVO z{z`S;So$_`zT_qkylzk7Fr=ODZWp=RCGJ)}vt<5@?p9t!51cCbT=T#MChs+A<?Ko; z?;VdiCQ~bE>$<{eGQF#NeouEB?rw*;Te)$TepB47e7(x}G<Q4G-Ilo9x$btEyIt*W z<u#J!-gLL`yIc9plIeTh?ICyjwYxp-ZqK{hAKdMAcYD{}*2V=P>uKq3ySiKF-7lt( zbdSfl+YEPG;%=XHx9i>Q2k!PWcYDm;e(!E2BqrPY$KB$i%sJlN-S%_0QSLU*-DbJl zC*19G?slWQ{lMMsme$K;`rO^_m$sJ4^o_f{C@r@CvwOVEJ^qJ#+y@_1(%(;7^lRvD zTf3*XcaL{>kB7R)2S|(SYJ|HT=Wb)%ZL+jjU!Hrs*xk-`w~O8Fa%r*u-%E@BH{H{{ z@fjrJHKfIMI=aV0+~cF&<8kisBKP<L_xJ|)_!js05%>5v?(w_saWl3p>-Uou+mnav z4`w@C=lcZX@_8ZCrHizU+-(PU+u7araJTY3DgDCT?Lc=s&fQLOxAE?Fs=JlnK(gEn zcl(69eahWB-!GWI(mlS$-M;2-H@Vv_?sliURqpnvyFKA<zj3$Uxm)?3mF+w8E@=OB zk3Vp?-f|sdy05#f<8B+cTZxd%{6Kfx-Q9+`+c0+<?rxo*M>QUuUu?#?ygvWGyT@^f znmigjI{)P#mJ;X5=wu7(+PzDUZoxsFyV`7>ZSrva4eH#vd-v`(ThHJwt_ijnUq|?m z2v=}RwkF>ZZJpl}t}$HGuCE%eVCRIk_)M&0>iF~hzI7u!BlabG%s+PDx@3OD<}xIV zB6>wU{MUnr?IL<cghaHMFT=L^A<6Oc58gM~!kUyMTW>z;^^!F(W=HbD`<}M@$>H}s z{d%Pwyl?d{NeRDiP2GWEKijw_f24Rsgx~jTB;Uu@TmIhuhR-dV#T3x**!C^;lY?T$ zCAW=Pm7EoG&bfyADO+O3$?|@yQf|eZOY!fHW&Lbj{S9+S`(yuof9YL+T2Sn`Y2o+% z{Z>uOiam#+T7LDXZ;2f@J^X$>zg5$3#h#mP!+IO}_3mmjJVH($KX>0WqV;?u=G=YH z&a?2>YTFUB#d_|(U(C=ZZ6myXGn*g8_}uchVE;WDe>i?i?c~NWW0I%DEKOb&b2{0- z%XcyUUClk~9IKPuBxYpt_?YF%uf&{5z8F(IrGD(_l*zFc9QU%64`Ys}*t&T1^v0TQ z#ausbOX(HS$9a|qM)byz>ny)mYAXD_%v9K>tc|Y`;a}z-Vw;a62({j_`duhB`F1Yb z(;zgWdYOMf-}|1OE|hvS2rBz506*e-%_%hpTqyMn=u-B1$nYDhD$ObN2)R(|9g<VF zx3JQ!hd~h$ZM$0|%)gmE_Lf=-P3^`UyRl_OscBGd+0;P;n(V{Sic*gWd1VO`_LZ6^ ztSI%IHlr+Y+P+ecX)8*-3ul%k6<Ti%@ZN`IeQve%>TwLq`ev3*_4U4GGh=CAEZrch zET(}M&Jxzp0BZ=yE{hGo8UnBe>_=P(mJV4_YQ;9=2lej0uhetUQuj7(-9E=wm%6v= z(era`cd2{3pJST`jyIb>po#x)`2BgaQVqYG1Dmuvwgy`@_ryLFnj<d%VlI?xtm-X) zuWz>W-ZHw>1ABL^(Av%1OXgKSR@=FMQych4T>8b_V0o!Y_O3860^2S0@8;3iqgN@m z5fbrysVU@CsW~9Nta*rkkN%~eAup7g2c5+EX<7Evgp=6IIi;ovm^Ll3Y~HkGr5=TQ zF^qBF`PQj;?}Rnki*38kw0{r(uBLXG#~OTCx74!%)>;@_)}{g0*#P|mu+D(yWwS%D z&Je8Axt;j3rv_o26R^%f=s%%VS*vOOJ@8si^Y1#K$<SkCafYyLVe7J|eS1atlzRH2 zm+!JtQ-jztTOroeU~j3ZP}Uw>Hah@o3c;EJ&?lrtS&KpbL0HqEvp5#?n2=C5cLJ_W zkFZkD3Cplv^qSVXtToo+<vXX;Q`X{ZD|@2B$x`nIb4tCO``@hWjR0F$kDf-vY`m^` zFZ*mg{^|AmbM&)|eE&E<Up&pN@mXk=A7YCz@b3@g-`~JA<lnEOzXiA0kMi%%4|@+U z$yiz5lo>kzUMfp?dhL)ITV#gLzdL^(S-qyqG^>yND0!5BKPex{o<5Ugp4mFv*~N3N zOtgAlz?j$5_~Xu>dwYI}O?Z2bz+WH9pASVpt9*(+%AZ@ml+p71xwV}vUY<X<7RYE9 ztMiMgg{M`103v_BPxicp)#@Y5mgmo{OQmyp{@fZaqus5t50XFk8YK(&upUO^Bl+|5 zvNt28Q+fW}dR+RH=g+N@41AP7w|1Ag<@s~#O_^JsKex)A6!Pb;ef6`-mn!n-u2FyM z7t;A<=SC%e?(Hcj!%KcSB7ZJ{4(!uj>lExxrHc7;>tb0=dHx(fLNI#3eNdjR^K;O> z5v%o*tnN_xE+opqed$~&&VXwd4p-QPA7mHgM>q24r5N@#-^CK<1sKE^oP4|DVSW+6 zwkjiazEB2inBpgQZRTg>$Vf)(p}%>NjLHv|Du_Ein}PxPRbKUZ{4qc0?22Ah<rlem ziHyo`=qi~}K_>H38BK7Fc5#oMmd;ty**Vt(yHzD6-DG}VUd<OVR;>vJ)?<gl@bov2 z^q6V#_gK=~=WaXM?cV<85tw4C7J!ih=n?De6)C;YHxGYZ^Pj?Y<kzGqR{YJ89{JAs zShD&O%&CoaJ(^nJTq+-H^!8kX{xuS?A2pE_!uk8ElG!ZVsU^o!OLo#VB<BHroWhHj ze9UIqmTQi)qw|luPa~|&^19V*_Qh6fIscUR!4~~7wT8T4wat5_XJiAj`M+G#|Mv`Z z#ay$u&Ncf~%r#pd&ox(iJlE`7p1iHx#_zFAb7gm?S+c(PF^AvLItMPJRge>wl(l<q z)e0$M%&n$V%aTX-uaI1>u4mxHxYEcq%Xa|DZo4zbUCWg{uKoBNc~$U`O9d%pXDgRG zhHWxkKje2jGR4x!Y~)b&9BJ`G6O%?t<C-f!+shwvPk_9zH28^z!LicB;b}4D6s?tk zcU>c?Yh_@wYh=<|8Ibi!!a8!TUZ1?t<mbNG6eUd&o)%NKd9D1Uc78ROMoJTmrv-7& zwclbuqPAw!_#?Pnj>#n>(`DeQb0o{WRtB737^W<bwZpL833%dwrIC9x=Mn?3FGKKj zj$pIShurDNCpT8_p5nauv17z+8m%YEyD!x_X`D2fcv?*Pershwj=*dh=ZTfL_J5o- zo$+*bkpVdvbh(R00!|iFQKPjo;5x2J^2H^0vSw#I0jo2aWc#iSj+RF5lPspZ+_f^` zI%RqO<)`#f=dq9WZe~5_-pM((m}WLwt4}swzel?|R(7?6bC+iNt(5`Su8w`Qt2urZ zb~S1nc2iykToBT@&Uto`^PEp{p7Tg-(D~3WT*ZWbBh0~Kc;Iz#Kjadr_^3Gk1y<={ zx{BHV)3fydZxZy2%;vx#O9PuF(bmDz*f!PTmt?77v*g>_TG9h8wQQDDo5eTK;%jSe zkU@i6HnMDW46=M}8fVF}ckbMY@DEdLmcw|%Zgm7=P9T2jm@Qe(rn3w?|8$Zel0W}8 zWXZFaclxj9Kbj`nk*=~WXN&DQTWk|b0!u+)n`VY(rX4%myQgIhcIqe7AWZGlsgrZ; zGIr55)wOtmb7|-9Jo?jK*619ytaGpa2iGCFCSnE7ZT{rmht=-!%dSo>+f269NJ_>* zSt{Esl>;q#NftlbFiYYfOCy};*g+N>nxrH&J-S-b?3S8?Ea|o`gM$VKSuU3hvaB@+ zT7D=Av}`cD4lT{KwO>mHIk)<}YjPLo$PM?zm9B|FGI6l{c{BvMg|q9U<(=#M_vM`v zol9AKT^pMDXp(c4U0Zg}AJr<h%cxfES`H2!A8u)Ae>J6j(9rflmb5@iP1__(&g{9a z3G<v=%yDjbnGC1MALn^>Zer-;qn4SjEmm?J=}hNxum1PTO_DB_9M@8=g@<|gKqvAj zYn~Z}^tDsZ@_#1@alKm}R|P!MP`EPo5)=8q)PQ8h<s~ImjCfbjC^+RGGhJGS$Hg8l zg~8*J0iAbu2{#bomMpqkz#+ny|5U5|7itT)<lsLN^qB+GC8OI1I}4G(|1kwfg-CjZ z<Nn`OusHP(<#K@Xvm}~{3hDxVjE}SrkAygnEhEYrEnskl{Y5JD_)G450n_qQo%$wI zMe#-jWkb2Z#3ey`v^D3BjdCebTmlT|>+4inxVGaKL_E@dl&gJYz}c}}Cgi-_(lS%? zqn$ex?;Mm}cJ^?e+lnfqiZMIqf#k%;rn&@C|C6K?&fG~wErqSZm$iIX_o-@|V|~hJ zuJ=5zr#<Ie7C=>jfaw30rb14uQ)=N7I{c56Q8@FLI1}ZWL|1(E(aCfD4*LH`M^W)N zmHxTa0lQM^(eC^&_TB`rs_NPsKj+??o15g4gb*MJA%ui^3=jqpH9!&uQ6jT|%50zt z2oV7hqM}ut62=%3f{K<>2ej5IMUC?W);eqD)qy&Y=GF;KgRg$S-`eZkdlFN%eeeIi z*YEFvo!?%2ueJ8t<JtF|efGA39W2~Gv62;zJaqh(J_e5YaITGr7~&{Fpc6M5ez(3p z&^HH}q(1!m3G18el7ZJcfJ8VAbuP!d5CM*#aqmL;olc>-;^xBdPWO7e49~>8k?Atr z$w!Gx243s>Ao%Ff%SnrKdbhqiGx2K;Vbq6pzhQkphu@Kb*SZt>$md*6+?5D$>pPek z(bo!bj(s=7@5sPw9fZDTkq_r`;<h2ct?y8#sVCZ(0O#nt9e&E>!13A$;}V+bWBh(N zH{TZgI^_ck=VBb)LvRpZ?u7f2trpiu99DjZFAsd~w(m5Z=fL33$E%r<a)C%VAAD5k zGTSOa<l6{yF51S6=xQF;uv8d}K>p}Wf@8WU0!h=YZ!doLE<m_*Ir<#hL3j9n$NC7` z61kjGF(F(J;l8}m6C)wtU4Ouq8M(X`TUO-qO3QH5tz`v4#0Hjl<l=e)_r>)I?u+XW z+97~t!m)+x4YFMf)H|RV%^&{G#rV@50w{L4Z<yhw3GTpVcTq-#CkG_Lvj`IA2Hosl z%|{%uXy4t4$YLon5R3O;d=wpv46)SZ{U{Md$hJoWiy)em<v~$*C8B@!(eOcv!xRe? zCn%n!c&;MHHtLo20>Dd@UaxqS;ugh9#ak5jD$05R$ltH@Yl=q|zfklbf07@?OvV0+ zrz)PQIA3vv;^m5bmPkD{igznMtawQAeMKKSB>AxfNia)sfMTBFL`6R5CI1RVJ~ik2 z)yotwCt}dpqR0oR<iAzvUnnha0l+8g1%Q0eNWR0$_p;J_&`*7Jij9ijYJ3a^3dZvT zGh#Xs_KZ^G!!Oe76mKUYo#zzm72BhaFq{|p+1rU|LV|4nq%#$}DE3zDugE?`zEO(f z6wgqctvE;Ve8rzBu2AH|AnMtmc%|a?ie-wtCy}pOQQ8~mUn_k;@e##m6kkw$S@Ctn zKPi5ocuX;*__g8*MLwxw`T@n(in6W+;ps|Cdj{Q8>As56t`RQlU4VR6PkmfxNnD^< ztSIYW5WZ6Bb&4D($p3T2>l8ODZda6k0X|tT1Kg+K(oaDDR_Wg<KCbw*BHtD;owpR< zRXnQ5cN>iVOp&h>NMk9bU@OJeifM`&irp1^D-Ki~qIinpNX7ArXDUurJX>*|;vz-) zb{6(rr1WaVb&6LhUaKhm7yMO9%lI2@?gz{lFQU_5K2w@^Cl4k&ytgyH|KOaHum~c2 z{m}Y|0l5QmbA|hd*GF8FjYRNzDOaEe(rk<M5uYaXtxvpe@BW(lgN+$wzMO8GHx;H8 zURT(?th8|Au|Imxw%TraHl^*(BMqy|GHUE{yqpPo>w^BO)Q)y)YINP9U`*Z9{^+_V zqGRfw^u^TGS}}Ex*`95_!Dr-8JobV&_?a*08|oY4%k|~>22A|aikV$+S5C+K->G;X zn~Zm`XW@Ns3g~HgXPXFrZs_*mt3su{azj0PT@va(d|)VR=Acmal9JG$@&4W)J2+IB zHWqS0yba!gH^b%i*38#|W%bsk0in73R)-2=hvKa{)*39Ss<$d%!~5V@5qELunYDvM zKVQ2xG-u}UQ0Bg2p{PwuLJ6_Cp~d4b3Uy9f6{@Yw4ebTSEEy6ShwzUpyN7OCa(*ab z{KC*pn{q>iGuMQUuFVM*uU!Kj14HwAtqjc{eo^SzUPD5U0k26L61qGsH{>6`CbVZs zPN>I{lR}xX145nmtq5gR4hqe$gnem7E_?XkP}fa^LkowOgse>^p}g^{LW_E>3Uy1n zBy>LP?>_Ub{O1d<skioBkGxgn7ogOt@_l&QeHHTbB;KcY%pX&4Z%WS}iL&#<XW=dQ zGxb)l$H3ny|LJ-wHZ{K#Ws{Mgl7HG)`S-M~i0+a1dABR<8c*G*K%mYOT%R7S^F$B8 z!Aq@DJazt<{M5q@zOo|?Q_8H=%kvL61j{BKdpzcF!#afd;6D^R+_16sTWjL6=YrWg z4mWJA&8Q*wk%nOHS5}wp(Ww3Cy64auo{B=th>1pvIMT4LHX1FWTTPOkx%vG3_#H<Y zN^85-cq-4&Pu$V1X5z7D17ncZHKhrNsVMDS6R3+0(wALxxFNsRp}K$?8>YH~njqBS zhN4=u&C-mT;K1Mz-{9cTl<mG;<jx=SnJ>oEG0?I7wst#Gx2A3HusOZ#a6?Ji#82(O z;6nRd?xhibb7D=xuGW=F6@RF=8nJR<Uz?kgYq0j?z{_xGQO_g%d9<>(<98)iCRDV( zIjQFLdi(9o_4cus;L7XmeQ%&<4%d73-B52II9%^Na6`TC`NQ>5&)-n*fBSHK^xHSo z2aX-Ck2!WjeeAx&^>LMLb|qJ~zj-^Ppk-5sy=5QQCf-mVth}MV)uxn+cF<)tK30fc zv9Ad6=pp0Vp|zvOEJ4p%+ZJw8z1?_8$U<xR1&+4dcVKmB<AIYyS#Mt&x_vy>cN{w< z)Md%)(38VGkb&*JwxSiFCk%fHZ34aF7<&tP4O;6*&o2)>_56y^=(ksf4poi_)o)rC zx@*&h(6Pp$p}e*0L-xK?L$|~Z40(GE3SD2hJakp;siBbwdvD3&&`oO>hZ2UL9GZ9F z($Jp|tPR!nS{{0QW^pKCNsrJ?<4+5H1lxWwd}wGsT68vgm9O%$(1K&bLyI;I4|S_t z70TaqX{hVl14DIhAE^&C4iA-LMavaP>sQZT8d~`L%23Y(Cxzx7SQ%Qp?<Dk~i$gss zhlNH~R@VpD9;t7&_To_SrePs_Q~%Hny_SXU>UE?(rZFcp=h%_@*zw&%!QtnJ`V8+L z+BEb0(7u`dL)WZb7TOCrZ)0_R^pY;28`Bnq?oLa9I}iRN^-++s8oPz!#xDx>9)F}h zZb@~$=h(TS>xU<Vel@<j-hTc_z3;$zq3huHALtgkcFCg9FPFrJ`t~|E)T&o}=+5DB zp<Xj*g<@yMA$%6%gP|U4XNLk9Eiz--ldnM8+x2!@T0Z+{6#DT~sF~D!^xWa-tLV+> zzvFA`t??Q87};hXLY<|szb`>wUs9DHje1A#UYnlZ3BCE#yW^`mRVVJsM4LR)kdGd? zvG)Abtg84O_ogJ(WbaBWk4im|+UDji6<uo*wk205Z4Rb{Qrn^3rBtL<cc^KzHF<k# zRr;>Lz`%e&P9QfhI50FY<h}fMo7<P8*OjG|p~r0Nup@nY$I7-h2R^d{fq~Z)blkk* z%SCs0+WACb<$XsQs!Bsgd+dMsFNxPZ{PCv=%g5l$bp74xvpJu!FTkIV<Yv?K57x#E zMF`f$$j=5lAhSJloAlnsm?1^{>xsUT;EOs1;JtzVqQw#tEx!)}QL&@~(VPWF7Q}2f zEESwu*nyXX#U~T3fGm88Dnw9tK@5L^_<gnb8%=M(&cI)rzoYPymA7$RcFZlz&kg)_ zG8`^uA;}vH;GId5cW?V9&LJ-)`6$UU#_oiRT|5^{DmI^MyjV`*cn3wixe@Q+h<8ZD zJ5;@Ki=k@^b^TuSL7h;si=j<@P^LcUQXf>Q51Q0BEbLog*;}bIZYi{HqmM7K<Ca3Z zP{T+qQDP~H6=2-K@?t^7tpKA?r(*gOw*saJh3yqU!5pDVg@Q>!@dKB*6);OEE)R}d z0n>!yqTjd`Fi)rnm=?vAAiWBv_qtF>PbgMU+)BjV%($<FLYz?TF#U>K30s6(DimxH z>OX{nEkXqX<b*9ktr7~h2=%2<utlg*_~{h49O+duy-J~wo=|ycjB(46o=_?HaUHiD z=?V1&srk#mx|4$2@pB0zc!hkxkYE<_4MV~@AsY+{^Mp)oC9<$j$de5T1BE<?<jTcJ zsEP?~FeDNZ@-{;vAt9eJBoY#`&X7n*$OL@p0aZvy$U%lgLPAal85}(fMNwUggnYc2 zqSgPaTP?J_(8a7F34~XQxEHaYpEX^x5*t~w0>dMOEN_XB=^Qh7$y8jInxK*~bX}H7 zWW3k9>|OD&fq^JRyN+CZgoHI21QTE0us#xNSs4<47J>0xHgZ;tn?c44YZ5^fRBUj^ z8wHaIOOZ0xC=7?5JUA>iAy5jTj!ZXrO5nJU05M*K&MreAtAxXf4MH)x4pxWk1w3oK z5mje9s+i_5(LO5@JIje}2ahpMa>sOSYZhUicqbDyORuhn-&{!iRM9zuVDb^xxf?ur z7)7wWBA=KKmuRhC_m!{bI{wKzUeGhEhM`jo*BQh)#y^3`1sdtrC1hU3Kk3W)_agD8 zA3wKeM$OZ(pb!;~G^P=+HvXAJZcdV7tq6-`T}-bi%F3!?Y$-|$l3|PaBcApktdLk_ z{G*AqEr`cpRKY)4H4M4NaGXU{Yr`Dr%jvy{f6|S$X-IQC9Q02ot~dU1MD8<#@N<YI za5?|Taw&rnW+h8X#*}4NdblCFa#6rs$Rh%)Yz&b$rnpKpD^jA+;IglP_U$tEO(*V# zO(|B(iAT~-gnli-F~m|h<f@4HE?f{#=bb{ys>y)fNhXcciPPX>t>b5C`=}wk59y-Z znO~FBCiz4krv9<Cd=nh}=Mh<gL01W;*RZbHK*2H9=>U|}mMjl&w2s5gBwgy5cS3NE zBYt0t%#s#KOGX(>#t>)1#hP+EKDK9i4Qbkfl3+Sgkxi_d>{!IIoNdycOw_!d!BTqw z4mO=hG}<DK+i1HUY4mWX5h=oSvD77yRpTm=Gu-LVK)PzF>2@^?QA;M$lI_Nl8AM}= zD<LU$V~Hy{SH@kuVpO`@l8KHbc}SCWgB<4*tBij<kq@wXxJH$9QQ7ivkzT_wqS9DA zjYx~3^=zWH4n7H&YXUTD`4_s%|IGD}d*0|MAifOO&N@EZboH!@!vg?%6ytiCG-eRB zXGi*gn>$i2?w*~V<!-x0u9`a2vGhi@0y)WJikd4<VddH!i66=M3}ex3;v%?!h5c=g zk3{PvMH|zxpfB7{T{dP3m!p?GT<c{Nu?!A26cBZ!i4=yb<EPiq;t%1#eL8VB(!}UO z?h^jVl7V)ecq5|=Oa5sil~2^Mfn0_)JT}m>ImVhiqPExx)UeNJm_lS}V8qe7Gxeoo z2eq2P+g<)sT;)HZc{#Z64`%F;-zrwwNaFY1AI#W6>$G*A?X*rEF{M<Rj1%EW0`t1S z<aH8J^O{F(U%{b_ClJ{xf>xw5oAk9jcV1Xx?vXmZ##M%=Q|}c<?<}Ix8>z4+dQW%s zN=d_lIIH~n^(flo=iv4oivSk)P}fl1<Tl-Mm&i&tIx=cjLzwBK1<&qzd=^x01+~kB zOzU}kDndwcZUmm`J&#XD2r1ShLT2<leilO9RyHC}uyU_hIUA7-;Ez}o<R~J$O%n#E zA0;^b$OvbIyHob}bti|}$qQ8Pmb7OgZ6`Yjyk7#RAkfJX0`HW-NeFZ@g}^-$ScE`# zzSL|}`pnmN&6c<yYql~wW}7N-+l&xYf!knH1#W9i6*M>X@9rvKLt%j5RKaK>8xAsR z>gd1Ll0-+OB|)nxeRpF*pecQKdqSWoeRq>WpecQKtBS`gg%fo&p!104PIH-4Io5<o z{8T62Yn5LUo@f_E7^b-xHij9dL>Q*K7_JX9@OxlJzy$ftKofjc`LpYR=9(ShINMPH zjzbZSIT4PTE{>NX919{Gvm6e;RsP_5e>N)6TwLw0mdtkX)Vg^ti13`_;yLK%Ssvk; z;^KMI&BLuCHLb}m9^^V=&-D?WNiH7bJHq4q;6mvYxp<KC2v18Z$N7uNeYxo@#Cgnj zz5l-ZyG53_4*REecq_xVJg3d@j_l`+pE1%~+|Qem=`GImrh2+!LnN%wjgtkvk%izB zBy?>gR2C!mVyG~QFPEgO7M3vYYGL=r2*^V0zT^;}utk)JPgWb7RnBGw^kA}|$v@bH zE-WhYW?i>gukKAS37u;|sf!KON<i!r8Kd8XMl?lo8u4LYJEm#!5-<Kf3=q@B=QW`b z5yQJIA}0ZouLzTxD~U^#_(W^OAn}PzXUYG9%y9lsp-=uq*uAY}J$|#$NPI-*j2!PC zd<zh=JXhOxXI$z12g30(6g=KlTz>Cdo%{Bm-rqEUU}V+51c-rSX^#}Jl&yq$T}?%5 zQ9@lMXI6}B+ZfVRgXj{0LPp?Y0D)KmQ-2*JMJ$>0PH;t<2In%SlTmPvfV4rQ#e^FL zQzII^L6<*W{E?Du<}-?lgvr~t8Lze=!(*)SE|2Jz{vw(6W=p6rMtVWS=Ur?P$TUzA z;r7lGzbW(_6P@phc4sBS#cB%Eh>bI1#s=)&$kLXWNM3b7@TN&*q(S2=6RDf&0#ei7 zR1=hBz%&G}>5YTPEUmz#YzkeHktlp%L5@g3GoSZS{kr1`^Wlc+8wWQ?=a5z;4PA7J z=*X}-Z>qH2W}%Vzi0=%~(6*gUnNW=9o3<TU`Q2>U_-O3jC(F%bgUMm%VN<n|g)0_a zutqktG~Wq?mxf1{mAlu1hZnoc-hb|u;gPh$JY1_DUUUAPP}zX8h0h9{wf5l+|0F3< z-$chhw`rxWW&dyc8bLpP_{Y0|e)l#PS<^px#r%J(od@~TuIb)`d9Eo6XDC=NzcFxC z^ws{KM_uE)*1O4bojodkPE=7!)TDM%Q<9^mB}L6hjG7rAH9Ht}ZtTeTQaqLZr`FSl z_a|(z?f$f`Uh9Lu<#~07;|mN-ROK@3e3Sq<e0|jKOghQuo^d);a6VUaWZ<<*A>ef) zU2**FjyGZ9>!lu+H3N0cmj(F!h2IaxE<QhZ>$?(fTJ|E$xtzEQ5#ab4*BdX-F2(C6 z=W^osD%hQFkKTBA3|^+oa&XdJ2frf&uXP6&zh=^-mlL-dv|Ar{<>z`v>f=ixN8e5G zJ2LQEPeUL1oXd&34gqd`DK35NTaLb4;CE!G<8|ok=2VU=j%yd)`g%Yg^GW+yJdVD* z;HSPEI9}g$LY`d-&`bBAqQiG<=a$#YKL$RuspSB<+GMr7UY_sdsn@xRz&FJqfV7X- zAK={i$Z?fR8^k&JXbr#9mf^MbK;QfH=;fqE^f-aQvFHdz2y733G92}>ewe0PUj^Ff z5QI6GqtBrobcg?Ute2-<k;^Avt$!2FfKTj>80wUok?=~7ib#KVKR>pJ$n~%6=a&X? zmY2C$Ba!R7`}MJwA{W=!cLd2Y<Cwzr^fLYU?yb@6*eA=~x%YMRsfn&(N5^Ad;TlP% zM3gn`Ky(vXi`<on4#07Z@q-kHD~?nwROFiz^6|Mh@jS%~6jv&)RlG*=CdKWF?2nY+ zqxeh3XB1x~VgP(u@pU4`r*|~|L#6AKKCX15(moU-`D2Jkr;XBFfWh!XihN*9`Xj~T zie9uShRYgSU?-({E(gO;Rvf3uBUu<OYuAA*m6o;Ypk*&RV6}$-O7S7ZXB1yk{6O(D zMOlju`BvzJOh@*t1NKt-B*n3c(-jvf%AR!K->CE!#ak8cRjgI~y&@0TV0yBK9mtFI z!k$Hx_61~{C(YOLM0rvJ?5cE6MQK+EKUL`h#c_(#t`L8&(hC(AD_*L&LGfzEO^Q1e z`Bav6+@ZKn@m|H>C>~U-Rs6l;D~f+q{F9=zJLo;8^k<6F{t*6+((J@cpL_Zc;}p{r zGZgVGM&g|{>%){Dp*UJ`jN+M!lN7nt4)vd-DD4}xe6s;uqv4k+%8OQn%WEW{ygUK& zH6Qidr}%*4BZ|%%b?%Zuz84igRs2%%8%5q!$QMuyD)v(Br^rQ5<Qt{fe69I34WFra zf#Pz-=4;D$Y51**`xNh1<kl5T?||aticc$Y*_GI>n5dYn=zRN;sr0Fe&bJ`rmFD&% z)H6+Sredk0^h3n+$td~w^pnU<iHKE-cPrkfctG(H#TOM{R{V?Nr-}`V-zfUfaj8F` zn5LMa*iEsQ;&8=N75SYP<#`4Uakk<dMR{q3@T-;POGxtZ^(gUH#XA%qR6M9Cdsc$) zC8gg~d`I!9;>U`gD$3rLkdqe}K)$?Sdczb)C~`p@!;2K>De|Q(!<Q<qP+Y6HUa?G( z?~KU*xZ=}_Tw=%Yw-w)4{7^BZ__<=H-|%-)?4y{Y$mK-TH$su~0@6i_GZbelE>J91 zT&`H6Sf;pDu}X2b;_HfUE55Jzp(2+FGW|wHZ0sPkUy%z38K0(@rPxiepW;BpJjHxP zE;Xe53dOaG>lLq7+@!cy@lM726(3aOVnynEU-6h?NRi7I86Q+kR!mXMRP3VIM=?h+ zPcdI{n&M2wd5Vh^xj>TXtyR2Uu}rZ-u}bj~#m5z&SA0?NUB#n{TyjbM4T_w&llCbF z6%!Rx6w?*^DGpTRqD{&dD$Y=xt++t3SaG>xiQ?6Yn-sb1llpck-lO<y#ZMK#ROG2j z<o7EkE2b!RQ|zUfr<kueRq<@axrz%FS1GPlyh(A3;z7k)#Xl&%rud=aF~t*#-zst` zEA3BL?5j9Hu~2cm;!4F!6t7aeR&j^oPQ_m;-lfRJvP|z8#Wxk-Q9P>nvEttp|5I@| zCUlfPRdJ%?WW@!F#fobbFH_{|T*_A}-l}+qBA4qj{($1+icc$Y{VwDGsQ7{6M~Ym~ z%lK~;eOPx(8e6#ua)mGHj*49sdn$6tFXK;B9HV%;BG&*jey-wT#S0a=D46kADqg2} zlOk6MGyWHf_bC2ak;{e||AOKxif<@#9Wmqos`$0y2}Ld}W_%mP4vL)=xzd>NLlsX` z9IeQu$Bdt)IA8I6MGWimjjJ=C2Pyox%?dUs^4Wj~KPsL1e*eLP28KVpgXf37y&E{_ zB;B0&hrhjha0C)_eS6mdsq)*q2lrd}J}z@h*Yazz4Q6K5(6Wvlb2pDkJ<{+}>Co!K z4P8oob@_Px>y5>pQ1&ZU%J#zz%Xc4c$SZxN@YKS=<zoud$}+Z0JZ7ivD}1+bW<yNk ztcIAJ8Q86OT7$38+YP>=84WRcF|CuzkKpSmt95E?yDlrqstbDkWfdu7g)heXV}mcT zZ|g3t@e{kQbL-Yy`=lmTByU@o@;v0DiW4gW+X{-I*NWO!nFijXz|PpRxoJot*nZm; zC%gqwJG+z}{v=osRUN39)(}&Ru<TZqm!F6#IQ&U`LFozGzB6T^^;}wEgKzd&%U_jL z9aH&2gU|9mAG_1Hc}@p^S^MfP70FetD?7BFc&tzQp}MYZpQy`B_wU@3HZwJL^A#uT z?8BcVW&3s>s`FW;(0=&|%Rc-`GD3s4Z)fbyZ7MEDXxqxxDXl7BNgInj@W#X5u_zCJ z<&KYItw|kxbwLYyU{P$rk%m6C&{9AxwDs^O{(=|M7UnO;GlA0~Q`iubnOKol6?1dS z&ZyM%s*H-nZLyyPVgo~-Pr0=Kdld)bJ`2RfY>v*~@MXb0nY#wx{2R;HbKo|7U3dAA z@^0nbDhF2K3%1%a9E6&0KV^-{_z>UB`BDer8#*g_Xk~6i&bAj2awyj7>>J#FM<3Mc z{bl{OpuTr^-`u;bS6R=p9_0hJbua9>t7lcOib2KmitXZF)k#~DH}~GzyK-r9>+&Ae zU2je=E-G%f{g<QKZ|zc+_xTg0-FF>ns4YFx@MKxyHveb7xU&oWb%EG>^M74<R)cTC ztcK{)v(YMMHbfWsw@yy=)dhmVI<N26MAWJE;@Km$#hl#`ofo^MPwJJaX{p;1QBOs| zEmwCyTgpL<<*%fCN*Xkcc(%?HnAstAXR!K-x*)y{99G-AL!izI##hzW1p@oi9!P0j zx$%StW$rI%SKYeuN@)?X1y@Q~upoYCyXvGWgrWxGv#&ql1Eypr?_}K^{v@p+sk#(# z$rXn`Nk^<z(0*roeC;%%_V6be1zoDIk($fQz8YGuK4E2d+0_c1R>3tV{E+rQS5`sX z&gkk6D3t}JGgDC(zK-~gbz`YreW=c|_+?^2{-HXrAKx#c)UK~RR2T3bLEmECO-js5 zT#&db@xsJeiSOo*L)oy`p+{ITm8YYGUOa0&%3)kXbWYcbyn-$Ht@AhMm*dN<@%Qwq z?z^)G>VHpZ@0*V_?62)taV({Kb^o2cHZMxYcUE=5xM0ezQ9V%Sd-nI<+I`!GuY&gs zsTsIyP{o13y_T=nkj(?jyOo{x)jM||X?SNh+Fi`e9~W6D@7ZTJ1lGOPkevB+T~sjU zvw-(-LrQIQoj(}&0$NV(lL(Ef^T)!@+DAG>*ZJa}tcwoBZui$D?&^atb?snG^(ASs zyROXNlwX?PH!UY^ZrbChx7a$5@2<2fQU+HbmlM8Ci9?Azf%<!{A-Md7v@sduu;2N$ zA6u=TYX}rQ*N~X`Ttjls;2klaWyak1hhh88w_hB~9@wA0CB15J*}%=&GMXJ}c((RP z!>juTm3Qo@qgaep7ZvO6_>uJ*MzfjtzSvHgTNuQbq;s$?|AoSKWog?EH>B6HC0$$C zzOWJ_nlCu3A&@g2JFjy@yR3L-Lm<y;&977wYhzlcV8qHw4A$A+w3P9PpVkn_Oe}ZC zv!vFZy3R=n7|(7=Su-bT8#EO$E^Au~N3Yq*<+r81)nMhl)!@r)yRGzu5A7x(trMY0 zFMyU7TY%U+jxLC|V=A($3Oc-yvIHYcbOpw<KIk8bWgq)3|7KrhVs%nQcIzn}5-NRL zldCtTwXJZEX1<*mgFG`j1U6$tMC(k<4pjPgn(-$oJFt^!_-}><*)f%E&<AjQXT`NC zwP|_Q>DCxbhT|*8qa=J4ZHmt-&P&Be=rR4ohw&^KW3DYDE&5jW3n@?41)~;QGccM3 z5~HgMA>FPrSRH?JTE+a-^8DD8=q>S^Q>ysQ-!DdSWaD_Y;mfi2r0*J3{aee|H)jXO zvfOQ5w&hfIK`Sn+y(WOKhJ8<2g&7}M17sW<eDlDHe%s!_IOa)_u`J6sxYv%pXxDd^ z_Q6<|zPoEV#<8;QW!*OS$5_^VSNEzO7|Z4sdy0EhCvHh9@42(*%}a|DHg~Jeu1qf; zTb#Uo->9}*vt%smieCF5YWZMkyo_c3x|rCr3j_E{`MaZ;?*~S+K$Fod)-{^>zB8KP z-ln5j4n{MKW5HlmY5x9{2htLBEb|p4S10ILW?BndNpNR!b)t@CK^@E5Whd!amQs)? zV_6bLs5BYN+U{(Nu`CxYEWIF0$Fhv@SeCUbuGv_YiMwn}^^u0zrK!7Sc6b_NSqF?| z>oAth$v@IiQu|b0APS?oj%6EbgEE#4!&p|<;#f9J#<J*UV_9~SvF!AFdQ|t`*$rb^ zRcTMOg1xnUFqU=Y_|{}B>xMe7+TU|)R~gH4YjSoC(6KCcb53~|9m`(*fw3&H_Gx_i z&9N-KHmc62W7)9UM=*Aou`ICNR};Uh55_h-7>%(k(DGQ8az)x8GnS<_8Ou^y9?J&l zST;n*vgN<Ia<#9}?%k>|P&lu+^EJ0!8}$-Th<JCk-6QIy<qtdlzhOW9_piOJxJTi` zA1}{C=%d!7m+?2bO!a*Bmq0rj6d&X^zwq(v83^;P<3qb%C&P<xRbS<kM^Drz0I$4l z7{d2w_zreHL*#k?#6=A8Ma=<tPoi+qY6*!xg*;UZxnvVV0#T<4MB`_X>+9I}4fiG{ zWe4P$Wx!V<fxgEW2nrB22%5ab_AJC;t_}Z%_6C68_niD4hriK@-mjzQfH}ZN%-+96 zGtiT51%64{#^@X&J%L|=#d|_YZ-9Gic)y8$Phx$6D=7P)(H{vJ2wcb5zpJWXfDe7W z-zphzMP-rO3e*E)?8W$N-wF=A4B_k^m)#DY*uT<u8&l{D7fbW(Js0Bdbdsx(gnc_> zFCobk?K>EI3!HodyO$J|$TzS;r6ZX*`IJ}48$bpZ&&7w9Lc)uWEX5nQI7fYV2@gJz zRF(*Hm=_<_O5{;$|Ctip%tXF;{UytKwos52s*V)Dz7=XS9*N4=w?gG0>+&_MP+tq> z$X$&}#3!eY;2iu&G9RQ0FJJAO4^oA^o8(G<YAocR4e6+Ai#Co}<UwM`l3YF4vVTQu zHwZOPsePb=tLG~fw;CTB3)gGpS_7FoDZ{teacdwWRBuqhHINZ1ZVhC_$H!8w4ujji zi$a%!jNizr6SCDFl%Vl`&X}{qjICBN=5EUH$xy2UVX_8f{QF_D)vJ^d#y>J<3uE@b zhrm`S0L#AjHT-Rlha#=^Fj*lNfJ_(@CjCWQd}nR(wR(o4!f-DbuuJ^}vJCYg*fiC@ zBiQ~7YFkTF9p+&&T<FkR+UiLjHo!TgrLhi|_)^l+T2GeveV~*0$@VEidht9ViQjA+ zGKZuzSVP|ex{b70L&J|MH2x&ES>t_7*uqNN@LOU>Ai|G!YXbQ&BMA-HP?K7L;<wtT zdRX4;goFY^#^DEg65FmJ?-Mc{`+5Rm`7O7Jt;Wyyq?O^=8Tg@|B<<Hk55(8Epu_QV z3=IoS{Pm=z2^;z;Lx=f~8#>J2xefT)icb^EXA6lYX~>_0Y{PbJ$Rsvo<GqiJY{_{d zcSK0Y88U!p3~kt!4VlEoY`lXIlPs-yqzIl1x~(*4LnljnHvZo;P8zhvNsAsOa&c{; zN1C*u*MV*;ZQ9Vuuc97o<A0EG(yH^NJta%CF7U8je@Q*kt_?jBt4i8Q!#1?G>||-# zCg?`6U=aR-ZJqD%%-#rpM5sLt{k#2S%brQk351vtc@|rFD%L-w@R`d6kU1IgsW|!J zVmxl|f-sKW9r%LPo*Rk5O=mW!blz$@+7t2D9%kDaRF=Vhk}-~dKEfZw{P%u={(VO+ zwD?&%k3_(W8~0%f|M**U3?)rZR&X7(fN{kYhv6bIoF0x+XmXZAYfUf8oaK<DAG<5r zCPJc$Rj0<ajgTn&2*F3z?V;6m21JT;wgYpR>N@lx4G~srdjNtv7@lpTf~3;T=ldPQ zcH{H?5g0D;J<&Ks%Z2L3e94^mz}Co*@QJ*gf9JdVfr!9&_k>B}ol20`ZC3egc*7ST z{ox=8g3(mIm6Mn7)eAm+KTj~4X1FvJh1uXq63)qlm(lR>xlQQ?dfsL$w5Af$VXMa~ zA4|-FL&5~LYpkOUqn#`<P`fAzZCf|cLw*pET>zRf=R;PQ=`j|cMW7`}dP>Biv#5@5 zvPB&|e4UL_BdDblB9?~p>L}(G0+i~48y5XYL@syynTX>{Y~-JCKPDkat#&;<Z^B`} zf#zb!bQ7&Ulm(n^O(SUbcW>Y;+k<e-6Om<za~>RR&9bT4nGwkj%S0~j!@F5BK9z7k zT!M9}&!5|Em9@so0;bn6uokYhD`Hr>L@}7l1Q4~(k<lo=Wq%zGIo`XTp7-HUz68F( zj<eSK{A)0?%<@ORNToUJ;cRO*!I-m(sxSSHISJOPk|q%mV<ch?Hkrv%V`w8QJjp6A z+W;YV+^aB%_Th{a!iVCWL@;KqS?6Ed%uId;b`TD`Oc36N3!qi5_ph_EYDh63u@b^h z!m?_5f$j%~zC_SAy>~rtWIWdd`6bYlpom>6DQ49$WVkey*#s^DXzyZ3kCb6nxCsW4 zLZT#AMBp+JHuClK@aGJSAh5oT)fZdoHBRj(<E$1_@zM&?nX9aD6KH2*rBvPVwa~>f zZW7OGQ+YOqrIL*qgh)1Ef4a$Qx@ilMY_JS_z#+f*FwC(k-6XpL1<gq2DYYera(uX! zRpV-e`(2H2G8-Y6Q=vT)Xiqz~Zq#-9MW(V%oi{aS1yVyZAzUrqA_7}!s@1fW(&Q}K z5a~W(Y0_R@EKc!tFf6Xt>0)B}vf-qEw+*|vnBz&3vuT8I&NNq%#vI96E<}dGp*0h9 zka?DkTrO4w6UNtz_^Obrc=&4=BNaippA2)eaJIrBp711`2PMjhy%`Iq5LE1)CSptk zpEvH|XMjV%G#n0%m7x4Dh4~$$z%QRVjs?>c;h#nzKce<-aD7oo%eWQ_`6Q@izQ`zD z<g%<Rd><SFRZGnHN_ZU3W35?DG`Frb;ZdBdOX1K)2+As`taG$X<y;~zjDax(WleNx zUGA__Yl*N<B`E7U7i;*QPS$gUbs9lgeJ<7oj@Ai?FB8^D1Z7Qjv6i@5nRR}{zS7Dn zv9O&$Rt-a#%T_21|8gtK#MCh83b^=4@EQwUkzrME2@Ge*nt-1&H4HTOuW3$#cOr;} zBr0z@dDkSOGdboVW`=*w9Dn+nV#F+W-9nfz<~@i#%`r+`Y-O!NA`D^SwL&O@*7*Ec z96}hx?d@UgI{!r$!x&Y=u<PMq>^eU~)&z|J0}sKu4%FHYQjG<#1(SksiO}LQcD6D0 z0>mswjFZv(o5?aA$~MWU$Y!lEvXOhG(=Pqi^ew)rTYRh!<|i73CIKX9LO=r6tlJW9 z2MC$5#kXem7Q~v%X*hms$`;?WEk2ePYciVj8s8S5)1Dz}nGh#;2$_SB1rcSe0=6l? zHF=9~(iR{6Y~<0T*CcQ8IV~Qdi6+FQY&k+oBFbnVWYOpT;q12oy*YB_!i#a8P$=G6 z1olykB?Q(VCQji_gCL#0p2g`aqjA4%IuY)I2#6Dmrr9n{;mILlWhFg2b#j<<3OQef ziBQ_Go*s^Kn61fRZfyCXIdLLX&^8RJ1WJmD^kf-(BXi<r%oz|6W_m^#B?Ma1bWSX@ z^iPfMiVgIzWKos`okX@YC#GU%lJj6nHJ=l^<2l+cm2rA1;rr&q&FnQPluv1r0`i^+ z_b<<n-9JoPnjdE(JLYaSm2dzqJU?Eo^J50`W)hwsBSPn$4CZ}1JU@;^J&WAG35TlL zyPh7-Nq%U4+y%PuD-wLO3C0|qc{DdCJU@0KBF4x>WOd8)<9F6$+UAbqq?q<$$RluK zjGjRFhx6kr&=?NDp&t<5gbUylJ2Mw1)egqH=f|Y`z+ozf`R1jTnk+d>H)4#Q28SXd zur?yISEp_`do{A|*{M@OoSh=}JF{1(V4B3cX0KN>uafQA1QtlsSvo^noTY!y^fn0@ zV75`LgcWdT3Ki?=;q)B&B)IdLRTIgl<j={h&axx<jKrFTXlC(|d|qmPtvQ9E@@$ga zDgwVt36WX4&d^)>u|eBY;M!tt5H`mYK5wv$>ILUa;<aKU42)!!ok@HX1~Z9g^xJUK zQ(WC<zpLB)!x{be%zJ72Dmc^t;YRUJBCsG+(LIbY+6p5xdZ(OSES%Y=yQbkzQFnk2 z=Vz$&IyckvNQN0pcQKVq|8sLO$1Tn1zvs6KvqQz0v12m*L-YGC;Jh0Svsx=MyPwqJ z?4Fv-;B*eHiC_lK`Mnv}rx5g}6q(;UCVh8)e^!h0dzVqZu~67-ZjZL`=6Vbya#8no zG3qP=jdFe#oEbK&$Ys_~llwJ4P454t$^AbwL2o{}|7U&}{Qqcjj~<Gl=Q23+Vg!E9 zV8a)lg!5)d7%tu^;V5{>idiuM?@P!?$Q18XLbiB|2u6G=d~)@{UnXjPUx-I0|Mc-k z056KTFDKkVcl;|b@&BP6h;q-nXwU#0%Y@N%Wyu2USJAJS#U+O@%`GVgg*gHiJxCFH z@33v0rP{8acVXtB4qZEVI|N3+%k4V6{YnV3iAT?{Pj(IQt{%^TbNFW{|LDFqdE$4@ zBsCk4Tq65@cvB2jY*YsoBu;h@=|oZSb)e5|L$cJc#Tn2&PF4#gTwTd(wg8HiP$RZd z{5=>c`;27M=Urj6m~Dw9Lz0}Fs4Ba^2r0bj5fjcfQiF{7MziiW<XvRq(hN*6U^1E| zNvi#33ziH9nf-ebjka@*M3w=w7t-k>c!>!zd(}vh%U&<ylPrn-l6~<-cC6__c_|#T z-HmR|)Yd5cnItT7-ZtVtpZpQMQV>E)8Ay>dR~b{{4St^85&zEg{$+ipcdI=@umbpT z6Z#6FE9^|gU4qqizs{XAI(P2ev2%9kj85HqcFyS5p>r4S+v{nO$GhKtR!~mU^>|mY zt$A=RvA`+=Qdl1EYBnHGKL4=l5g^R0dXHBs%i~Qj5*JAf>&1g3cLj=#W~o09n~}#v zFEVzd88B*$N@;tZNg|zSl*sN{j(IFORdSI;pUnWaDNjfKVPo^KialP<fg0ILOp%yb zjOPA19`*?jtJ@=bSq~o5=#T|5j&*F<L{!*B!1Q5{x4l>)Y}|0kX!FiBIg@tj@h+7@ z#KF~M5LT1Y5)F@}#5UpK{yrY>LmL(e_7cO5K)h%Wf8>w%!3`4Z?P)-^DDs#+nmpck zNh6Y|_@KO>cf~I6>OhJ2Jsc5v+lI_^@zmg0Y8)tS0zQ@IVta4!&;(ohfj2??-rls? z_L_Z-l#*?pyc12#02*UP2d~*D%-dRG443w7vul&n-KDDR?i7?iI--b#bd+wAsF5{e zPK+cI5fL_LBwA%mF@u5d$dKjLF;a)S3q*zPg{2@J)Yx#oM9ZE_Vu<YhBtygiZ_Id) z4|^v$L;df3_J|H$y=_OJ4SCaMn3iOF`vwls?v}@SR!@_0UTn^jj5_6J3~aKK7!DE_ z7PEhy?mA_<of)sqzEdTdHvt*P*-LOYkpRhs*XWg!VH-DNm2)(=(a%O>%YI@aVp@W^ zO^6&Dqb-`n=heH<GD$^*ohm*<%$Cyhwl>C@0yZ^cbec|uQ`!xK;rq_$9vPg)7s<e4 zTEqTr%RO%xeGz7{Fr8t|#$Mf{O@?+oARZ^ohZ(&;6aROH_DI=CLQ+7IhA7ITyf@~~ zJ7hTbeuYESZ^UT*IY#jtahN)>9HaVQg*M^k_Aa5z5zp{)yG(HVKN!_<AUhKAcz<cX zF8I%l>SjDxBZCYZyvHkT1_KAP>+!CT7&B~}0nEEtg1o81;2PD>H+IOjXpS1Aa*eQ_ zB?_fBJ*L%pa11>IW&Ad2ESCTo)me%j)|BT={`tQ@s?RYQG<OE?g>1^stxk$j4E8#s zx@1Jcdy%o}sNPe`kd4VHX%UY636JVMM2~ldNxP4M1W7xRsHsIA)z@HDe;1?r9**kt z?8d16E=F|;_)16htA85R?G{J%NlnJ|KU#L@PMte-8RLz~9OS)tJT?eC?Tp?Y4~G4V zh73Bd#9BRf&0KTx`FD4llhaqR>Dzg-|5)yMi<jyl^!NeclHrzj?p^U#FF0@6#U+M; zap5g)a$URcQN{96i%TXgTs39xybBh}rg^eG-oJFZ{pz`r<{Fc<ZdBJ~>%8UMfbPF- zE4-Eq3y0CKSh#B8ij{LO;Eyb;g`NJ^7(<&LTfcbi!jd)17h20pusT#!Yay(jFWEBO z(7Ukkg1P5gYvxI)*}QP|0xYUTG0P$M;T+5UfoqI(WN*ag6-pa_%x-?pPe$;g$%MBz zltfm~$NJ6|t9bEJ7R5p-iRDu+S-fBYs(HC=$Ve5#*!oOPzO(%yqKD*4JeEZ-Ms-TQ ztudoV56|quN}RH6*##>z7cE<nIdak&nFSZlTex7s!UdT{E0)b)xN_yP6)SsY=Jp%f zZ&2pIoB;y|49ppt*<;1R3l`2@xv*#EEQs`9s3LuH&l}oz-io<P=ND(9?iR0HyliRa zfRl0u<qST@8p+21a~IB?x7f0C_?vk2vK82rukRVTmcLc>88Mz%f08fRZfm#qrr4== zn%%*hZfAJ1Y(C}0yM(6u2X+DNTzt+j>bv^~$|iw7iVv^uQXFMK8agsQaii}l@6{eY z>h8C4&4nd%=K)Jr7%X;t+*Z))w_t9`T&v%_l`E}&P4^jW-b=qH+Fir49bs5gWV<VA zJJ!GZW`!M4zN}L}9Isph;lJj2lVx>A2>Ij@3a~jJ<9Kz4!!rFJ<m0of=IJ`ms1RkL z8Oe1z{<``2%}PsrtD5m0iZSnwjh2YM6$n67_(~jYz7tPH2+M%!(*CV*PM+Yk0<riq zA(}pOIdNqm-THb!A8n*Q>T&e#hToBa*SZ$V-ud~Ab2;Ao5a9S3mx~3pGx&s1FDLG9 z&`r`EYgzk~O}hAcAe`=F@H;Y8aec4I{(+>OxQF3)>w6Qc4KfkNe6)gd^6@JCjtsok zLFmh*M=vMtCD3kt4baDthWZlV9DN_c@5sPwy#syRXTiCgxc3p@*4N{lNWGyb!}>ml zpE68~*RO|S-zcXMgJvAve=0hB3o+1KgfQoF`26so%`bPtUkBgG4gn+|uQYfZKj~yJ zt)oXT=9gDHFuC)QhkQ8Y(hl)XxiC*oU!z<Z^mTV7;CP9y>{*}YS=Md@rXk$Xmk2-8 zY@%<JIa?dSayj}O+Cg{t{}+2CI_VI(2coN~BSbz>3$u99Lz~7sqn2U8=VM`BdcM0y zB6~*U@=D8g(`=cM%Zp_Y?u&aQvRql7=3)s)F0Yihn?{FpU0%F&?g*M?$}x<4C=O{t zN6C_C#-o`b-f#U3=v<O+3sW6D^KQ21V)I+w4^CrR&?<AE<`X|zEfkAvXTqphR0i$O zK&NI+c+hXzb-DKjOODu9k^P=~9d;!mBOL!3KS1$R#RA3giqjP_d<g$y#ifduDwZnV zpvdu?`fpYIrQ&|Y-zq+$_>|)Fimxkvt;lge@}tO!5a~gRqZH-*c7)GWda2^YL^S6n zd){GS{kg`M6G8KRF!izzlfGT)dz8LkY1#V@@rN{=?~uvQPfUq&&N^@y1_#mwijx)R zDlSvJOp#xqk^g4Jy^6n8<Z@lc%U+Pcqe_3FXk(CJe1c+k#lecB6=x~VAtJv^lwPLv zT1DB54*ITDdaL3tBEoM|`YxsKQ~F`WXEgkf(r+lfr{NzeEql^I-*Kg*(LZTdYa+r^ zl+Gk#9?(UxhsF=o_*0Y~rSus}Pg43ErROPqq0;LVuT$Kq__*R{ir*^6qb<>{j*5L0 zPgWeSc(&qV#Wjl8D&DO4OT~v2d5|RazoGb<B0D<66BK(Y_9LRaPEwlZL6RP?I90=E zD!oYYA`M@q^yNxlt@LKaY7M_tX@1E{{SRsQBT7G~^ovTrr}!5Q|3YcmBMvvkzmo>C zPMNP{#Z)5nXDi)<2)+@D1&Z^D;N!*qjp`AUaS$}eY=-kU4KZD@ui^m3VTvOZM=Op| zlyMO9vy`5vxJdC5MHvslcZJedE8d`3u6T>$?TU9Q%J>NRhm?L;@kzyJ6<<>1e31G2 zqvD?w|El<fVx!{U6{9&$LC)EGlwV<!&Q#=FhjeenT*YCErztvnke;qIKO&^uG{u>U z{Lr4^=PSy%44P}T7`|4KU-6LUXW&E`mw`7cU9HGZz!`t9;zNoLD?X|Ctl}ROUsF7) z__5+&75UL6^`21tR?*M#72&apZ4}!p${xUo&sLhBnv<WOB@j<h9H}UK10(zlrTH;B z`Q|8|ulO^?Qbm3MM82JhHHr@?9#DKj@fpPz6}cXf^8DPL_*ccR6dM)g{(<;59FKuL z6#FR3eFWiSls->!zM|Yu5WiGu*<%>=8l^WYZda^UyhZUI#a}Bvp(ytg=;2yJ+V!&H z9~J+kDEAe_A5+@d<CFsg<>dYXj8i&CF<0?q#nTkWDo#+GtT<h9q2gl2D;0mPc$?xc z6rWIhM)5^O9@9trxXA$VgklQ(q|+7UJ_G-0N{>}^_As5S^mN5@73V2(+XCv_q*$)F zO|eRGx8kFUPbj{x=<Hn@&2b!Iaf*Ev2Pih*t8|WrJA0D;OzCBcrHUIBZ&Z}~5z@I? zX}K?fmirO#J`I=q66lAOeo9g9PY8cW=~orG6$9=6MDe)d-xMw0XAtjG<X#P=<vs=M zs&r4q9K~EkZstJ#35t^yrz@VPDEBk)Em7Lp^OV~^Q2t6qXOGhxmENvcsmKi?$bYxu zZxkO=d`$5vMQ$8H{<jq0RXnQr7sXE%`BRVlKE*i21jY7>9Td6w1o`_b4pAJgI7+cl zvH4!9b2WUS;)RMADRS2e>c2{HhvH7fyA|(Kd|J`jGxbkOf1t>{E~xJ-#pZjXHs1?1 zpK}=Sk5!zdc%I@)#Y+^gS1eQHmKl`4P4OYchZVVT2IK#vcwF&sirhYf@f{SqEB00# zrZ_@zyyBUP+;4;O#fl}0s}-+OyjF3C;!Z_w$3gkuC_bk6l;X>ZuPYu^{8*9ucE}tM zj|hmdit&o=6w?&Br3d-?D-KZ{t~gS$KyiX%k>VW11&TjYT&7s6xKZ&s#hVnjD^@CU z%MjXgx8iRUA5yGUd{XhS;vW=cFILFQUaUabixnt)u>$|9d|xPXPZFjVtr)MEq}V~R zlOi`QA%Cvo$%>~bj#ZqXD0{ksf4b7=Db811qPSG?62(guuTZ>N@kYhXirh4X_S~*` zm*TyO+%1LiPbnT&{Db0~iti}?S@9D^*$Wo(vKK7Si{BPfUWy5dZ4^5wc2ex7*h_Jc z;!s7|BNlo`E6ojBsDGNG>=g@ouF|qsENIy)7RVi3$X}}XbH(cvcPs8u{GH;Ximxla zt@wfBM~WfE&lR~v4AYZ6Vu9_HPE+i!D0{>reyq|H6lE`1gv(yAzzrIHrQ#;V8x^-H z-mG|^;sc5gD?X<9d&O52KT!Ng(T`_Wv_Dp{wPIVvY{l-1BNYo2=PE8#yj<}r#p@Nz z6mM0$L-8)fdlk8<4()kc@jb;qD~1$5SNxmee=5e|hpE(uVo$}sisKZ|P+Y8dp<;>R zYDMnqLw&a>9#pJVJfirXA|DWv->2A0v9)5FVuoT@#h!{ein)s1Mu_@mE6!IuUva78 z3dM58ZHo6RKB)MX;t|Df6}?F&omj<qMQ&Tf^oJ<sDdsDlt~gO~j^YBv3lx_tUZQxZ zBDX!F{xZcKiaQl=SNx^oe#QG0xlIz~pHqBI@h!!76^|-%8zu7pO_7_!kd9IeDkds+ zR_v<SM=?ingkqlJ7{${Sxi1s-&rv*I@n?!F6)#cb#!ckEPI0s1cEw$aw<>ZwC-Og_ z_^{$*iq9z?R^)z9<bO}`W5vHHeyP}?$PJ;$A5?6k*j}-dVzwf;i6Z|{#ZwhWDUMe> zQ<2+9k$;|IiQ;O->lANNyiM^JiU$>I6}j~k^}V9_iDJDXx1VBsf?{XIu8PAHM<^C4 zPElN}c%dS<ucE$E#qElfirm7A@dp)OR(xHN+gLHaLD7eI5TpZ&Z52}$yDRoq<hEDj zFHk&7ajN1x#YKuGimMg5Cl=*5EACRfRq-ChUn@SQ_>>}d&*JmLKPi5sSf|Lnv>5-b zqQAYN`HqF*++2&;QL&3+55@k9gA|7=o~l@&I8G5iE9LAY497BWmgkemUnXg!!;AB2 z{QMWh&k)H!rZ<8j2R<|x;crmB5BPf(dVb4!kQ0wS;ynM9=bNDORL=q;=wc%H`wt#G zIMa3g&|dAi19N`#UhRG6AQ22<xdJ^bXRr3n`~SnTv9odO^43)eWw%@2ZLO;lY66?R zb))edHL9+_hkc}L{hO`MJFV!tr{bdPHhKb8zAaJPqp|n+wS}7s;|dQq1okIj7uP^( zXvT~N@A8Sq?uaY=;)bX(U)<n-G;!jw4ig@@@3i1u_Z1uq78D+f9<!~n{a3Fwwm)9f z;4OV)#@H`zhy!PE;<3GfM-w|WUU#BX<MrR120CTz>Bj<NCqSa2v3<k##vWgDpX>=p z;q}IpuckD_F7JNA-!SGxyRU`@PxTcZODTM2#?wWw&w#eT#ACk*!iMMvpz)f9A<&jS z;nDlX9t%zwbId>HoyPXx+}@b})t?*Fk56fcfu5*u#++z-ynpZ%U%|2TG02Ogvv5Y& z6aKFYkiy_#KcvteF~Qn5ilVB0yZkl2LGugt<=>ls5_U}Aa8K(BUyp%>d7p17O)T@2 zd8%#;#BYhOUYda&hOOXs&#oQTl~zJU><+67O4WYZ`mGgH7PU2QbE_@h9TSf|6}#8E z){5Th-|E{MsEV$%cTH*Vl=>?D)loaAG(^=-Y4Db9A2s@|n4O)9CpX0A?PyFs{zhZ+ zml-q8o3S0`xvjC=*JHjoGj4;F;Az3rQEHv$yw=$5c#jiNC&r#=i!x%~Iuv%Akx_Kc zj5iwFe!Zu$`&UOByMNi|gzxx-6UkqX2zK|4Io7sdbVF=W&lCPH$4SYa;`8kC))X8| z&bO;)7i~`6HtOX3gKzq({FTwwu{GSKc~N>?m2dkisr^!8YFbtLs)E)2EnD+X?s(^@ zXzZbzTpP1x+gphh@w;LxJ=-r2_<AOmpZ3+Vds^2d>`bVh+>m(sUB#<2tWRzKR@lTE zeg`{Ozta#*+}zmun;ngPz8T$+c!>Tt8v986p$6abR~y@Y`Eq0H&yO}{eRc@@LGNkI z`utEsFw^^4qHXn<^U~k%J*y#c_O9Y>jqSdkS^Q4%=Eh{vHBxjXi>~ufKJAXb+?f3N zpBuBjteSH>bi6$$aX{iAd)R3&{S)$NN8LWJgnYLzk-q1hIgp*Ty*NLEsZTC`sd!6c zVmNjBnUm>~`YVlzU;Mc-`_nQ=XMd46U{1y>b3C6VdLR8mlJ4AVJ<5H^|GoR^81&Qb z|6)HyAN>k_Rr=`|^waJw_R|9N)2{#4{d6q)X^$5BDf;MF=&N5&KtJu%te=iUKkfN% z&`;4nrJtg|{ulZwdMNrSdh5T~PtilsPtjZdrGAPYihhdT`Vac)e`SyDv6v*_^$#we z&G&is#qb`6<4XYdg*~=sfcE|x++Jso?GYqKF?jG9_>1kfd0wBFFEG7B&XbVnJD}Ce z?FGCOFJg!<>H<d3WJuAK5)#cVE3n7*cv69A{9JbJv3(Nbe9>PK>_7>`u&egdBInC8 z6kpgy-9oAP_9#FUHv;e#+l%0L_Sl{Re{`bnspvUi4h+N}-!st+^k9!|o~r44HoV6+ zU-bE&3-7Ug8_DOR-xFqEU_a3JLi9&M1_Hlh>>*VZ3_MQFhn2)0+uRq#_hNKCxMSpn zWx%#qgYe!4#@J=c@p)B10^rA|3&tOJ3{sw03AbW?!IZE`tB7&Yi4hy-5;J}yHeAI{ zP%Kf!cy6f?OLU1DzY$APF_|6yUB$Sof)V?>OU(F<nB}2aR!lwY^5lAi%ex^gmMhUF zZ^m!LhN#$`%xJNu#uu6<wPIIl#&5)ysFB>+%~PRb^<l9JmzePzu}T$VoA%_XSQoq| zG^ype#EjpFjZ!gg`Q}-!Vq8UI#Fo3njNgc@P%*A2^XyhJzWg*|yIo?&Z^Uj@u{fsI zM@#a#uvi~gNgBTq>!&3-pJIL$<8KI)n%^a6{6;Kb#DexA$mzcCcOWrd_F+6hY}JDi zx4j3tFI+2j8G8>adpgPWjJ=()myl#Hu<u~(4!HP9*gpI<cwQudy^Dot1r702lsJ#X zR3*wG9X}e|Ro_ja8kiA38oNsi^}0~lTUw|_PzfK<6d^N^&jh~DH{^DZ@!Z7w9$NCQ zP|zb(D^z~`VtlGD6whmn=SJN^-47~}TeJ(6$ZfjShjhgkk4?J8^9lvAN4F3>oiTp# zQp?`|9MYQxs&!u)bKiR)IgDBM1I*E>_;r@>XBLr=d=Z$iCQS0ZUqb&d$zu<aE`S>5 zVI6gR@DdVjBRl;dt_{~qc=6*f*<onQ6>K;0=c1LwVTD4aaQ25WFsaRB2)Y%qZGHn! zYyLoV7}_32NHsz<#eMMHO~EfmQIIL_N35jClk$=s21zl9_Yx4~h(w|LDEPNaDTogW zEXKC^3p}0RNp=|8`jF3oMv$f+fDqRM+TYyon0h`1Y3f=EIt*g!4I<c%gW2N{dYXbh zJ48vA-)>4~!}DuOIvj0zHuE7P$?=R*&r{NGbA?fxMF{&7C3#EwoRSVl+Z70j<Iiua z9gXFJ8ZM@>v+a45WWi=2TCDv&Jjo7+Si2Uz2$CEOWeE<r?H+a&C3);Kqua3M-vCdt z!_k)KJ@8EcBxQjIq__~$?q$DD$yX80!{gdK3(wP(^e9K$cM<ZIk(A*c;qjClVJEWo zSwerIBunU9O2#UOlu$~%q{$MZR1zgmwZ~JECDa?yQbMP~lk9Lv3Goa$Sj#lIM3Y;t z+EeX~PMS+7$uuvcq{AU;Za0!llTzHi%bsrYj7v%Lmy~3!9HgYfA!)v5B-u?4!qrl; z(#Fv|rqzB-N%oU(Dd})Xt8F9wQtFdZPg1hl=J}RVpWP5G^?3?B$qt9q=UGOQX;SJC zC4XUGKuPwL1(al(mr~N<kTf?NN%j;<y##09W#2(bb`?fTSGk>%4u^D=2aP0eaqolk zLrVVIewUJQ7z$sY<hO|Y4@x>5ZQCR2Gb71bq0})-K4_<*7fVZEwA6|ZkCl=g4yl#) ze9&aIW35msM9JUTQz*%n&<oL0E2mJ>;gDJ>GLq6)AjO%b{f2!bCD~WzQ&M_1B^#AP z%C^c#@}5j7juQ4e_Oq1aQOb;NGX$P{DCuytJrg018%f@lDHWjPpKP8{-i8a0UZdoN z@O(^3hokLP2svRSSwfTwQt|_P1SQ#57%e3fkM|JC4u_ObXM|`8Q7Vy=N9|RVWC`&F ziImV7N;(`;LNkpd(@clUq~zc2{Z5(}Qj&e8l#&jIq`AdNvQ{Y7g_7Ue$DB0ppd|as zLzHwlB+Wx2*`DpT3=F*N98V?(F1Fi0QIhS}#(Rg99*C7T+Zh!0%c0b6NEK0PkY}1B zm5XSR;#-y!-ibtNo{?fp-vg=LlsegSJ*C*v87(dSVoE(rDOSlfMv7_O3G!1)o$BFP zpkm=(O3}hcDU||`r1iRyV&B*gmxpj1&cL_8(l;0_ed7~K6;q1+;u|ByHhK_JvQb%q zrymDK8PD-xRN5%tnxs5PDc&Ucjs&Gasai<A3$(|17C2ITRU%TGD8;rSQnwf>w#_FI zmIG%`^i)!cZIjW`Ht(m@3`((GJ|j}8><fnwR!XTU9_a_4P=fv78%pe@1p7b&9|`k= zm?+vc$cMk!O%)LX5Mdw0^XCpds3q6;9wPW&qk~N62K!iX*N~R^+z^T1OIjv$LnZ!M z(CL#<BR<Y$z9oezouN)`3kq{OLzR)jq|Q)}<GFe|XLYBE;7c$gozpr)MyHU({K}BM zL3aFr<{Nq<>5n7yGi2w~E>CP~jgK4Bc|MCFPbJC8ogtqR60<u){uyKjr+0?T;QY?< z!T{q<#|+Q-d}&aIDW0LuA;me~NZ)hF{?9?i$s}*I6c#@&vFtID!(|;{vrO|0y^*xc z^9;R{v`q92eK+WKZ1k(4LuPs<zTa_NX19C_k<#dM)6t<F4r%zc2!Z5n;Bsbi_9edK zlw=cr1<}$NN5QzJbG;YETuE~uRNM_`U*+r1k&bD;hiFN2a|R?G4oUNrPLgJm$=)U( zUr|fPX0&wdB6yn4_U0Q&T6+LG9$}g{_->;lt*xLWZwkMnq{AWI=Mf{xpH7cMN~U|; ze0;6lW<SFIK*>Gu9Hpeg(e@xh{?kbE*ON1uvv>H~bENwSVTqaWaQSF9Jdx?%7YG@F z5G~tAcsMPy@AsWWNm@HG6CPS?b%vzFA=ds1A&}&x&6&*E5BaX6Bqwc*mPy+qlyo>` z()I@<$%&#fnX`ZAdy0~rC?2I`IXque(&3PaVr-VADL*c4o$ej>@x{56P)9^d3FW{O zneMTK#u-VLkTaRHfA2eqV<t;zHYHg?%P8q^v}FlhV<eeo7o^E)nf;+}B_&xaJ1NOp zxto#>ht$e5Mv`edlR5ii-(60cZ&Q+K)>G2qkTm_-l27&(XEJB^j{1m_Y`3Y1mUf#1 zPt)mMzL8|>tA*pV%<dP}nd2zyb2=qipGzs}a7cY#VI-L*r4GT_!=h$Ul4)+EByUQ0 zQqtj&zVd{TY%<+DHEJ6rc@t!`^p)2sNxhs9%8l?7BiUrSmml?eN^-jQ4JA3<OXvd0 zCeytvgrN1YR-DP4JuWH+gSFHOqoq~`Q_|s(S{Z93Su4k2hfMb-M2)5-Tf!_#vR0N- z(&3O=x!g#yY@NxRT^w~8C0VvxDJeahk`9NI?O`K13u-N7hjRe?!l>U;k~c<1%Z>3} zN;({JbNs?c^5#e>-fHdTQJ+zg6GeYlczA<Mg(ouI<4v*;LbQY^#c7#c64e{GEGZ#I zO9`DuNryv9Xp)g+2~kR>dlyG7q$EpdAthNtt10PlNC{nMBv~uYWX`@Rs>Vrk7bV$O z?xm!|A!$BoB$;L=((FQO%c9<L(tLxGO!F8e9S%wJKSfd|Zk+DDM9JEy1l)|J-6nQ} zhwXMCJSh!`l{PyGlxw<|3Dx!!QG9hTQVSiab(9*5SdrRdq}bB;K+|$cy%2RNrP$IL zEiL^HN>xybmG+R4V$Zr0WG$s$jJnrJ>n%#r!cQsnv6EJGcd^i!?#Y(kFGumEzVr=7 zOW#O?CuNuqX>q#O*GRFAa=JGM;r44$e5G&3b4sy|UPP&DD8=bsc|@ufQu`_OR@7ui zYL6rJJ4(IjNWE;N*fyVplobW3KSf<jDYi{UOWXW2rFtM%CT|TQmCC+w2vS9qIvOSY zAPuu|=?8t`Nm)$^P7w1rNk?0>e-BgbnfPNqUY&<<yE7(AoiIO}Mb8xoX;nzB+3$gA zH^@u`qwk=rz|+|r7bb%TANpkvN1WX!5@Y#&-9dGk107v$)|-8jZFi$GYygfwQ~2j2 z{6WnB<Z)qgC>Rd!8rLQ>&c^u2bHaGS77pXW1TAv<7K+JzW>%B4zVJxUeYO}6409dQ z<vudZk6s^%#Zxp*Adaaeb;N;PR6HZ@Y_X+Hlr^A@2QtZVC=)(O!P#IYq@9a5igveW zz$AOBAHoul5(fg~mpdF&`*0?jA6V8wGoh!DrK_1rc2q-^%!tIIm1vP66Err{eJELH z*P&!tkweL{n;c5k#T-i3HEf$6O4jX197@JtCpeVsV`(|gpG>wQtB5c`yweF}<sk&{ zhA;fc1Ls);qp7@VLpTziEQy~)(D(<N#OFx-WWp5GkI(wTo?Js8#~o~4&nJIXaPWdf zE>5+dhr>AnoP+fd#%Z;H&&4~HLt7V-nYI;hzj&t`VH~>w4|_}${^CdzdQ0JO#sfhf zw^>skfF@2QqQJ7&%Az+()J)+p^cUj=yId@g1#mEkzyz?R^%TDxvf^l;T8iL}aP9G1 zA+L*x_Q!nLCFZMcvEP21*13j}o8j8Puz}rLmzJ(eqsK%tg1-{du^j{S`jtV5tsaMq z98bg9){IvHN8#Gwy?`>)W3(}XGvDvmMh?z6ae#qS9v&p_OtDxtI7)`|CYh^P(|D_q zo3b^14~l576w~befCr5i3gx;Qf49Q5XdENngiE(pxU(umB&+23P*kjP@<)%!A0tM= znD19X4mPz+lTdEDQ>v0wZh9m!a?OSth?7YCgE9toK^33|vmzqR!y~dRuHnc&iwmdL z@P;H)fk=b)gJUdQv{nA#2AoQhbteN%i4pinAV%WK_iylLA#ZX$g1@|_7%{X`ipk>x zYlgB|SqK^*=0WoN!#rm@JZv!Uz_CN*b**8IXTU|VopzOjyK;rRgd}Ikufw6cybIto z6kY=7TT$3QA58S72zfEdOn7sIEFtNn%Rc-f9J&pAT{}@VmEAc<ywljKzA|36s$@8% z#h%?uywe;_vGBMCBGwf4oUhtI4+{ju?(nG7j5!{%!c0#;IE-cl4tO{VkigpK88l8S zz{p6=^Gq_kH_)>J4*TpAD#SaLz|jkmMMP6GVVjv8mGc}HDj>W2Y(jTDD=km593BK2 zO*5NX>sG|w^e2hXG=i4H-VJ3SI4q(l3I74d%t4o2%;XX{WRjpcm_fW=q>93v@GO9f zve@xz=;hECr$ZlmKn(*n!o`}wR>DYg0APCq3%%^}Q8G}~(EBP}tm%{@L7M$tS)8t~ z2|JzLEinqFGbcf(Yl|MI0^5G48%wm)wwcU;ZsB&y63URfB)UATkc*|rh$!YZ+t)l8 zEvI>q;bb$FYc>8d>!=0-4bEaS<y`~gP+nKuBb0LAB*@3c*A1fy<INC6?g;JXm$dMQ z$(6#vFv5*+|L{h{NT!piHR+fmceEzAEXSHIw8j|^)fhvzWD9TL9K6u+@mDSe{STG~ zlPiV$-%uK~gLeQ|lVM4)AN<4HBg_4N!@UhQ%0+{}cj{sc{=TUTIf~#i%>*ZMXB8p$ z&6r&50)4c3mhW-8fy|lE4taMrf$Z02N}!g?B<g7pm?F7@nzhIK5cjxnO()PeXG%vu zlMjU3yrYNDOq;1@P&3seb^7WEGKm&~n%vwSUMBDkoXdU&H4|r0i{j*aQN+W2&lyhJ z$T%)#_`h=|1+(R%!AId*>>iBx-_zRu4r_D@4WC>LYS!O9Y|U`40g*w?1R2y!kfi=% zQSDZ2%Rx=%mkzHp)x!ke9n?%Cxmpw_pU&DG@h%8zmJ<ezhcnYuOhFx9K3L9$!yV8a z)J&XQEsDD)ijMd}@H$g%%!iu?^T&&G)q?dyNj!X`W>5>#POw{=b9z3R#A#HH!e-O_ zmV)tP$q7CL1tCd3+zlDj%pzw(;wqu{zbnX~W`ZO?hjZOi7&Jmm4v%}s!a=hfyBO3= zFv1lUq~$s>cLe!V*(p3%9Xk)3nFA#5aJWi>!JOT@^2nfOrRzfwC;qM;!k}h?3~DAw zGD!r(HN_xP65&?w{9tjF7lWD!GN_p#4ba~uPD!LAsF|q@GJ@eBU6}~VfpfJW1~n68 zP%}YtH2e8ehM@Q1U_Id|oSAF}9Gl2dD;)Ctf*knG6PeBOhrs<3AMT2~LH-4<*|fE3 zu+K?g4><RVf^eBKsF_Z3>^EG5FX5V)aVG-!AiP<cO@qT}Qbn`p3JhwNCdrTC(7p&r zu=$+*Ac9@R=LvI!i;o<x;v+{ByBWu_a#bdS{9#SuS%1^ua8{lK_e-K6`~#0c&2&DC zpf`o<EJA!(eb~@L2yUi_LCy4#gA1GSOpTBn))O|9)k@=9I>*&K#{21kAsSREib!vk z4`BS`E#RjIhA4!e9vC`L8h(0U=$ga4i>ATZ1bPl3h_UCV2Zkt!pB@;>Pj-0*SArpR zBiv6944cn_R-;s|hC}Zmj6%ct>4D+@RB5b3iI+;@|KERnN;~{AjD~-@vO)axz|j3p z^rr`gXcBVqQQ$jpKRqz~>4Bk)8~TgIv<}>9F_g3r)Z!DrpB@;Z8-+*RT9lmgM9cNK z(Fy+Pf#FXN44ub!;iqDWeBdI_Ucyh>(m{5SpzyO`291!QpB@<QmvWF*L_a++bO!DJ zjSmb_Px8Q!$mOg5SI>s$Q%YVA(jez5gN0k+j>7SoFxLrlkM((Qi&T!hKbCJdbnJoS zW7bdMxKDcy9QR>g>eBaP`KW8J>SB|pu3Xi%!lm!W^4$p?>_vRE{tetP=okUF3XW%u zIM<Kmqptf^7oVq7SDxxx@6z{U`3^!?EgYY#`{72x6~dLmZG>~KAInEwPr^}GEF5)> zhoi1*;GFBn@=+I`y;Bz-x>FZFWT39=;hgKo@*RS%m*5g1%ZKkpa5La;gxd<|TtAkt z47z@5&p);2|5w^G+y5Qf4;*xl-=E>DdyjtGcOt%(W#fVfABnVh@F|Z&HUGygoqxf_ z3l>`QhtFQVV%hmC=3bb&Xz>LLS7!EDJ#0wN+2=34II~0!A;p<sna*jVvvKIC965SX z?(BJsm(GT&3l`7ofByXW{lV6E>9VB@`|>!^{&F~}rexA<8oyXmm(#`OyUyUfXux2c zV+Q-@FI%zjy!jXAp0{%Gg$w!>BbV07k_9+86@C;5Pb#}`Zb`9;!P9fZ<5lDxwmr0~ zH!agUw_n!|6U|}aLjxt)t;vp@F)qH|44cS5L-{9i{QF3shXGzW%h~qk^z+$5-@So{ zI~zthOg$nZK1ob`qHv<B=^#==RLR1A8vKMcUW3LuL4UV})jPyV@%_t8fE@pAcVp^e zy7!Vyu_%tGRNLN*dD^s%laCFham^@AHr)j0IG{G{-ryMVbaNxNm;<TDX^N`nF54s% zw)IZKHc8mbnf2b78Q$Qc-q~k*?^r*=d&JK4-iGtikKo*NgMsncox6M2WDfSO&dkcl z$%UxLn>a#J@ZjuqbAY#)>hTuQV$US8*W=wOR(h^f-mM#GjmP_l33f`*<J}>3=JBpI z=Xoo8XuV+{)+B}hz#?KQ(JXnqc|wm<At_#0>}13Jt>oL|y<C%a=u#;lkGB+ud*fJY z9QxhGy9Gs1zaFQ4?_0mfd!siKiM?}K399ZF9u&X#t;-gnnJDpx%ldhTp&@wDDiGIr z*?8~A|A)OZfv=)E|Nh)NH_0Ul7#0;V;3a?pVn`q&B5FuNfUqPGu!vMhNLV65NWzvP zo2a<dhNVgo4Julyv{Gx;S{GET)~&c}UFuq?l3U!Vb$NfkbAEI0B!JSs_I>~Vew<Gx z-}5}@%yX7Gb7t;2Gv~0%xHlX5hNbj-9c6h~kvke?21|ou2fuV)<~LZ1w6GNMEZKTA z+N%o2B8o&wGA>vqz3OZdZak1)L#Ej{OuCKh^Yd(7G3hrNlLzCvVJTuauGa&$Hcz&J z``daDaDQ>07a|_ne=Ze&57<JOXH#+5V0V)nX}03{9pUe+g}G|6Ewfk?b6=uSm`3DZ zXksq0cD$4FX`*)qZ(Zy)GB3q+SN+IFI>|dx*CtrSci75Ys*GV(dvk6}ytv+oCF8C7 z7Rh+pCZk0=^Ju+25wX<1Z-aez?aUhso3#SX+nH@)rZU#foWF>v3A%f9u`5+U_jz;T zTDCK<nsz#f%gsh%ZM%23Jo;;UwAa#XHKiAHW7gLunN+x5+ww2BH9t0O&EpH$*4)vG z?a3b(n6}0W_b*UebGh+*Z$XhekWJ0|VFrC@A)A^V;Y>HJ$Q{L|=J5q=YCJ=xsX2(D zNOMDMX^bIzk&W`|sWE73im_u{e_UWHh*zoO9!RYT5Nl~ns*UQs1-7;@^+sWGU|bI^ z^qP?!;bsj@j@Qs^Sm1?-$Mqje#ICR{f@+)Kw88GhE!vq^oIuxOx*pSgnA<AS&&4T8 z&OCke@xdS-@fMXWE_0?#DIH!qAuoG?Gkflw84>eu{+!xbGv;8OcswTUziw;xiT0o& z6CL<x55W5K@k4op4mQi)!~Ez8LkesNdg3W=JeHBK#hUcz7S5kDtqu#x*Yc@v%KndU zc!-1XDO1MU1XkzGsvB3iV0>Bm>`G_87k9<n`IPeqP0k-(I-=D6pHf;`H@{k%g3^*H zqh^&)E}c8SW?JRsVfpzc149Z5kZZ<VQ&ur+k$;if*n;gQuVYJ*)#|#MYzGgRqblb( z&B~{`v}T$!Y|Mm6e#AVjn&;Z=epx3)de&6Vt}Lsq>=ij{$hgscy@%fua;BVgLOIG{ z+RR8z<$_tYD1k`!$vOSAPW+)Qr)KtRXU?sui!@WAL~P=*o-PWcuxwi0+?oSD0y}f( z*JblxKjwJ!gwleEI6L)~b&IPjZB!~f`8w8MWle2m;q0;*&h*)H%jz8F5GA#!VsQn} zc>jJlDY5jJvuxAI(>t$!HhsNdI{g!EftOBnOz=h17Eg1k^XFDh*9q{S`dM>o>rgh8 zQ*id3>hTL_RaD^gGRrueh6f^oI;eU4=(!cMrq8Ohncwd;(!!$h#pO;-W$pagb<QlD zKa(#9bzo4WC(qh=^Wy}yF@kdx8G3GcWkm&Qeo4*TX_d9Lb8BjQeHW*72!Cvq@h6QF z;D@;h`8cVSi%uAw<0Q6D8r>?GoY*eZA#ix$NVj9)s6eN{(QbO6OE4qQJzze=`x+aX zq9tuoTRYuxytnbUTwa^Vs_+F#7baemusU?Hdr9!pz>qdG(Ff-R0>3F-M_)K5b4Z)C zRiO*q3xk*A=Tm0w;&bcD%E7uC%bA`F>Br?<QC3&xWR};~I+;{t9`JhNiTDO@|K*m6 zizXh_Uongk`c_ny&z~`6)|}~c5xsGjm6zj6a?G(-GkfkdzgULLZAK;17(af0&Fooo zDm{zHq?0*q?z!hyvdu!($SkX?tC>|kzplBJ>G;80=_|l*abn^l{wQ@46)vYmc=PKM zx~|w6&g;5r8IFG3IKKj4RsFVbeGsN)yj#74;1|NqZ6tPoxK|M7K;ag&2)8N;eU>z! z&9hen8C?spZPj#q#tP{u>?{L@WBU1~g_kEdogJ_@%2zQxzXtgE?QMd++z!?r?RfS! z!|fddr;{4N#0FmB`uzA&l0RJM3``o=6H0BK->)G1<9($b{lCZ9cri^~EZ+O!_Ku;6 zFN)CDOoukl?;gm0dzWo=oCtI*7tB==v-d3A-Z5}GeQ<(yV6F^r^Za%};kUO9_OhU$ zJx-SBmCIk@_Ktzm*;9fws(lA~es99hr*>YsK7QWX!q2n!A>2I1%i|l9FkeoKa;E>6 zEyBJ0LrhBoowqRzcfN#!Dp&34N1g3Br+9+GQ0#<o4DW5L5pIbmfJ`4ZeoN!e$H&MA z)5>x=0=t(F<_Yn}w$Kz@TfPW9C+W+cQ&HiVwbeU8@7YU(n{hU?hkCjUI&bsrd9p{p zKIDAxwWlZDW;v$pPYGpzjFdz8Al1KlrDAoilhDH7SFucdi7otj#l|<+T)BQZIWEBE z;=5)4=9-!v*SK~X;h4hZB3{1D#mgluSC*&USi<qmH6`ws(Gd7H*E!tj44Gxh`(Hbh z$AD&Xg858}{)4c$LyL3{=xrw613#E{uXDOr9Qe_Zcc}y31N}F-16VZ&;qeRiF+6^i z%{Grem>>#cB*=Dz#K~m(yP)#&Oa$?50Y5JYqS#n+<l!RgcMunT4-y$+`%nLD@iZ}C zED`x42E&z$GsHS^v3Q~QV{yHBo%joJyLg9qulSJ2Zzmbw^WtYB+X0gw5syJe?l1CH zP5O@&%fxfVE5$A1lj6%FyLov$KSv@5izCEZak+Stc!&6g7!^CBe$h@3u~?ieUMQ{; z?-3srKNitNWbCveaXGdY+mg6394-GIl6y-w>pa8%WXbvRH|snjUE?HA5oe2w#S6vt z;&mcthhn_HC1K}2$vY*Rb)Mn>iuCV^`@}@#fyZZyr-^1=XXwXLhTX~HborkndA{U& z$*Uw^EP0*emg_s;BK@7>JtX$~#Yd%oPV!6QZs|V|Kb8J-(Zz+w{InsVYbSP<zPH#{ z`u^fz>4!-kDNd4pnmAMX+2VZZmrGtHu9JR~c&+p|h-Uq0q_gF^(N8G+^Ww`2{}*w$ z^dCw7OiaS_81tPbwp=edL;4d)luNcaMEWAJSbA^0=*iO0kp3L;N7D1TlJQ<F{f|Yn zJ~Z^#O1?of>q0|+FJ<sS=^qw%N&m9szleXAexLZI^Z`6nGC#>A>>V!oD6yCH{lt@` zKSeB%zF2apI8FN5qPOnzeEBbx{$j~L7O$56M)79pZxQd5{z1tPi!Vt3y7-Rte-q96 z(8%AHk^^{vVZJ$~KJ(p4JVyF%Vjt;Gl6;CdQu^`YnbQAIoG$%5$@9evq`zETC;gS; zX6bL1e2aLW^pA*7NdJ`hXX)RO{5R38H;w!S@#w;QCXvW@ir7K=V<dMI`%7=upT==R zq#r7pb*P~~Q}PeRb4lnIh(D5knYdc|b&|bxsedm0cF|jx`gaQVfb>sDeoA~p`uD`Y zOaGDhh4e{yR5SS&k0z1M?qV<LPY})e)XcYJvo1BtZGys|El!g^7e1i<YUzI@d6{U| zp@zK;^1oX8YelmjHT=yw)UadLp$7k`@K1{`DE!N!S$7)8nf0a--mEu`=OVM-H1w%> zfMPz|lF)aO+=E2;Uecc|eV#Z>`Vz@!kqB?rm4=<U(k~LvBcV6zM<c#93jb5-&AQRh z|4QM1BmLcyA0}b{G3j5H{%z5$6Ak+xO8$~W_yBA&-nJz6PNG>C8v34+&3e!XZ`Ol` zewg$n;sg@<vn8ALpAp`y{|x<k(qAZ=b)TVMFS(IK`0GjNZ;}2^@gC{9>I2jHClb#E zFOX=9Ul#vDV*eWn|BogALvpB%^>0POzk}qXBzKqGPs|m^h?B*0#Cq{!(X0mzyJj6| z#CZ+%khz=#({-o#u=u?Aj@SWtXSfV8TO2^bPM$bg`f-wfD7jqnxsq!npC|c366JM? zxKaA+#jVnB6MrlH4#_*kXQh8td{g?rihq~>bID(cZSY%z`QthZWIBoTL`1VLH1s)= z2a3Z<=*Nm@NIyxOCjA`AHR4L?FBKc4UoV<<q+xff<ZYr^Pa67%D1(nm|D^bW^lwW3 ztN5w(UyI&)(_x%D=F_Y<4SVU5BcfSf8v2tdgQrQKCytc<49Q$ef%dATuN4<bzeK!1 z`Uc7C#VykRQoL3A+r|5&e^m05;%m~sEAEkgulNt?L+xz(!eU1f`Qpk7<gwEC7W+$o zn&dojob+djQ>CvE&y{|W<Rzk6zZ&uURQ?;KzefDI^tVdBUHpUee-fXS{zdT(>Gw$9 zE21mH<g1m~hQ#@4Cmt>Rv66d>gQU+Fhf6<7oFM&F$rWOq^yi5yrN2=8iS!#Kd+SsG zO8Ps*yXC(_{G;^GN`6r^>rtaTKal^Y(tj?x=!oEXZ9_8OVutj`i&@g=h^I<FT=FP! zvh<Z=mGpDOh0?E-e4%)S^o`;c>A9{0)AeiV@0Pqn+$H@>;%m~sDZVfLr;<MxlhL8V ze76@nl87f=H0xKxKTC3sSU^HQS{x_+8R8V_t0d16mr8$;c$xGK;?JbtB6+K5*1JZ0 z_sjne(myIbBmHZV-z3pye<=MwMRuuC--?924kYs1QTm?JXNv=*KV5PWiST2jKU?}4 z;%w>XOI}VQ{3_|!OMkU!*26}6ZkBvIiST!k&_62uGvbTVzae=KiST=+XNM;9)k<tb z!p@PBGf0F#R{8<bpDq?iKU(rc65%IHKU@0wV!ia|OTL^$__fksBmK|CZPNc%^1US5 z+=oc~X8EJ|g#7;^zD*)rRPsk8!gD1OGEK}R5sn+5$Iwk<h{r`k+|~>^^g{o1@dPnj z94K<khvD+YVd4)&&Voe$a&fx2Q2dd&QoK;SQoKsMPP|dvF5V{oUc66yQhZu`Nqkj& zTih-FUHnM=T>MIG&3;4Vqpg@OMnsMk(EoU`pEy7qA`TTtiJW+k$8pI(a++8r&JpWH zPT|aO7l;>&H;Olj4~h?qe-oR;kHvi=$Hr*)aPcIO>&sD}Cl-pF36=VB;t$0#ai%z1 zH2tXvzfdx#PUZ0{#Wmtj#b1fHiqDFiq=(0SAbuipI(+Jn7KeyK#q-1!BEK<U_y&>d zGE%-?+%DcG{$9LKd|v#s$h8u9{QDx;a-{r~n8N;0$cKqs+>vsIc!HQM4i<C8kz%oU zhB!$q6D!4Pu})kjo-cAWNyfiXyjHwH+$Ng-Uxd3u^6$k5#6O5nh);>Hi*JkXi+>kQ z|1j)+AsN%voAk65JBsOI53!e+CFY2yh^LFg#F64S@eFZ_I8Ce)=ZL1?8ScfBSBMvg zTw{~vw?W)2ZV|caCjCwSGsrPp$~(np#23WZ#5cviiA~}s;y*+V0MTAZY%R7GJBsNd zSMy}}Eb%1q6fs})`lq?xC&QcmX|PiAEU{i(Dqb$G6|WY(e(7zJw~IT(o#LOwXT;aU zH^mRdPsK3qp-f+@c$|2=$W=+{pC@|#%|DRLbxG+zN94k!lvjxB#SLPkc)j?T_$Sd- zziE=#Mr<ec75j^4ia!*&!YSilCvr_w%BG(e{H5eyi!X_<il(0y;SN{7X1W*=PZfuV zGsJU5E|kjnt`)g7D&^b6mi?(8N&lJHS^bG!MXspI<159b;!1IgxK(^od|KoRt2{nU z<U*^IyNO(8m9puF1W%Rh^+%4DJXZAjAJ37@^;dcPQjx2#QeGo+xmC(rM6S9@`3{k5 zu2SA5a*<WaZ;4!MmGY+|S6Zcfn8>A7DQAeMh^LEXVx@Sg_!E&Uvhw(6#699(u^paq z>3@VcSj-i<Kr8*1id?6a@)~ioxJBf$tn|M_H2rjtAC=6tS?T|($i-MG?-jWwD`l7c zU|>fvUE~t1)b|y+5-a6Ak?XKhK1<}ntCZ)6Tz{4F3X!X?Qr;+<{yE6Mlzg|iL*$yP z4F8hIMOG>A5xLeXWf#8{NUpR>9w~BZRm#0ZuCPjZh{*L-DNhi&pep5=BG*-=yiDY> zsg$n}xq2$)Ux-{gmGa#p7fYr5Cy{HWQhrn9lBkrqkRHjEQOU4q`s*McEtw0U(mzw= zdZ?6#h+GYo@_5no&q1Ccxn5i<ULam9a?w*Bzggtkr<8vqJ|O-<<Wi^fe@Wzur<C`I zT+o!V>6Zh!t|{eqB3Ct~+(YCtrj!SYT+NiS>4yVN{~LI=^jy4@{`17;;wq6Vn9~1B zkxQ0RzDeZDrIhaxxo#=tT_P7MrTmuoo){Ga?1zGXf|x3%iKgET{yijf2~&n2C~_rJ z%Ee;2I9=qLrSxAca@A7Grk@R5C;3W|%a+oAo5<BmDL*K3fl|tU7P(F-<tC9Ul~OkS zYG4xknZOjWi<lwy5>F6w#R74pSS*_UG}tr!X&@IdrTv8>*D|Gixya>8Dc>M+)l$lL zid?sp@?+xD;`8F)#3qqzm@+(H;32t+DcN4^Bz6(ANjyuOD4KpV$U`KL5J!t=i{;`h z@m#S^TqLd*FB8{^SBhT$**3|)5xHy~)Afk>xcH3tg7}8`j<`qMD{^I3+HuwYWct-0 zw~>6fc$63syNg`Pj>q>Exi%~1Jkj*CK^`l4qBvQcCe9FR#0BDc;tJ90Z(A?<Dv`_E zG2WZSTg2PNyTlj7m&K38ePSo|OLY-@h`q!-u~3{Tn*KAyUn_Z`xJ*1>yj)x>{!BFe zYB+w2<gMZ@;%`K*Z_9lCLHvvOmYBf)CHR|uG_YB}8RX&eA0^g^3&dZEw~F_O_lsOm zm-e3(-w@vs_lSE%)6a$QDeT_@JBsNdm*AzouQ*uD6}coY{Y%BO#d7gnah|wDTrOTD zUMj8?uMn>lZxFYOw}}snkBLu<&x@~$Z-~3aJ>o~=XX0045HA8UUtCj|JX}l{BVsS{ z1d)plGkl&nLL4ogAx;v@#7c3tSS>CRmx$+!7l{qxdhsf;QM^&SNxW6OUF53BOz$7X zr^M$(E|X0Ezlk4+pNL!+nf_t%FtNSZN$esXEA|$%#S_KT#5{3?I9lY&%Z#r~oGH#0 z>%>JOmu6=8OT{b1jpEJXE#iIRgW{9o)8eb*8{!AzC!%w-jV~k~Cbk#5iN}d2i>Hbc z#mOR<k7oL7MJ^vrd9}#pqbWCvTt1rets+;9ru;{7m-wvsg~$$ThU3E0<UsLsF<+c2 zR*08~my0{Zog&wrro9)$*Tgr)WV~oh|286*r>0yejuyv?bHo~PgSbiLV%9wVX_2c} zQ+`MMN(^?f`tD*+af~=l<f7L+{tEFH@i*dg;!EP!qI--zj%#4^_#q;f!lpc4<U-h# zXNYse8gZ$(Qsm0m48KX_0@;*r5xG1z<p)HrhfVo8k;`IJ-Ys%bY|4R%Wt!MQ>?R&3 z4i<C8VzE@5Emn(b#Vf?S#T_C)*kSx3v9s7!%om4==Zf>ho5fp1E~HI+4~S2R&xu?& z+vH#TO#G+F#k1+(M&x%bl)H*qVvaaM94&H<Y=)mI&J}A#E|g9G%fze1Mv)6-)BjeH z%VSf1OyqjllwT8@#1BL+icSAy@hGvg$i=YfpCfW9Y|6t$u6<4UERjoKQ?3!Y05;_d z#h;2l6S?p;{kMw`iVuri-kSb@7XKzTiCoQ^{`?4!<f7JOdoe>iR^-yv^gmhT!qt>V ziCn3g@)VH^R#UDKSBMvg>%|S?&EhTM?c!Y`SEZ)Cr^MIAw?!^ZP5;kCu0>5bSv*SY zEcOwzL@qqd@cH66@eGmcOw)gs$mOOfFA=%eH07U&TwR*-bs`s;ru<uxOH5OKMC6*% zlwTIPv^3?Y_@x*)*6O*EH2ph>M~laZ{lo#{sp1fEoOp&<Db5nP)HLlc7rB}=<txP- z#hXMfD^34<#GT?JBG;9s|EnUGm!|x&$Q7h1r-)ognsOJBOG#7C76*!h#bU8koFtwt z&J*X0OT^{k8u6zhSD9vfTSYE5P5C~N>q%37UgWaUl>a7jVQI==h;C0SCy88Ln*Lox zE;3CyTjVm+lnca>VzF3GqHlY;I7h4zSBe*kKNi=BT#cH?ZxL@6ZxL@7?-K799}*uI zcZrE;N`mN1MmLw~N5JE~Nq>YyJlxp75E8>8x`U10I}Sg?<HsXB9$$=%Xbk&^Sz?Yj zP#i4giUnecI9{A6P8O$%72-^Bwzx=KA}$wKiL1rS#0GJ_xLMpHZWXtQ+r`_&JH@-j zN5sd)UE;Iii{dNd>*CwuUh!jbpZJC71Z??YJ_%FKVKG(gD7JjBz<l?F^TZ{)cz*kc zSt1wvqCQtF5INN_^)25s;368-PZTGMGsW3rwOA)E5|@a}#Z_X1xL({KZW1?(Tg0v6 zHt|mJZgGdWQ+z~xT-+r-E50tiE$$Zgh<nA4#eL!zVmN5aB~?rlJBS^{bTJ}!7qi41 zaiD0vE93c*Tp$h?M~M@~$>LP8LTvdyMYZ&G;&O47xLUkSY!KIr8^lfGR&krSUA#@a zQ@mT;A?_4+k!Vk!6<-uz5nmVI7I%w##C_ryB0puNy^t6dQ$^16NdFFEMC81q)b|wo zh*@HeI8fv~n+!i(93_^B<Hd=h`JNBqr%J96tHnBTk+?)$E^@w1#<yC$Oxz%D5;u!m z#I52sal3e%xI^42J|aFY?h>CBUld;vcZ++(z2e8>KJg2YHyY+6B&LZS#ExRR7!kXR zJ;graK+(MS0=v1A3q<oBBlM#rmx$xVsbYmVQ=BbUi{^b8*jXfbiMU$4Ol%O>iyOpE z;%0G+xLv$Wyi>ee+#&819}yoHUld;vUl-pNcZ++(z2e6rKIOLOLkx?lVw%`NH1F4- z9L)PQU{C4$i01to=yN0w6bFmBVu4s9ju$72lf|iGg*a23EiMw5h|9%Q;%f0Su|Zrf zZYHsB5w}XeP25i6Iq5brMeY8%@_!C~^zXp?HO6i_>b^Z#axRJTsE}-)Ul6|Ui6;$= z__pl+1NxnGVor8ezeps@=<WaPtgHb821FtQ`e)-mx2eg?hK?$<(`>>hwt&+Udwh#v z%p6-njzLe%S#X52vbsxAVEL~<S-vc9>VwrM><YgG_uQj);s2C2yS|R(-da5@t=Cbz za(nH2s>6uly}9iloVsGFWAtr{iuVTE-amDv<TmgR`TSEx!aw7Isprc-3^}b`TxK$s znzY#O&%Z)q(M@FL>qoPVEfnDMPoOXU75H;PKWxE3BHsga?|@&h_bEmbzLE$gjHJf| z{0j~kZ!}3e0oUBGi>Ff)N;sR|p`=?Wg%ivt+~K6nFcu0WaPA@at)uBslDVx1!s$F9 z96Hq~LzkN4LNlOD2)JVd??O)GVgpWM4MHqSd=3AXCeDE3yhMI5z9jMI@cmKZYtYm? zNt+<JX9j))DQOM<xibT20pZX`=Kl!%Z<XeLmc(~7lV4zfe<V>E?B*n20-gJLQkD^e z$@fwGr$jfoJuQEc^tJH~C9{y-FOw1wDA+BW%rbVrlBv|>8z_D)v5k|oj0Zbm*647E zai;{jLKPTkGKj!ta+TnDPT(_mrj|N^QA`cD)=Xt!G!uCZMd|}%n8?m>wPq><#T1{0 ztIbq60^?X}HL&j-#>2vJr8U6uwPtS!WggDR1ML{uVJw;BV?mB|+T!&CCv@sS8>AE9 z1lk6Av}vE>wmG`Zgv7Riae*}a)(LiZQc_NX5?MO}FO3XJIVEKTF3XVgVVuHHASDI* zgaGu3JrO7!*GrN@CopvQFj%3ZReN99<kr?8f(*plMk$$r(^G8>$EA*F-!{-LkehsE zUMkI|COB@`#vMee@RFjJ6pC$fnu&)60wdb<sI;!mi1vNau(XW_I6ZZ6D&9so7Cy)` zw+QxtQ$lpd9&$bklr+*c5IZ~wwm#N_uHn{$7!~uNYohfaCdWMJVk-qx#O#;{U5l&- zu|DPjM{LW4E8vVJ24W9lMi!FEaG6p%@~|Te!89?9mo^iINgHFs5EB)KWa3O1I+z03 z!T;D6f(uJ&5)te$9VA&i35>oQDo8A4K`&+UV%n6TpqC(dd8I{zUOME(l+46VFOtP1 zFR#StwFqh^SYB|&wtjF0oKk`*LTI;n%3P*woDD@V1q?+pdTZ0A^K9&}MJQ2j^F+B! z)Epa%ScILn$awnjv=-kYa0Z>MMlu6CY6iiQbe&dT$0{Gg41{u!4MPmaPBmF%)dUkx zH95(u37g`qMzYGP2_}u9$$G0Mm|Cg<v!&yI(71T|?Zz<v%`Z<!Llbn8_&;z+Q&<20 zovGT+VvYaa#M%4J+c#^@thy<fN6*e*9h<BgWx{D=G+8yyteHdxGnCDpGX*oVVan}H zGov-?ktsg+Pfnmymo9Frh`TtmdpGxll|9@snQqsjE~mNUBkrjY_XnBjx$bP^ETgmB zI2U9hz-Z%~N#|K~2HT)bM}+<v7&!+Yrsm@`d}I4(?>{Bqq0RK@%Pr>ptD9fzV6wF- zqlb(iKBaWTq@hzrk0^zZKip|H`=gzhP?HUMvsIe81)EKYxc_XH2bfJ!lRCzt9Y3^m z{FK5WBSuXaH}t!k*zw<*rZSeMA>)Qk7(H~%_$fojjVm4(pU5ya4I`g_;<IozQ+snJ zwwT*7W_!$#F(bwl#*2N_&@sbM`ie>OJvN^;(!|6lWAihbvTr%9k#}z6wo51E=MOC{ zb>b67#^&*i*)$FnmwzhJ{jGZwMWXi6bN~7?X4r&LL(tUzZ<_9Lzxf_heJ!79`2LkS z84o&}V(i@brbPT-Ii(_BRlvQ>{w85j<?x?xvo{lreL}}@-c7M(IQnrr4tp5;w}s<_ zam#r9Hzx1))d%5PVfVJ3?d%+MM?%3c+!<dfb}tQZI*(vK%}cz`uNZE>y^mpUI}W5h zJeJ1nP4OKAr&+t@V>+~Xam|D5x#`7kmpXfy_xYU*w?E!39q|4t!ZBXzy?iW(+i&m4 zB*%$B=WU+f5-9xk>N?u-4cfy{N6g+jxV>ZGbWVc3G&;0-em{Zix3|h?k3Xfo^zjFj zcMMGogS{%B!gF2=Kfk^8u*dVn^qJo=Amv|U=P|4g-1v>g?^>KU`rnP+AFc|SWZ8Qg z{kT7b9mQJh={c7Pe=K_&!*F{EyXU6d5e-Fz4sFaYx970?^RdZSE;xm;a%l^<@3cDu zVehZdd7Bp(>C2wOxeum73A22i*VyCvVVr(@Gf_{^gwESMd!Fpkum3LQK;#2ud<!{v zRm3+}q*qEdgln##c<BA-IP{k!^T(PR-wtJtL&hJkzdQr+%{4txei?=4+c+m;24t4e zdhDFj&|f!bmrv&2X5!iZ#u<CSj8A0fpJ_LCZ)ov|2Sfe`Kt#f8IEXAPClAMW+~R8S zGO<Bq`^0`WR4oV3ly=@i5QiEWuj4tK*RThPi?)yS+2U#9P?341ogavk#aUvtxKLaw znsnhfwk<q<qj;^jRlG&KM|@EHqxdJ0*BI@*EWRP`7C#mb$BARO?xLB`5VD!i5F9H# zuP26^D=rh)idT~f_+CrAolJI|-^>3Y$&X4l<7DuEN%C9rH{)c8=R?V@aA7mvJ|dEB z;^9@o_*mOXwtu8KUm#vbGjeyar`S&%Af74?5l4!};u#`;M$&$TI8&S}){5teE5y~J zIlnmm8p+p*zYu>Z{#N{*_<;Ba@d@!M@g?z9k?kYX`JVWJ_=)(X7-0D#T(a0kWIr4A zUB#YaA2CN9DCUd9#A2~jJX<Umd0(S_?>q9vlFt(_5WVln*Gu-sZ#PTcBHkkYMl|&e z_Ssd)c-T=$J}<s1z9F{!4!z|#tjl_b<CDb0MD~zU-$l$2dx<BACyFPF1>$hASS%IK z5~qml*<?Hm#HFJ5o%+R+e=J@hZWON*Zxnwi{#xX70qx%-dgG^$NH*iB@HgYA;LFng zMSNF$U;I$~RQyWhx_^wPmDpM|?QhuLf9TJR^ses?I#0YSao$+o)9K%LK$gZWB4+#c zagKg=;NuX+IlA-V480aP2LFr3Il3Uh-!#r)UQ+OnbGYmj51u$0$2A}F;G=plXofuY z8|N@pKAiMB92y_zFz-!-lGxWC41`UskBxJzp|S7*$2l&Ak!6Wr<G(k~@h;roFwSu) zY`N0{d4M<0F&=J=b3Ei5=Xf}YN{(|}4|DD#v2l(UDgH4w&cVBi`)KoVj>lr-9J~j( zkIR(CIi8T{jdMJi<R9m#f%(9wgN}2Iz6Ac<>^R35CXzRV)=Z@x*kJqHnyCzwWWk5c zSDUGD1WMn<e>={>!~U&tj?=y$=Wv_|OY{424wS-rQxaqGKen;i{eGO|`*9AhLa>VX zH@|!i+NS@)aSm^t&VPTLV^{NWjx3CGjLdX1IL=WTad$WocLGN@&av(va!g~Ib<d}J zq;*$ntOMck1LzwEJ21olzcRLAa=71uMf-PFA9Sz-OA27gBRk{~>)HSB8|*l6Hw6ki zHd68bU=##{8fHbaSe(s9Lk?*aBzEY3X0QVV^4~Mqfvz6MzqS=+Z!?YGcR1F;%Qn9J z)AwT?Ea&5}<L6+kpEid|--dgR+4yiwH-A5JV>tiKi4QW|`d~-*UTk{=-IqL;edY|q zo$-}o_iV#?$YUM*VDJCOSO@1v_%6pf7Ww+%*{9}}3;QGe<#MQF9jkrz_;cE`cS8$% z9P418qPKa@YoYL;w+*nz^Ym?F9k(OUp^SCRMJDHYk%Eku`$O2#HBk+i?RA9n_h)<Q zW51i{X25hb6cIYKF~8i{59`mzW?#AB6voP>E!>DVw(;#O_T_n-7Z-^it+6c?2Tp|& zX1{T)V>asPnX#jqr`@M+mZ$H>I#6i7?K>RnFpps0G}eK8gMX~U-edoVjCJIrOxP!1 zB2Ey?#aSXRB8K~ZtOMon{aA<d3)Tnp-TqSieyqcm$M<6$wj916>#*g(=Yr;A9sP6c zD90g=bqqN4Z#o|5!`Xgg9mo2<={Q;6bR1*8>1Z4KrX$0A(~)kz=_uY?GmhVN1k3D~ z9UY+!v@hN}y_vSX`M#rgZ&fqxVGP@?c<+K{+GJ?cU|~)(t#cm}%Q16qeD?ne&psUX zI1LX)_PHn&*bO-_9@0SXsR;^i!#5us8*^`lU+kNYIq<~*$Pjw)!;jcEA9vCtlyCuc zp`;rrg%dvDq2VMBO~wa6E}}A&WX7)p;jTO&9LhGz&`fh&=yE8{haRs$PRxSjB=Tk9 zWr^(OUz%6|#d(Pjz`Z1q<8wbsd>R^j=)u7|eCV+iQqr+>*97=}KsdylhC?Ipzf}kK zgz!onn*10fw{MtA4uJ3j3^yyx-#o!?!DNn;y4e!l<c_q~FZ{9b4JDt&WBZ3cH)1%M zKc3tinMzIOyA|$<65Av*3GRR}(-7>I<|J_l-o<)jh#?$eQc?mO*a?gdFu&Yx!_MzM z{s}d|`xtXM9Nbzn(Sc&7*^GxU(RKi25?rmB=)hQJfy1wDIA$LhPovL4wBLQC!j*Ob zr1*CqM?r<}J{Z|yOl03!kYk*-7ef&`Wv~sx5v}jP`)K*y$7w7Sr-aC3X_*f~=o)W5 zh>0-|x~i-P!7IuhLDzEZFh#7wZawI_0y{hiRu1a{M{LW4OW%DkA^4)e@e*Xd*I;^h z-5?CXG%<{qHWP+P<NF&3Loj&^<0aFCVJi8&iZH}-><mM$!fwLQaUphuA(&`}@e*&s zKo{FWaDDq=in0~-vZW6>yn;pyK`%G*@`{>XUMA$_6*j$=BYGxTUUVwSK`+Vjf=_Jg z2bVq?QOexrDRY^!;WiYZB6pi7(tJa5whcw7(B0<gb(!8e8;V$t9Z7e|c+y=uFTsv< z5z2+zJQx07&rSrv_zee{fgN>>=#D-1X$iB%Fk~)vgduExvKq-VteUVH$Z8~KTQ#v9 zJB^SlvBL;qlbF>=Zm??NHtgT_X$iylH^00BZP|a{kjwP`{r+!1EqTfbxG90oo!!)k zyD;<EZtlq#bjizfdlz-dK0c$XTNrVlz_`ok%=8?$KC^p<TVWNGVu~MFMO~&n;NE3c zu8=&&h*+6rzk!(jM+x*50J63JHz@zYD9g8wulPC$rsQOuJiwVdr?Pypz8*0jEyM<A z_-VxVUz*g^Ru;}Kn-L$s`Nl6z4l=f~|M|wtt321uKRq#LuYW&`lO4+N%fB#uXRnq+ z`Z#6(%JyIP{fTKEzq>IRp2zRUWOVz%&Bkp1H)AsXyH`l|__Lyw+(dQzLmQLfLwsH^ zexR2=zNh84w;A>hWlW|w9vME4o5Q`^ZX6fz+|+PwoaMg93(xOd`1#{4IUIeW2+J`F z>Y3j#_T|{UW8ieI!I(#c4sD(ve_#3SZ9dAr7fE{<hKSi~IVQukrPE}K@a+8rZoj?l zK6~FZCi5ih@jYB`^PJbVu(t#Dn7?lulPN_a9&B;mX!CCDB!Ad(J0kVa#$+x+xI-C} z*@<uwW7+=W`QX-aOy&_^xpaWPcivi#$#8AkzZwhnAJfi_^kvVv?GVRgI-s8N7q_>0 z_B`36U;m#PliB1&W^x}B@U)y57vTDz{QU{rnge}*GRl_&bDbRQ7LKRTZy1yDp6B$5 zv3=x%sb-@xEXN=!(Pk3u!4`3=xJ}$HvULBG-=FZe7sp^K#o1!5xI$blvdv(4^8*IF zTC&Lp<Xa`*Dc&pY6dx6LiO-AX`ve^SH_7I^1IS0Aax*^jodI}~<U(<RSRvMm=ZjZ} z*OC}pxIw&&OxAY*u=_{JoJNK5@fBP8o9_W2^R;8jW_~uvpGt0x3!CBDTSs#9zn{)_ znKC=SNHiseoyG2APmw>b8E$~czAVZ^#E~NJY1E$~vg3ksg*a23E1L5O|MMiT5Y2go z{xZqeh}Vg~5dWu)$(ZkvkX}<Sz^*(GU=J}<>?fWqo+=I%M~Lh{<ni8jD3y|DiSxwy z;&O47c$wHBUL`h)KNn4RGU74cJ%OhFf$Ys?{C^Oi5}y-Weve}6AHwgI|31;17qI2` zC!Df|_RUNAAiIYt_ZC}zf08S`_Z`Y8$t7aT?@y*nUnRC2qgf%n=^;nFmq~6AH;A08 zi0R@4M&!-nt>W$Cz2XBRdw3cCCGidM9dVDiSNw<grI>){HXiSNcakQ#gP1Nx#N)){ z#s1<+;%Q=@SR{@SCx~Z?Wn!f`TdWpM`yc*yzJD3eFXG$2?faMh2#{sMfBQUN)g#a- z_{M~e#@i(){B7@HCp0BqdinNC3R4@3-&+$%-4t9G%1UVnygvjpdxYK(91(i|K{v1_ z)Gzq6lufPHrfz(7UugTOo#CIF-TAbm+$&0sYIRNU>L>Q4ZST}M<*HZrrEYhxEPgMc zWAS?rwJLt^@zfIwa|)kna;nxeIrUFtZ-BeBDbTxJQ=llVDKKa(_5so3CJl&Q)TuTa zDyoTIpPU^{=$#ealH4wuRzJQe(COJGXHre{twC;6AbCJ^Mpgd4@Q<paZzJ5$PW__= zIR4?H{?QAQpM&kL(H@hgMsJwZKl+E>b<zCZusLXKwCkk95zq68KN3B*>I}r~M6aFH zE_!}dM)by_^5}6@hesRgov2$>8r@RWE&B6%rztQg80}u4i@1(JIs!Omh;cX6pEWet z6sRvK7+o;EAP>6Bp9*em*u5eg_}NP5vRl{P8tT(}ebTzz54SH*USIs)Rf$O(!dKLF zN&Q*U)rsq!_j7~cO-UPG-Pe8jtNZ$(r2pVP?L^T9)gut<?_Lr7X~LS&+Q7P<g@uJq zkKoS&8<E<Zu4r;@n%orFxT(q6II$^k$%ZE9l2-2roRNiNJN79=d3IXY<Rp(n`K)bn zI+Y-=yPBM$w#dU%D7)%tMSXVkt=`qqhk9p6E32}j*AL2yb}y=qUNC50v`5jx=$oDT zMH5k$(~|o|3wzf@e~z-NNS+#PH7OFkcv9EsB~@k7WR%tF`toQP<<x6ZN%R+!0@2=8 zW1}}!1*1Ld$3?f+_m6fPG`XNI+I`S<LvgMKJ=lb4+mMC_kcLwVP@+{kaE1mIpe*a} z$GI3-aB{)s7Xup-f97sVxGJ<UaAG*?$9cyVY$|9dX!Sw3RiI(nr%P{1YD`AGifq5z z*%;n@Yp8ef+N6fyOU3U!mQ?a?>d#UdQw!H4&o3aqFQ6Q*Yzij7(Bvjx*%a#iLQ?|v zMC3P8lpUQ~l@nb#sV@2e%HqxXtmyPk+0p9;RY#AV)Ou4&<FqbyQMc2H(H_aDHAVBI zm8fZf-V37-4_Xi%0v=Zt$?jO_?hOP_DIC%i?iA^N5lZ~wra;ldi1DH(xA((Mp+OHL z#)lDOBpcK0?R|2&)4O0-+otd&Tmh5Tp+wd-1#za_s%M%)_3N4v>Yr&!M4X95Cq@gC zYokd;^P*h`&5!;(`NZhEsKLXk=0(ep>h3sm*Z0neR&=V4zBMT;dh8&CudhZ97DN+J zGsC@6(!I||?jLLlPCCEI9dthIKiHH2Ik7&H9qAt!=<K~{IZ~30lq4f1y`O0cA~h~j z7AkrMsYXhwvZ6z)a9!a#ym3;$XnB2Y^sgwVgd$vvs2>@uE6F)g7kfVI(WC{@<B(#O z&<vDN*D9nW87WCdN|2i1qz9Yaq6bkbNC{GySY^-DGbp`vO|1}nGE$OK^-NRhq;*ZL zQ5J2Ivr!h=xO!O@)zJvf&eW>xXkn*$(RYxVVVzhO^P}BZ7AT`>@H=+U!sr{5vZK@M z=b_vp*({An_JjC;ep4%?B)RJRrWB+g73I>p{z1f%+dnvP*~g1-X|w&FJ&BjzvwQDh z)x(_oY+D#MZDC473fe)ohoLOAfrqCyg!*Bgqcv<5TdhS)Xj;LZol{M_cbaJp*BrI< zD7KZjf;Y9g#<qi<I;UP${9Xuc;m%lFi0iZn*CyM;Dz=Ae3+s8!;(9f0VNUc|oP#8P zTX>1TEkw;=TX;zha?Q37Z6d<Hh0?NZBHO|K(VaLaZf~zWtc|W3Gz9J7NvPdkTZp!= zsyu4j!!)#qd1w#2M~|zYhW3x`VY{eXRe&}S*XyMA(Jl2}`^PJp?c$LL^G$8xYPN+v zTQ{gJ#2x0Ugz!(3u1Wb>SnXdH+P{a~f-avpiSHK%!yA&WNnD>Dc-jf|4+UJcgu(Uk zw(y9iz+Gs?Z%S_p-1G|C!j4UWjW0I&+rsuJ7u4}i{<aYJm?D(-B;0#YtKOb8FgmR_ z?j5+gcJ>~KHY+>23i>Um-w7zk8&KB^*%n@cHW6*%JEkq1i8e2SHm@t%yE3$U8EEgy z(e8DOCQr(ZUNWg|G^J`t^wO$!(N^_&(To36ZQ-WmMz)35JNJb*-Wuwgd}Y#FuPsd3 zkovP%_vLJkWR*09ncq%Np+$JADVV&b$?g4AQwV!P{ZmbeRcHr`a-t95>dC;BQ_d>~ z`M(kFchSQ9l~);Bp&n>uDtj-8mZ2UEsptJ*VKjhizANsJ%j>&EovKK5IqscKQATw6 zq#n`bou*?yF1mb>(-f{+fx5i{<$ixtuxJI^nH5c;PWLw@bXw7rI0%!LX5aNeAo-@J zcnyYQ*I@N;&tDWO3>@FOFkDzZv+L^XFHYF?^=Dsw{raLn&!k<|_pEOUC42H`Pjy}W z=Ec{~>{)ov?&@4<?{n_khCV4gB4P^#_-q>Z5)axltj4Ilf5A}bCAjh02!G<bo9XVI zXEfn*KAZ;=_<p0ycNBsH*qwv#X3ebOp@h%qaWy?k>gW+lnt&Ma2FYJ2g_9P;EB*$_ zjSLt{;&3EBp?!x^IP_C<K<G>;6Zl&QuYUA`J2CVd^S=cDllbb#X!wQifrM8-sKl!u z;jI+2!Y3Iq7>>deUj4A58^%L1U;W@4HNkG7Fn{3S)sKga7!Lo5VvbCuhS`OJS3j)f zHsN1W91vz2`07Uje|6#255C3GDsU102RJVPUPfUg*a9Iqk~`8>zz9#qp3Kw+hSKCT ziYq7<(sva_CLl13zI;tEW!l_qCs4!)-?Cyqi7vvyx4*=06l-UBftd`5USPgy4$%wD zA9E?Q$`E+uELi<L#MD`32y8?+5zshOW@X{KUWR$uguxL;N~LAF5Z1U-=D>F}!*bfY zlsO1tq)bXx60W9jjs$*7-}+?yAPAH)gUN8U&Vs{=#S{}`zUwFsi}}7qkw4yS;Qk?q z@RWEy#n+K!>-!AFV`8Sd;iR-ak-p;@Z!N`M^fe+^_->sY6MuqVDy{h|+xm7xA93qS zMq~p2p5ibj!-%=~Mbo-E7MQ)zt&d~i35;k1#gFKF2E~5pc4>Vok2T^ritK5zVhzR4 zF>x)$rkHpu#p7e9o~Fp3hJhc@@`n^pWMCtfqqC;<u9$c`#rJru@qL>je>PgNT`I&m zvB1+Iw&~8&n8+%cQjH36CgZpZ9x2s0<woMv0V&lu<wm;PNT?V_;u6Iv)j5*BGSZ2X zrX#~CWw1GkHlH*SY#ONt`j%2yD~-g3c~e+1jr6pUVADuF)944AMp|a10g||2ZA#fm zlKQZ3`>+7Jt^=n8@gHw5Aag6B4s^!pNi)~#;2`sQH<W4SVm&oj0{KJAE8qy6X8hZ? zgKRF>(~W-t<hH-2e{PVu2{z=mGna3k5o_QL8TqmDS}P+*R=$<8xq_|yw3TDwzp`>H zeDC%MZ!Y5eAg{VJAh$PHv6bOQSnF=b>$t!KTVR5rjIG$7SF-0sc<XG(Yk8;%&q1Yj zyqK-{M~b+btuzolzU^>1TWKw%4(56uVRHN^<t!G6m5)Wga0hcmTX`jAb4gqIJ<8^q zw(__mAmecF_`4{ZtJ?Z=t;-JPvbORil+ATrWa9sjvbnIWd^=8V2XkdxdHPY1&82PS zuPB>q+sa&{uY<X`t-SJR$mZ&{a=&!Q=JFnC;(MI3xxPmk`8r&_9n1x8<p(I6E8NO& zQpS!3)P(06avhrDat38{kz4;f%H}E`ZNisRHkY}T8z}GM@m9W<vboT$yoa*6(yiR- z7|7;QxAG;B4>#BP7*oO9@nSDFQGE`tcD&lHI6guVmBNa<jfmP~#k{Wc#l>mG=Z%P~ z(~8S8=!?tKioLs0#Pw-Kt_#+V4MK^D_jMy8UMtS-PG7`p#kEF6yjHx^h=|vUuNe{X zS~1jv$0A-U_BJBowPFcGGz^@^CUAAgGz_OfvjFPA1!x$KD0KqYgy^~gD%(snhS*Hp z2Kh)f8)xFEDg@{Vlk?G&+Y>t8|2xr8pds#qr<hA29nEK#^gs&QpFp2LpfiniW<z8D zJp>ng{@q!*{qt`pdh>55`RCsbH=lpIm4E(iGy8U;X5YrsjDNcA6wIdWn~Xa(F5=D+ zpJ}_r%-ks5SOf{jr8bKoO%Y%wa30mxC*n@lP7%nreOyHKRebV0JTBst!3@(WzauYR z89-@fo2kJ&Ua~TPagQ~5uzwUh9D3kX*)TuNOQnRh#yCrOdMw>3$C=r~J14|v4-erS z1u^<S;^t3xgoItXIkCBU8g!lW_QDz8%#Fq=AxsnLj3@Zu7<AU;#={~15b)|HL2te* zI=0atppOYKj>y68IyFlg>0sz2XU%QP5_8g<9e{QK7E1|^571(1BV9bgb=GWK1|PFA z&1MM7X(+r20ZjL_(=b8a&ephp{;hHUQqTX3xc>+a4e0o>o<HrULLYP*Mw5BQJ>U6N zJnVQc>^3-F!H%#a$y^h*&I~=s?l>>3FW%yN<F-mX|ABDK#LkxzXT$$GV=F%Qwcnq| zKY~5rOd#0PA24rA8e@12@}D6VR=}A={K^E34Rp5%$QTfi;8<6{Ig8*)zzJBtj1FsS zEYo)x_JDH+!K5O7;x5F1(A$^M!6JYa7%^K5!_2HP?9VN2*uy3aKr1wzEm1sY@g$6A zN)*|hzQ|(5y#&_Z#*R}nl$?n0L8rFvaWEC>f<B*|W8Ae%7+V!~q$rmo0cN9-XR)&` zkTSAp7mReUMsi6RacE=?_MrLD&X_Pip&qd|3Q04(>QpZwN0~%b*F6hHti2)RBIB+x ziK?;ocr}}C75?9e9cdax%ATR@E#i=Bnzffl%AQHz0&6d9jN#vE?Ax)!-Y_!9q-ov~ za-4P7y#j`;y<y}^<E}R8tGD)8m&`_c>E^hGF{JDn%HE>aq35(oh;sxfdnSELt-Umv zGwFLA0>Ta_ha1~9OUUuoUH3NF)7mQ{R~h#_lRiF7<9u}R+xytsD<);nQ1%w>hQ03A z-bhmRO!_Xg_Bwj@Ho&n1JJL6VWMxZsJ{j6;-jYv4y)qgpvkX#E`Jj-N8kUBqrB_Dd z7tru)8Q3Z$S+5X+hN`VK*04)V3L1vPu-TXbwk|A9vZt|Y4ydt5QNw(uI@OFwT54Eh zJgrSb2ysrbX&6Sb?xdpqj;Ebzu(h(Gkh}(as<SYJ5DPotUq-BDXUcZkl;x4UhQiJ# zqkDZa2B9dih9o<+i*T6Hc{K*Prf!~N?D<N|X!$Rrktt<c!J5G|@$!Ych}>w?#KRY+ znb3&LY)sRO*cp;!9Z1Hv0|@j<F|1Nn2~t;MQ{XE>MlP+d1dZ0Fi#1y58o_kg7NKDz zsj>>g#=?&H7cVPbd%LkCUBk$hbtb*hR|-5;^Y|~laW6`+qb-F3vSpn~Z)6(mRoXC$ zyc~OLHgilwsxgB2%=QTW@5PQp6p|_ko_tdS(i?pR!T6>16@<~+q<xH}rQ4(pB_r5z z`iJ!TqzDP8#EUiARxYEX+_J*i4A{%KVGMa4c7KJ7KT5EH2qRXce>mB^dZjlWsCqRk zSf0Uo{FmOy)DJ{@V5^u^!LmM>+G5jXo2!OmQYV)oOr>j{u6?##N0BT=Tz0Vv)~s9^ zy{Ta7jlOa<TAMPyiO|QUY!s;yqcwBE*pw|mijej^@<v-?v1%7j*)F7p_fmw+<*h;$ zHxFvFvH6n!P@jLkyiIc_{~^2;>iFCP_~%99{zLr!tip)Dfc~#zr`z-Ar6Bvm=r8+2 zJ%2WMsW?83O)grNE}!J~`lPT|myAYgJ78}|pZP4DxF7YhI-FJuu){)ruTRD!R9>%7 zCeQ-4if@!ZzECVaM8x<IZF(cMvN?)2hsSKf7;VBd&S>1`XwN1?54NFkZH%)vOPK;{ zm4YIF=-gOn1Y-(tP<kV^3O&*b&GPAP(>sg}T$fJ@dVMm2wy9;zDD5%iC~Fg?SYkts zWhiRDP$<96jxn1sMw>95-bk&=U^H!(#B9PCL&0=<BehCrvEL@IShNrJJT_9|c|!Vz zlX=+9jn$q@(|)k_;|P1+8Y%Id;fPV*xr_VyV+vWjqe#{hgtDj6)EdQ<t6F1X%Ca%p z)7VIfXA&`u_Rc75$k&UBCD79zXU}URC7x3pSLB^r94BA@aoz24_S7~~%C*OhBw2bk z%{cL~+bz#ETR8aGb8Xr?o@c~2hOB7j8-bM^YssGVMoK*63{NT^`FiQ|t~tbGPrNBL zo_m&uR|n$0jE60#)u0MAQerJY_+qa%#C^SZc;n&&27HF|qRwcf#K)gB|KkQcGa9L7 zD|eLt#+KoGD2d-`X_n6jFk95?laV->67SA18*98Xj1RRm+tKf{FxHM{G`H$cY`QP8 zNTDw!>EE8%c>CNkvFQg*Y<lCjCAPU$-WN~=qk5s*W;9ab!vTsQmb-L#W;9Z}U&*HX zLNzY~-Uwl~n9p<Rjg)xH_LpqB@u9Y5$)?9kDgEGyP4^`hDfFcz{o51U+^iBi#y<KT zEU_7lzQo2JbJOE>B|TmQth*uX2kU`BugeZJW42Tv@M$2vb%Yb&EfT!r;HNKPe~phL zm=OF#y)D)q0v*<VWYDn${rB&ViI@Q6h)nFJJBAL1Mt97%{kmg%!V}#w1b=hKyJHmp zmRS6JN@S~oE7{*2!?c=hEB?#h-yJhv-i*fc_jkv{e#g^3+Z(nlB-`eo)2urt*7dUu z{;%7xBgtG7wpn*ftQ&~&rWyZ+VzR)v7dZEVx;MqTg!;kOOk)=xox-1;6#j2V{r)`u z5$p%=n(>`27R<rBW_$q|0|FA`jPoplrv{bbGJn?$)3@BlPcW&dP=tC*w)%QYVqG&W z3^TLFus^r7!IDAF9tZgPB$7nbVRxPSWsP*OhCmMsW@8#{HcJR4!uAjCFxGxR&@f`$ z9;;VNaP*7Vao&fL6LGNDf5YcY=u1d-y)4-UH!M&;f@E8W@0X{NOcLC=2w^tb-hmxq zhm*2xx^+^mZGYd8nQ=XAY&7JN=8aLzSw>ph^QOX#*;eEKcI>cSNcJ@8o@csyyzZ1| zp^MmfhLC)I58_wQi(smaC!bV2AzwTdCKCK(Jo~UCp4cynAkxJ6yl$2k;ZC#hH0uU> z1v(C@;MgIg;tBiWVGS_bD*WGx9bt!&IVL~VrhAB;2PoHrb`QOdcskm63P{D1>WgQ# zi3I-`&o1nUXEdpJ3>A;*mO%i98BVS=?rP_4(7Zj2x<V?RG+#W`FljcH+nv~9V+=Xm z<e_<Y(r)O&HXhSGwaU2XE!ho5Y<`MK#nZtTPo0Sb{}>Ou5)jWfb~Evb5Mlh?R?Z&i z`q+3zlZvOKFP=qSJR9J6#Ktp(d=a~ON>+yvWtOEnnxv(MrRr(<d;L(lpY|eU18vHN zlf1g{J9a96d9o)V!#sm9ozUjSwO-0S?Q9bkNi!SsfHs5WFoI+qPIXLAnYyZ|;hBOq z*6WaAejVFRG^@3_2qBH%+=0dRVK8>aN80qx<DGq82a~dRdgoP8Yc1Q<+GzwHhk)br zNY+=c6Kgcez^lLN#4<ngGfel5%A7yrtNE{aC)PfsJlCeHh*Y}xNIK7UV)@c#%Dmdx z@Tcn)o30{~_0K-xc^zB(ph4{z<#k|DR%tVq{W`l$SBS5(OQjv_i^yo?b)x&_h!E1; z)24qU$-0~D%;WPUej;ZydUY9Rk-B*uP3nDlnn|rK7bMJVY;)emj<Oy`wyevx_oiiC zK9p{;kCE~mo7z!i%erizr|mh2*X8uaczUgtbvgc2$@K2E>Gk!X#p|-arzc*QZBI|j zx@>d7-+suw>4@f?!rvL2-pKn=fz3r8Y1138%l`Dn>vHq-rsB#%3}Z-@FHeGcxqNMf z(eht<<35B<w<$Ayd;9l}eF5Jro3b&aQWmSt%~BSt&COG`$Cl)9(qEg=I|ohk+Ke<o z*RnP@D{m&<)aGX8ZM5-R^|84+NY7d-ax&cJDxXxYV)eOMu445$o~vh(Mm`9kybE}5 z*0qxdwb@?8fB7G<zs=|G?{6#7;imo9@dxd1lfS<|t-w2;B`tr`Gp6*#`r9H%a{+er zmZ7)f4D{-t^93DT=?CmBW9Z?r(Ci08XdHyL8(P^uP(N6S?TJIj2s*gZzpWn(q1g|H z(CA~!IAA{*?<;)HN4n8B!agf>DVRPhYP(}cKVi&g0c$DZrJi}<)j^K3c|orSd+E?E zf^MyJ(?|BWLV4xbJU;fjAwJXZ#`xGvW8$+B#r&vOe8pr*EI#(1AwEQ#VfxP$U#$O( zp;>d$-rE!M4ZUGF4~?wHZ1qx2-^z$y8R!7((nyVcqHP%E<%E{AtYw^W9!rVm52ezg z_sg@))*qH__lmJh4cq^A@%sV1ZLHL@h3MeFFT{N5qcn~2r>Un+ldU4((6fe`VRFmo z6)(5xCQa1H@^HUpwzw!qTdNM%_a<MKqd&LSay+-lM(hS?{g_)mb#!3)_-=&Omp)3< z2ro^nOKh8wCR=Gue;ey2>m^x$9ryL&y=;9lJ(ldt!x6TUenZb5YL>q?pg$<TmrV<6 z1D``W_@406W4`oJN@IP~Uad#G>VfoXJ5pNA+O3oh^QUy6O)0J_riBvgJ&z#SO2D3c zS>CJ>IFsnLLC=*rlhp9;hZ+>Wzr=j$qm<@(WlB4$|0c)r`aj&*i9gda$JUoVvXk$( z<4=z*xr3$0`Z7JEu&4V|>$_#!Pz<5i3jMLAL2qjUuG&UQ42jYzB>5E6(RaQw;&#zl zN#AN4A{Q;3eP(YKg1(FuHcSZfq#l28@O5~mB2)+LNQ=5rDJjK#htg~e)!l|N4ItXo zc&H)%P#tY3V+$h*@ldgQ6K$p2P_~vfQc{euI}}6l`a`;G4QZsLP_c0lhU#NO+4FCn zP8BM4M`Eam4P|U$)Dn_H#oAux0+kf|d4_zu4(>GSy)6|Ebi@$m<-7PxEeye+=)oiK zhA0CP5#yXdn0Gpz62cxH>-6da6(hIZj>chLnxcyd<;Fw&8(*s8b|C-0cn>h>ybs@C z;Gb<;;}gdKE?XqY2^?^0Bxl;7$<;M;XVjFP8<{=}OU*}mE*dzX*W?*<=11!0&YfKw z!Nd%a%5%#rD=I20Cg)_H6zP|h-EUsb<nmc_Cd1_HS>=6aOq<ph!A_Wid9zNaEGwVY z7vVDd_l0-tuetxMIn!p(uc+i|EB0@M6lMjCX_$IzN=;eCtVKDT5bE3JG_xNFp#SJ$ zCos`HD$^~`>~XZ)rNPCr_gyAJa)S7>DKlJ0=I~#((bOA{-p1X^2C^#a-P)-7&^!JQ z=3|O1bL2du=u5Xf&YC)&ivIj}BLCU2b4*z4PBw1W#^N4fBx^tkwrLr_qut|d;Ij-& z7Th*gWuteGG13Vpu#Lq%%mz>d^NnN@<Sy|0nEabG8oMUlCLJ~!6--@(rq43=TV-aP z-nm=nZpU}ab)Q+9>HabhG5mEPywd&C(ujLUAhRzwcPD)B$9KQXLMvmzl#?ldgSt#w zOsY({emvSF$hgd@FfNmqxM+0d2zy44HkmZp!Ax~ABd*O*+@MK^2^K$x#^s)Ei)nzx zNRxh7(ujC&-0pGfr^n)nyGCb?=)1=Kd}*Y+yE2eThkGGP%+ks)EG=?>7mOTpswuX< z+1QZIY|Oxy?RFaM&dtoSn_H6Uo*HrI7b#$_n?KPWf@5Mw;K*zpkR{(S<`m=)CQRnO z`JXxU@qebU%s=-Wlh?SHs$u*zm@{zDf8QLfE%sq1ic`NmsU}77q{KznUIE5S=i9gs znyk17O4t-tKXZN$ArZOmXBZPsL__QFGbOY_J3?rM>NAgZkBqp}GTqM8T`Wq~c_Q4# za%T7%GG3;(V0BF%ay!(?Sc_k+ZnaUEB8)dXy%?2kLhLo5OTle#LYsV>>&>l=E8MQe zZPTU3sFzW>XU0R>(oOLNJwQ|Bzwq{Nh)fLM-2T|K&o^hov_1p;ZO>pAZO%3SPMc$* zHic!1&_rV@vB{QknNs}kZgYNt_UMJB_SB;NVP!dlE7X*UDZoScXR27dZML<>R`&Qc zX^Pt1yiD+eroqG)zgQ3IDs~?UG`}btTLm(@WOV5<4ELda?t&sWx#;xK#|MMBZWm7* zF(*Ff<iu&&{qm>GonPlvm)1;k&Wwp?mY+Lo&ivX6XK@+ApIM&W&xDFiZn?M|>hf82 z<0=;vmQAahTjQ9iB`fC6FP~lMOe`};!(fGX;LN!-brH@dTAe?)a=Ll&(KAo^{JOfz zn(4C_o9Qs;Bg{Zde>n?JMP}Ab|Lmliq_lR{j5(DR5wmn0b6}EY=RGy4h|O|25z*W1 z#Z-7Q^B%V15zm^lpc1oungqmW;AG<M(G$z6XV0%icFM_${T|z9&GAj%8k;@Ur0-0x zDB>xL1u(_op9hSYH<N!h3qq|~%<TYEv2rq37U<}?6|<(#s;qGqRp7+fn7xvjh_h$& zfv3;$HDz;Zr_Zf9w{ZTPX?3&a&Z*_Y?3Dc<LJy!d^*|-!)sIE2PbP28(wskNa{lPj z5vBJ3l+wz&`PI@Cl$J~xHLHAb>D>7>(<&zq%g=XIZHA4R5Xq02r)l%to!u|%q)1P! zbyitcTiGje7N$h)>ph&GkTd0^6Uvd9X)_}=l?!IoA}f*XlXLoKo%lnCIX0ET)=-{X zQ<qpDjD8WTFLQ_hI2r-UW}CCWn8jCJSCb7J_FSK-bH9ief`il!iu7bc$D7{^D9H$t z6&b4E43UzWxzj3ZYv<O~_WCYzGGq{LvSs|0;ozwn&;I$ijw%<OFgnLcY@IZ^RWLcR zU8qCg@W7F7$G}m6PJyG{^gx$jMxc9uKi~Xo((!O_<BzJmHj!1~3z9BOyeMIH=wkPh z;H81QHUkq%+9ZriO&Fg%q)jz?9lQfT{s24RF}VpPX$j-nB#ci@I0J_*LfDSJ<M@Ma zNSm}(p$ps#gO}sC*}pRHD)L&FdBAJd%(;4SZ~rB2re=)=ZBf<#*H7GfG|HF1dJ|RV z{&Pl^{lV=xUEyXp{myQ7ZW)e#-1xgajQ!if@yC42cvJOb9bR}5$8PM#@Q2Gqm{p!} zU$_M=!tF#Na-s7!&t44__}w4d9zov*>l;2lHD$nXO#gc9UY_7|LO5u<??BJ50e(KU z^E&L!OtSW9$FsK?Ztoa4o!i<u&L*G2bKcq_TuBGV`KSkvu$vd|R}lU24*vn>f6TD) zrohjO_kOs&V`w2CO@jYg_WbUFpWoi@#f}q!j^)xCyJzoNxV>ZGbZTKQLWefbZx>|0 zJ<Q5v;-$UAuzU9Y3b%I*oX$Gf>+d_z^LrD1KD9Ft_Pq0kZ^L8uK7^acczOIoK01Yb z^@V=izibgMH0;3hz$PLv4zEUVv&~1iQ#=8rEpDCQ@Z6NQBisf$v@yTjjzA!PK1zJ$ z!q=d@a$%l)$##Yh$F=2)z;lw`H43M*6+L>Jq3i^=XD<zI#@Wms>S^p1B=~vu;B2<} z_20!ju<Y%NZ(gZbjq4<sPQkdpuR(y4^TIYi-fOkI<9Wr!Hy0xX{>?Qr8pk!Rowg#T za81pOiHMJ9A--`fK9(!X({3!`_~x1t_sh6=eVgkX?wC)`q2oQ2^TrN<n`O-VV>{#@ zm$8v~-)o2bo&$QDiT40cR?Vi6J>YZk0q=qSo7@4c9`FeV;|@qWvfZ7;$ruE7!9~q8 z5yV3SpBsWGHkKTDxX9}sD;YR!%DB!H+kg7^7YB+%#Zlr|@oceDtP&TBOT`Psb>c?x zT5+p*i+G#(ocOl*kr>3uG5HZAVqftzag2DDSWYJ3yH1hs7Y5Og*2#aV<ntx}Sn^uQ zyf-lXwUW(TpODQQpP-pr7UY|63}@z&1<f3?AUjy7H*XMv9BZOnA(}ZgAup4BnYf0; zdAUmRX2~~6zC|+EJEc7{cP7F=BKZmVzaW`!;L+Y+CBHBE6Um=T4x#?jo|!Wf;oD2* z=m?L`kZk74gv_ZV7@lv9(?3`8P|2LZhW_IvpDlTs<Z~tSMRp#)MDhyBmr8Dsyisza z<X=esmE=1m-y`{9$&X8ZUh>P5-;w;D<c}r)L$Zsek?9YUNMAe2M@sH0`B=$Wl24R8 zLh=v9O0ia4A^t?XTD)2Oo%pc$ocNabf%vtUh`P>nwjuHS(@w-h045(1`5!MiOY$j_ zb0v?ITq5}_$x|iQOTI+hDBdLAB|a+tSqz|oVtHnZx#AdcvRFnUAG0OTle|Ro3dxsA zUL$#v<ZC5wll*JRcT2us^5c@9lKhI~Hze<o{DI^zBnMEoOkXOA{Ir#vF1f4ZK9aK~ z50*Sc@+iq;B~O-ICV95xd6Jh%ULpB1$!jEUl6<Y?ZIXX2`EJSgOMYDPQ<7hi{D$N` zl0T6Ah2#Kk@h1Ny^DjAFa#zV%6VCV-iW9^Nu~u9ut`Rqjw}|(OPl&IG?~9*{t?+=w z^mG)DA#t9LBe5SZnn6c|%cBeqBN1+#<R2*9Ibxl-mP9yiyszN0Gc@;G$b2@Sp3lT& zy4YRpDV{8zDi(;tMLZUo<4eV9;tUbJ7{;H!qZrRBakcmp(cFLGze)0D@wejd#2w;J z@k#M%@h$OP@$aI!Kf}Ju`yZGjVty$jw->vKe0F9ybKeI0OU@UEiN#{6Xzu3-KSlCf zu~z(%xJ<lAyi~kOJlNdbznA}g;=|%&;>+S+#COH_#ScYu-$y#leIIPa`zn|wn&$w> zM@v3N%oO{HCySgqiO2H|IMTcY1r|##6(@^RMNVhP@aKpv=k7jFdT$QzOC(<|UM*fL zZWXtQzZK2%2jaP3@<XC|TMYVLk~z;E)AN@2o){HB6aOhD^ZtwQZNwwQPNI1Zf&a0R zGsS-5Ad$a0X~#T=fTfbp5~qkW#B;=2aiO?OJYQTVUMZUA5!k<8^3CEc;vM4e#Ro<6 ze1hZtB>5TfE%9CP@8U<|KgF-bq>#;Lir8K>&nd8fjO1=&fAJ*obTMBXDHe<7`2}{) zk~~eEA<h-ea}C0sFZm+TJl{aSPV!Y^qxf^tJog~nZzSI#-X}gNJ|n&${zZICd|&*# z_?h@m(M24r7fIq_VtbMEBhvpkv9H))G|x+LpDuZrXr7zkE|vTPaf&!i<b;c~zffEz zo-eKwuM~eF{!+Y8d{BH${FC^G_>RaK9U0$0!~maT;7$;a6pt295VOU6ahO;vmWq|) zEODMVU*r^$jBlNImDni$Qv9`Op3e~eA;~X@FN;m0c`ie^e@OmPOyF}0^sPkBSxNag zv9H))%om4=XNo@*=ZdxB1>(h`dH#a^pGv-3G|yqsbCOKPf1miU_?Y;rXr8+e?jy;c ziC>E@pL5`UxOkLkp0}XyAvsqp5J!n6V!1e7TqG_LFBjK}8^vqHZQ^!uhsge3rtf+2 z&*GcnUqyC=F&z7I$y6~-jELRE0pcKWlvpB86eo*w#2T?)Tq<%NQpUSU+#+rj?-K77 zcZtu6e-+;oKNLR|!|3ud`4T&b9mRg)0P!@Dld<u*apD=`*<!g^BQ6k^iYvvn;uYdG z;&tM!;_c$S;sfH-;`8Eb;+x`!;-_LNI=h+fG_j}HN6ZliietoaBIjr2@zcd6;&O4d zc$s*ec%#S(U3vUn;x6%7ku$u~f4BIR$i6b_Ipr(Kb;!s*VwN~i94w9#&k#9BERU-a zmy4^!%ftrpM)4+*Q_1r9d&S4aUE+)4E8-q;ulSY7-!in5EaH7iBXe?E>U)Y=Vvd+6 z7K&$xlf;?gY_U#UByzf2+FvVf7PpAo#O)#{#AWzL#TUg_#J9!WBB#t{c&@`lriq+O zlybTl5i`YpVy;*qjuJ~mPQpt&RpKIXiMUE!EnXpR6t{}o#M{I>MNaEW`%jCni*Jjc zi(iSH_?O{(i6@Dth-1YGBBuss_+{dc#Wmv3#hXP=9?bC1i*Jj&#jiy65i=a87bg3N zgT&Ls@!~|06A&}}`QjS!r{c}xEh48VX84!Hcg6R`0Dg1RKSAUq#+0+f)5JV+qBvPx zN#Y)Qp?JBtR@^9FBmP{xS>!~>Jbs7xu=tqxwD`REs`!S;iIaK!r{b4lpq<roB4zp? zDRvPv#N)-jA}3mA_~Bx)SStQdEE75NGQ%$rmx?Pz&csarE5vKW>qO4XO#j=(d&LJt z&eTl*=f&5=H^ukFsQ8r_Y;TWC7TbtNh@C{v^i2Di;s9}wm?svBoC%uYCyC|abdgg) z)4yI^DPAc4SX?7=3TTGkBHk?CBHk|EC322vhJQkQR(w(Xi};rKo){HB6aOg&G2lac ziDGN9t=Lga7kh}kM9xyp;|GiR;xLip+w>nVP8O$%GsJVm8gYTROgvxYJlM2%g?P1i zt$368EAhAD??ld<&EuaG{||d_0$)XS{{PS1xw*Ny32+H}SiBHGKnNi$QbZddi4c~A z)qu#pmmNe!l!$22s%5LPgBCZmh=^9HwFsz)+6L=_iWaS+21&sMQ?U5|e9nC4<|d%n z?fd=u`!lbcywCHT{mhwjXFJclA-*ksARZD+#LvYO;wdqJ2Vl%EBqobBMcU<NcndK@ z>>yqub`^8QKH?y8h&W0dCr%Y-inRO9@@^LI5SNOp#C76*;wEvc_=Nb3xKrFK{!x5G zd|NCQOT^>i3DJjVi>zNjtSlyrDPjY$sn|ly5IcyMh+Re6HD~^V#9`tnagsPyoFmQ^ z7l=2DcZf^HRpL7FK5>&sJL)X|8S!~>ulTC?hWMWNfmke-h{wefq7TnxP5+6N#bl9o z+Zo<eOcOK2PU0nEj+iU<69<XI#8KiTajG~+oGacc-XY#8t`hGN?-MtRTgC0-Gvf2& zUh!4&4e>qk1Mze5xOhtR;l?@pC0VQ~HWOQlmxx`(T(OThLL4Jb7N?5~#GAzx;u?{5 z@>!4V;!g2-ald##ED=8!{dmyM^g%H!Ru`L!EyN75gV<f{CDKkm^P42j6z7OHi?@nv z#0}zB@d@!6ai_Rf{G)hK{7~d~0a(5dFJ6!#v9efCjEL!CJ26Mh6^Du=#F^q8@p^HA zc&m7axK6xB+$KIL^1B7B=NsaC;s@fFVyPIy3wVrQS*$6hh%Lo*v7^{o>?8IQ$A}X| zem8;n-z?rCE)_S54~W~vXT&1$HSvIWQ2bmxE}jy7cmTz6tBbWoez$@84&o(ZS8;$? zAWjmeigU!d;%(w$afP@>+$?St`JD)sUnK4q4~WHLiFiu%;l&-(9<jFALQE4g#VoOx zm@keJ$B9$Lnc_lmk$8`IpSW4vD()1Y7yl@}Dt;gy5=+F-MSk~!?FxvM#blA+!C-h( zF-^=6`CSZ#=ZJm8ej>k<!SHe7Jh4#ZcQY8iT-+pX7Wo|whVK;jiw8u0SA*e4#lU&4 z91{7R4Td)m+ld{;d~tv{R2(7B5$B5hP6zY5L)<7nAnp)%i!X~s;vunE<i|aj-zhOE z;>uyMwpdSWA*P9$VwN~SED*<ulf;?g9C4wzNL(eZ6Q2;bi?50M#ZSb~#1rBvG0@O$ zPe`mS))Ujj46&=2BMuM?#1Y~cai%y&TqNEmZWJF7cZ$!8{6Gr(|84OT@iXyDu~ZB; za^ok7DPjY$sn|m7D0UY6i2cOL;&ic4TqrIT?-bXG_lR4?C&b<29<fM#P5eMSB$kTb zh&5B)_N9m|#56He%o2x*qr{ow9C49&n|PnNNqku>68X^??vD?}&&A{7Dbd&1jTaFc zi(SPWkzcK0e$&P4#RcM0@lJ80_<+do+A#go;$HEO;(Ou;;!*KSkzc-H`k+`-Oc7g% zX<}zFQ{=aCn0|mbN*pK7A#v}2uDC$FS-ewRCEhLGEAk6EO#h_#2XU9USNx;+y7-oO zQ2bEDV=&8yd)&BvX6}>WwA9oe(}5`mFnYg;$1)}yw~-A^I@AZ3&nAAU;$0u-hF3!v z>ubVskHX}Su<ncpxtH=Ol)R8c{MH>hwvWVYXZv<_n|5u|+nM-h|916$96Q+J+8H#n zyl+=8-;x)sx+HmVwWa=L*3pawdBrazEy&3)O$rYw^<PkJ#hu~X!foorO~?(e&gnKG zc|~rizh&16LlC|=d`mc^ZdS@oC;hkN)Lw8h&R%%Z$(~p{d2QU`B!BYSgyqx23r;$z zamRweYU?J9z}JQocO6b}9zGWIZOG0>4zH93x9t34hi_O`ZfR0_+%Y`oI(lc}s>cSG z`jZL}epC3?@I$o=!gqcW_V<8pf2lt_7%>-xH>Io$XQy;Sseb#FPXqQ%Cqs5Yc;U&o z>;)%7sqt&@W#|oiO8r)Gif?T~o$knMPMsj?k-WA?X;P#pJMLKZgb_u7yHH9dN}G3Y zczd{SojjD3V4<XWC<*$c@cn50?!)n+eszCZXTeD;yAb8vdeV7_?YcUAMb=9xECo4- zP)0uTPJat2(K`{}%8lWr;VTO^)Sgot-(imL5}q~TMbv+PX_9praxO&g-IL<>o^{wx z^!krEvzPLUe`Zary%)L1<15bSpYWx~Jz>3XRS4~PGP`EhhJAtc{xu`48@BpdhnHl2 zKH!EbcU>1u`jvI`o5Hl5@an2-lUGz*nXvfrlV5-Nwv~6(3RYfTY3a9CUh(q@p(U@B zw#2uy8*g~GwAqd!r3oXrw-Z(#D7Eqrpat)icHFY8PC*!ZJE=SNc0xMN#Sc5d=TlhE zS3dRGH-m}CzU|E3zZrYMS%<y3<K5DFTVDCpZ)3ltE~=BT(zh<Jc!F=&;Y25Qgnc+Z zn1pXXcPUM<LWkp%a*-<x;9`%@eQc=N;|bwzwTFazVT27u81})4t}O4NqbbPqq2sj+ z;b+b$Gj&e*l~1jQ-Yu=Z1$$sbA!^`TXRnT5F*vJxX+k(9Wk!Qur3sNIv!2fKEg4gk zn$t3;evV3s++2GGOR2~4#F7@I{1N5&A7UwcYNKWF1s)16Mr+VNku7Xr+&a`GHFP+D z{`;BlMeg@V@Nj%0O0$wMLQooyn8}x;)W<Mp*>mq8fA-zh6dQeK%0S=wO@E>GrVrhG z*-I}WNA{*~)uKA~%AUygrIb4xB%;^6-tyhKc3<L}(8`3>mDavnn!aO1y<pp3bw*?- zE)FeEST_I5T93S2+IdSDeSUf9Dl0!{`&M7GS4ul?2ro@q|I_2gHY3fJsw)wSM<*SN zl2>1mlUJOWl2U(%^(kJK&nxbk*ss*T=DdQ0!|`!lOZ`KKO{h`u!r_GYz~Q*ym34QO z`U@M>J}<vUe*aQ?@t{(>FyU}8et3S3+WkuH9n%W-98L(LClb0MGzXzo*A2~j;c!y? zeO>!!^~;);U$npa7WYfhNq7A&XZy$Q_oA0>3FG4sfhAR!hL*)IwvKMR;RP#<Z$D>l zI5rq#b4&G{K^U1$CXAl26vtw?PM2^F_T1YzE{+Z6F_erM2**znXQ9|*sAm@Pz}J!| zTfOU|JxSq}uat&&bRXeg@k(FJM4_tq?sRa2eJq%GKjxzwvoR0(H)Va%*EX}zJ%v`w z3yUx_)VsVi9`ntbu&++v@TyNnaCWGN{Tdkz{gUwNu&?gRDKDAXk9&0C$pH3n(8iIq z!(LesMy)U-1yWx=Z2SEyv4^c8b$XV@XZlu$SM(^2k0he}Uu3V!vM?WwFY3d#4n>KX zZ<ksTv@!fZ_}*~0Iz!p!UMMy5i@|xt8-uIEnRRy^4#ou!J4uBneQbB?O($*4PPYBr z;RGLA?SHX0O3Ot4L6jFc*0-QE-tw&u91AAR!SU>b9}h1GhYmY|hii|(%!H!>qctgW zC}M34=M`^Ad<icJZA$SUPE1&UoNqX3Jydwo!Ex=RPE5%wp6GWDC&u9z$n0Jkk7Fr5 z-@n$k8uMV{L)n4DPAKVEFtB1D_TPs1HF?FkzQtR8Eh{h1{QRlHD$C>7{w$cZY*Z2E z7#v;oc7#_|U6Z&puXt}DulQvw;>#=El^9$eT2krGxSYW1(25(oF8^eQb@5w?t6JvV zkkdZLcg!EWBxiZf`8b{v!v&~0XN~TdH868U3Q7~xGgDqm@u3!&FECg5Qt`V0T3{fx z(Ci_9s<R@mc#`kkQvZgRk!m-N(2x_qGO(&wX=3IrS-IKWk<ZgvPiDQmKe*=NoY0Cy z^IhnqRo&_Z+YHEB)-|*&xIA&m=6#jdR#`K_8W~JlTV=_OTYb$dFN^=x?fa8f2G(>B zS}i*kg;!U>QD)cSQTC!a$}Z(mcH4Ocm^}hG$|k!<S&&Cr$Q)%GqDR>V9%Z2lM_GtR zS%^m#j<gLt(x&AH)(*|Ow`<R=+^nMg$vVp7a*`I?IL?xmH_Z9%$ESZ6I>CGwy5bk0 z`EBSgacsup<i}^u75w%KAm3@WYS~6p?jJq?eGwu$=4H|r7a<HEX}*T=#eItS&KLOS z>oCA*;(r4;4^Wdgjv9X)Uj}u)p(g)oqlsV5IB=Hls$2O#821!|gYjIKQubMBvzo;p zzlC9Tu*gL5)4&<NOl|TG`dcIKxLM3@0&LyDPpjF^6nj4W0Y7#J{`bKD_^|U{{0M{v zcR+Fu##8CTm!i%0o8OO5H=-}NjLAQc=mcM&_}BRNO{hOOm}x(Z|JaDZAkVa%kCatX z@Bkwpl33Y_<99=ykK>OZR)T#w0&OnCv8?NP)``ugb<q%)!r0?kLMyn?4hBq^g8w{+ z3{7O{M27y1B1^O<vF_}*L@cPDvL2q_Qotu!jqo=L_#&$jDx<`t$r2K$$kP#8^Recs zOig31=3~u9x`Wchk(O<wPAEU|>e-e(jeSWozlqm)l8s$h>2*YR1NrV|rOAlpinY+n zBz``0I#VX`%b~`HzNpMb9YR9asySbtw68*Hw=X_^ANn=Wxqa~o`{H*LO<#P<&@U*O zz9?p2w8NP<`{D>&b2SC@g%O^kfW9!ohY;8oMlj7UVS+liSTM~u(j-c3zLEIeoN4~w z*oZtzY`&3BLUNmL#FugSxXm}>XAn*Ek4Bqsd}w|)va<PxxBGPfzT;&dMDr`-1Ecx$ zWI^NhMPIgd6vQgL!kCOS&muhReqOX{Gw5*Mf!n-g%H)<%a$sTLAA-6X2Yq$-%j6wx zy9P6?!7i=Qga0^`k;#wy6QladXQKP<&?>QC`3%Q=<+Ezcr#)?4q$QVq^|PA$ozLnO zzw=q+JHGRYL4vvSx(La``Lu6)HfFC{`2@r9LC*qsqZ@7$BDgQ1V9uV=tr2{-#hWu; z@X%-&JQ*gqKk+j5uKTqJ`pohzYe~Kr8E>aqcfj%E2`Ly8bKqe0|LOTBFfz<qE(DB1 z{iegkeE0EH<i+0xrrV3Cufm}<UbZZXQEcln!Ym%Mmh>W7gP52s)Vy17E$Qy1W~E}< z&m7P_14u3(K&H&(YPZ)i$%<XX9JnG9QHh;!Xw?Jr>0#Xh$S%;P$@&WQd<{Wy_^u2> z=sgIBZz~XNE55Bss0%Ym_7ch<LZ*ZA3HDnYzKDTr=w$^_U?vOnDvLz1t>unlSF(vL zBlcSsSx}9G!|o;?hQqhw3Ic(Qrn4>ltGAx2r{Std&9Awd0PfADaEUN$8JJ;RV>JrY zTTjJCIK*Z={&NFrqn^!7U9UkOz)UmznQ*8p(N95FBZz)?L_`N|4l2MQia|mQf=jUG zvVt>^fFi3|#Z}F<=AkZL-FG0M2J4>chYm!b@#4EOs3@0KBr@GxwSWq)^Q>lCfS76n zd@yE-s->B#&cQCIx1Rp)aM+PVA2@!kgd7JKKuy?K`rQ^qcMRuAHV-KY4kQesp@Etx z`Y0Uq#5OYq1`s?7{MM2u=kusH8+Ys+IDEQ=U>C$Ok5oSgJ{NbOzX;}pL4u^I{<2&L zdbxT*NFUu$V>HG6tS}4orklyA1*V%%q7mug5rM;wV0gvpCSrp75?q05KKwz?7&uHr zgqv;52SE_mY5|9VNw{;)0KzO{MKj59CWn563NANOrI`%+6Gzd(?o36WS&)mFsw|KG zF?mF1nj|D#4#y*g)|N9(m*x{3BDOX7AW#a&7=2KSL8d|jynJI1HO?-L6qO5xcf(=N zA$zm2TJ8iifOx~iTrz-UZP8C&4P$0XRxkpGP=X0f@6y9m5Vp;yN1fK4{Jw5yhV4v- z`2;Iv@~BX|6VSUKcE+?rfek}r2-UoMS<MHs2J9(RlW@m(KJhtR93~KCLod5hb0od2 zZL}D#PchKGqbInZ+2d@z*VA6A*ge77gyRHbi<k^o)tlW40#|ABp!#_@Z$6yo&WAh+ z<p~a|L2$y0x2~Rt8PJ{m?tvnaih0(xW=cE-1s75UtgFprKz~y>%zQ*g6Eqir_14qx zj-cqw$KNe?o)|z(g-gIeubGeHHn=LTY7Po;XFe+Tz?GZ%5U81NDC)$;?ifnMVz_u~ zo<A@Pm7w4@0*b5E2(AdLZffdIeDrhG7p5tq9ULb<G84|5_~>^VRBqy%fSMsCk?fAJ zq0vc=J8_r^?@zEHn$*gUz{@gGNB0Qi+#lVbMKxTjyzXH5POH2OjyaH+McAk+TIFqK zv;&C?j5nWflMjT?Y%TGhg>#PaHl8+c<HW>`Nn*F}3%?iL@&Axj-i+Zh+O~m#4(#h$ zv&X;|TiOJ67wv6K8993bB-2N{QpbE#Y}rng&d!X8Qzh4#oaWT+oZY0gGdI$-`S}gf z2c|Z;pi%nuO`N#}&d7+<B+Z$Y>r{)t(4^C>z?q!eZG7{#h?L%_SBup2R?hT@lbF`7 zRqA+TgzI+SaQ++5f3$Szqa8sXtpfVy^IsGGYs7!FC>Zn4L~cx7L;gc$h<?#Xt~E?w zHWelllb|WZ{!yJNXEepssE)=Y-3pjcQ>@8_77%@P`7fpvbVlt3Fs0kg$t`d?EO2r= zI~@v~+%%_SniERv?aYd_hMmG*KWW@5wdeS5Fx}azb*p+#V#K*J(zf~Vo^6~NxjlPb z+Vq0dmZ|C4sjXXNv`0%?rM7HZzc)&<of!p0PyXx0f0yzfE9?HlSD5@Zd%@0$`iwQs zGUJ%+9@`jZGy%p=BU@qj<v)|NGuzmYtWb){)+99LnNai3m{etV+I{%XH9mR```<Q& zad+9q{wBNI9>{-foUv)nH6J=n)0`m@?|;PjJ3CiI>N^>^&ef3)&ieVCo%eD6i(aPr zT_4NxvE{xC_|NPE)=7JP#e6e{FhI@jHv`4&ZC2Fh+%cc#a(&L1Ztzc{S(qH1Np9lx z^G#wemNUgw*LKrRh&c5k&er+4J}1UPY_V;3cB(|oA<@~H+}UZ6c7ZcCf+M4O!^W+9 z4NPs+rd4|D{uv{&qs_q(ajGwNs^jSC+?U70=+s7ydQCUSLmGxdyGA{6q_BEZ_|J?t zGxAJ>jn7oMY^<8WSvEq;jt<j1+|}-(bcO@NwALIBT^P*_X>-__PBQ_f4^8g3GfJ6Q zR*vzR!s^8oX1b21SlvUFlSQp{ZR(pNq-U<v{$ZzQ#A)BTTgMD1%kA}_E@o^~v}qzo zxO+I71KU)>gqnY4rFM*#YHDmc%A{~dv(Gha>~m(id()WG_Tg}IuIcR5-Qx_4IE^Cs zpIYA~|Bu8tOiRN!Z02mlI6Uyf$KhIy!;j894wq>h{;lFTH2r7VWZG%|ITPHD*{ET8 z00!{u<vMHT7r>Boxk0$kvS|^uarg5xdbWb4X%mRKyhA(K8vP+=F}+`b(+qBwx4UGb zS<_>Udb);5XHA?sXyjxIKP4zIYAVwh>SPYFb|?|&3>G!dVEj|&Q2%3QGi8SJpR?T= zHPjky6uQ;?IvP7x8N<uWtVSDly1h`(>}v&soijSLMRy@XV-+=OeYVV&D$ANa07g*9 zOdji+Jsmx57CYL_NVaL+zGK{|E!C*0Rg>3uR@t+#6|K7ZDAojR#Ssv-2FpaouC8nI z_QzyA6sI!0nu@g_+8DC8@$~Nuwm^-|(Aao9eLQ14oITg}G6Q*f`5&?oT5-UoMGb$R zHXdVl?swS;bq{D0I%e#sE5{F?ICb1KE6w$f95o8oV`D9dPM$V8RvZZfwd2RmidCJi znLKeSz765#IBWJyD{b_&DO1K`u%^MXY}&}#vu94kF;qU6arlJA*q9DHi?=SqZ8+~j zg_p;%n|u2IKVu`bP?r`g-}Cl_Kem3`5a3;U#Kv=P7?rgn5-hV585?gV1l;+LZt2b4 z@m2>K_5sU9QgeTV<$4>}xF>p*g3=3H41a8X-H{)!@tGg<@$%aMzn2DH>v9}K4Pz9Z zcWZ@s^D4nkTucF8yv>LgqqZ_}t(J*9RV+6V&MWuX3i;KqRlZ-m{C-~{zrqfd6@iZV zp$gIbUW4CD1FzK^`9<i_=7kkOj;-GY<d+T|^TRUGXnu#__tL;?O+kJSdV?`0>;w2? z^D9DroF|wcmOV!E`y77e$FjK9Y+zZ_yg>|^VRZixy?8f8ewdBW<A`?=(t4X$_lh<` z+ckvUIWH3E4V{`u5ZjJVV)~_~;&I%tO}fH{4>m`U-}zo)kUcLM(>yDDzV(A_gl=he z&PM3}rj1bkPR7~@<(w94Bb2{;Kfp$)ck<bIw$<3RK7ZP88P7F%B63<l?2lZ<GZimU z#j{WIZe|Dr6~o8a2yG1hJ2pbwaQtGhUn24`F6CVDGLd&Qsh=oL6|WTw#oNW@;yvPn z;ui6@;xpoN;$HC$@oSN`f?0kIk>9JM+*a%=_7z8pQ^hOEILo?5ypF`g`g4UZk$k7* z4U+Gb{D|ajlAn=mY>6Qs&sOM1(w`I)FgdWCT4EEit=L7(A<>?E$pa-1mpoVU4J4k? z-z46m@MQ`&Hoj0EZ?!VNhZX*)<ljl&A$hOlH^mRdV`31;1?yi^Y%Cg^T+nAqKAUaO zYZwppDI_rgFBBIk{VLJe+(Nu^Hb4=7r{cdU9w8Br8}}>ccarDEWLV^H6y<tiE3u8( zQS2=C67xkf{*nH2$v+dPiF3rc;;rHx;;+P&;;+T~MROb=|KCa8CB7gQiTvGXI}V5k zMZOn9{TJd%@mrCv$}pTa#K}5heX)tyT;xkMjMrK0CFYBliG1^i@kWc|MRUACKU4Bt z(b(jL{tn4Y#g*b(@jh{r_=LD!d{%r;EE4(boAr4|^lbX^g&gXO#m_}P%%T1tqRsIR zIVdKH=D3BvuH^H@3&c+1C89Zw5kFTlpX)H+Vd7Ykul-OzPb?IFC9V|tID+vui@U@Z z#6OGX_(i<;B!3|CIW5!kF&N3$o5(mZNeqkUiK!x=9Wg$?C`w)?dbalXyGcF2_(<L& z-Y%{b*NPj(2gEJnHgSizTjcv!%-0<E;Cqrk5c#4I!#!Ji$0hUi2I_503}l?h7q}?b z6&s1h)-UvJBpX}5kULAx6nlyJ;$`CHB47LB{5(s%R-7;XT>OQ|SHc*7gLuFAkjQt$ z82$(Gd2z33Y~~^SP08<xABcRZjOo7?Pl@aU>J!9DBHj%$`Z{7nY%KDvG^Xn)8e4gg zyGiaX_7w+<SBPW82_j!?V}A3*h2kRdm!h$qhj_*&F}P0p`$S`-82U#gKQ8iJIp+VO zxKDgt<m+<`|3v(o_=R{<{8kL$+KBN(BHy#4TwiP~HWS;3?Zr#Pu41leY$YR~fszM{ zd~=WaPZf>rJ;>KcULf8q-YzZ?*NOLtytZS0#x@`Lxa6nA9pY~BCGk(<8{*sIN8+dA zmtv`CZ1$lXW3vwo!?FD8qOsuz|M`-Q4L|se4L|TA>3=HnZAGT<FAfohiC2o(h{l#O z{EH>uC9W195RFY`_<t|?S@9Lo*iwdnzhq-m8U9Zs8=K1T^ZiNI&)D_@1Gt!^91@Lf zKgjhZXNVodzM`?IjCeyOj}WJbGel!!8S!qGY;5~MzEkpA@ow=!@i*dA;_pSi@X7iX ziEoPUh<pQ-;YY=<#gk$H=W3?CVpXw*Xl(z%-;6R`y4X(STcXr=7xTpaB3~P2_&9Nj zI77TzoG0EW{#?96G&Y~%$1we`tf}KWb?gUYBNOFRXE}I1(>otE=bMl-sYkpq3ZFnC ze(MX<JDMeO`ggW9bs6n{a$$yvd-gST^*W&t{3NzBC|gsvXv^^8zQJGQ#4q+QwXmx0 z!@_V*{Hj+<{ae;z_0X<R{AzzjUhyK^YWz?R)&k5MRuDJg(l2gL$Sb}-Dd&sZ<DUqh zcjA`6cSy=BuGi}iTYD5I^}4h;aOr^)wf?^5#QDd$W}wN*gs-zt*7+(esl7kDxYnh^ z^M_9uRFGeqn16acf7t5gq<nG?oT!O>njRlE0=XP#F0GPUA(xujzIFrigKdKu8?y7W zw(ej1i5=`}E!q0yF<+H9tS`Hv@Ricqg~N*r{J!Pgi{e(sulj{$Me>UGB;1W@0qyZS z%j~6CXBNsU?jH27uvY&$duPG<Z`rH!a~ez-Uhu|=T3_9X`ZpNS=w#g2T~F4CseS!A z=M5f+RYY%|sCWFX6D_|!uWzH10mP|!yhBn4e`Z!rajl#iv!~|w%zA6TwaQsht+uty zUgcXII%bE$^;c!vi=W>Yhcy@PmIe!RtuaBaHwi3{TYUe1-|E4^-yO56)Gw-^^A{_A z^&)GNS!0qNd^)Gzg!%=qov3kq`H31|pEsgj?FRX43+^j;{Y1^Le|4hfS6#Axk-awm z{`}Ed#ruO=Q-L)@h23&q*V?69wV#8F<Cfak&+lSC2eF@n+Rwq&30T*%$o6Oa>w7vI zd%DTLy{D1OaprR7J#DW-zisJj{le_)z_NL7y;53_d)ntLy|gH>Cb$B7IMu&|`<naN zUbe*YEnbCnE!~50t8DD&SFxXcr|svi*w2m5vY)$RKQ}t#ezsTnv7hZ_zEw_iKl`ws z0~mSlmL?T;xB3RT;wFAcVA%uvofX52^ONdgRZ-)`H{&R~&2ODwnDe{rN^kYd>6BAv zLhZr(PK3W&aw2@JYu2*tIwR`$ZJYJQe*41l*$Kz|3B~(D(LJ10n3=QxpI$H1wKC=# zGY|M}b59nsH4qm?6*sJxxg8OmHxTA{>t%S=>Kvh_eQ$WNbfzcc@aBcnVYt!6|CMoG z<iETb)cE7ZGnf}dPX3KX6TgoL#)Y86dKublFIzA3FeINp-dv!W)jWve?_-qyyG=U( zSCHaX!|xw$cLm}W(Lcc+2e|8H;=}$;@k0<Tc$`5G#}5FwQs!3Z{J)8=lzETh=IBb9 z+NhBKk@)vbWPgws_5Lj;A75%PIEdn-$|@<yOF;itiIq_>%ZQs!?PKvD!_RYV%ZK#} zSA)*4QB-IeR**nL1^jsa@A?yOM4+#e(OU`kAq!tv$=;483&$jL{cf^kCCgzRr<ANJ z@^DK#6_d>MyU8pcYhfjH!#`g;pNZvkk0xtpg565Gem7YMC2Pn^236YpXtH2TX|CT* z7E&eGF<DEM_Cz#U%b3z!znd&grSTWc7f`Yz(PV*`WUk*$7Ic#(*<1;w1xvg$z|E$a z<Vw8WE?clviKE1xzz*RJp-NjAI`MsY7gFSow<mG;ZicIjMLK3#(K{5dNXH02MfR1k zNXH1nC}5F}5%2?QRi28KL({lWXSb0uBvnH}l_z4MjtRTVNK>`O=(m&xSoVz9k?dkz z-*Rb<5niIuPr}1E^QiI^a<ykNA}{o+uo13!8O6R)aW%y7WJDeU)iA1W;}vgJ9u|K> zSXJJ)8HbakWG_NB-XZ-xPn)bThcFY3V!}JFWWr~mDne-0$Ke@|6Psi&LbX?*c?KFJ z<RIq3If)6EZf3$#s6It#)z9GJ7fF)62-W;(cwOuyB;+t=qNz-{>|-Y6V!1SgR!xPc z3p~kQglb&i#}j9@@g8{YW5P#xRcqSFPnDQ9KFx$)1k=Vpxe3`uCc@9JWk1TxS<^<^ zzBX;-$48RA2&RpfK%+K33=i*k+mA10Lbh=tLQNZahMMd}Fl}7tCS)6#Xd4qgK_l>{ zjgK-R+qjzvy$Gg_hunnRk122s;Ouw(0mSEi{5unJKZZj{=tVGXYzz(bY$Fpjg0uf} zF%xn>UWicB#y(8wMKEm~<tF5Q<em6tO!(J1OvpCgz=Yh7%bC!NVA^=Un~-f}qLxhf z;Ui4Q{kWY8*~V9x(2HQ&c+gGAHeLe9`~7z5Cte%BU_!Prgom%mUIf#|27DarwvmZA zE7~U-a-^}1Z4heO*qsTz2&Rog-Gppoceq|mc#7AXrj6G!A=`Kd6M7L$8}D`#vW-l{ zTc`H7%b1XD+{T1#;|omaMKEoA+a#<$840$)@mYhNYV#7*?8qZb$wt;qLP{@&X=H0? zaFN03nyH>-$|iO^2kAKI`XIO}Z$-{yN-svWnb6R9HBz$7op8@HWxCDd$dvgAQ?ktG znbM14%6!+PtidvwYA;h}*rq&Mur}qz;}Jo!7i!9D01dX9_IUiaAF+|jGOL!_Ai&;= zf3?h9yv2SW0mCSp$@o$8gK-^YGaGL;;m=Yw)A3_A=i|eWYhynCt<AZ$F-~S`V?uVN zrIauuyVC2FFeSTE2+zc8b54HJBrGr@CS_N=mm+6nSM-M|Vp@L6evze6#Js%S=6t(} z5+-I>YF8B!W@c9kB;y9WnVNrR;^tHCg+#V1-$&U@&aV7-$Y#$BMVt|g_qzQKk7gd$ zt#I{d_RMa0lD!ya&(y7sxK?!@)=V`9&VJLb!DEDDJ`KTU%s&TDvKPaQ`Fb@F*Q(Cn z?+NfshO^(X^O%xPmM%qb)g|!IBx<r3quPVeT;rzXPdHOeXUYTiEll|ubhk0(9(dL> zr5B^xL1<{^RPEdd&jU>PwY`fe+0K1T$q|@R6DhqIrkx$3Q9GIHVW#}M{SU95v_opz zxt=M#7^a=ScT=*Rn~`cOQ~txgkOvss`3Y09oq<|N>BTVZYz7U=WILJa38p+{&tOWn zlQum~JI64k7sIskW;Z2|G;b2N8~Yw&O15()Q?i{~n9_@3+PT|JS#Fwc>idW(xj)}z zO8(xKFl7UH8o`-%`f8invYp;EY`5^8mxKgtXF~*=cD943Y@+7=ywpv}c6#%$eSvQ< zQ?i{yn3DT*3R8M9OgjtRlx*iEaGX=^pZb<DCEK}-DcR0VOzFii?R>^f$#ybT4xHV^ z_X<<8o%@)Q?fir(y%?sQ-?%B+PH!r<vwfU>Ogro1H5Jp&G<eD;Yqqls-(Yd~XD?)J zChHtu7p7!82QVeuIi4xK7^a<b-IQ$SRyaPXvM2klWlFa5cBW)IA7M%_hH2+6ld=Y9 zPNv$<lv90X=6r*xI8&E0l^1Ge&ZHF9)Jnn*MEtU)*5^TQ*Tr;}!ll;q{1h7ZjJEIl zNO1+ky6hmHD1U?c)pM6x*KY=$-4qF`!b#bnC6qiR#sy46sLvaL+C}X985Nf<4VhuX ztND-fZNni5Maci;QfsGjr^Jim&QI!5Et1F;&mpapj_Uy-v7P0HQ%H(k`CQdbwlf2F zbxmR#Jnk^jw7R-7QU#H#x7b>lNDSAv+f-xng2ch5#Hw*tn`%Csdn6~K<;m!RYVD+{ z9uqf?CDn*k;CC-tCA6Sim1?O<Xa=*cttv^ZQ`Rnqrj%bDUN?4ic)gg_;q@!54sTGl zI{Z9$b$BFNp~Ul(_$7>nKVWtEAv77wHFt2|M>mczEMFrSj^&#CQHpLHS6Hb>q#N($ z#8NkA7RgjxOxc&e-O-Js9!u&7H(frV67r+b;Askn)q=!+ICotpeJX?l)@*2{$&0J^ z3gPEVC=Na!yPlqda9F|>MrCTk+13!EgYo7M0!F#s<-o&m%;aV84Ws6-x7iNje^9|Q z!MP@Qh#TlukWp-_fH056u~?8~ZDZDubwqF>T)>)*)qIVj6%M*`YFVwNX;UxSe{ATP z`~4t7u!pipRulDLx$nV2-JLvrcQ899P^CYFHx6~eDoc95F(Cs8HK%)7b4>2+GVCDY zKI836uoJcPoL&}<rNiC1^xUfk3Pi4Ei`{nzq4E0I4aI{=c(UNQ-ZP`=dU^-J#alDy zE%!k|p%5-)&B6lFMn*^ZHaMlBcO6_jp5mHt3cKM#*34)c%0+NV(9E<Nb*yJ%LSZ(q z7Fdnx)xd`uc!k^6&_cvxL5Sg%=R<ic>Yz~%^@bhPX83h9{WJ@^y%D_}hFl!UK6}Ra zXBoZ*e;ksaQpm?_ES4jWYP6Z&NpL8dV37^n5Ul^qY?9g7#0n&Q**M{N)6loyrZim7 zIQPKCxjjJdCODWEAlMgSH$*vHWi?#y^-!|cLos1&o7W!H$ZHRW0Yo<GX*FZI)relU z5NkUL9%ymsg_s5oLj@L^`V77gIOuvCAYw~59Zwd_PrEf}8@xM>b`bGAoDaE??jd{` zQk#uCaVi|jB?{quII$(S!9h*zfs2D0%W^qqjDf>+MevZvI^+Dnbygs{FWBRAOp>dt z%qHu%z<bb$b0{`Ll6G($i4c3i%l#}7@yy2B%!E@7o^ZWvYC0UWY-&H_<*qkdg`K_= z%Cqfs#(5R45%$R}4sq>3Pl_ENjCT;ODdvL=44|^uWpZqML^T_$wFnMJ1i>*=8wZL? zf+sc+LwU?0#%wRf>~b*>XttOE5vyY7Yt;W==>XRBzt91rknMES+Cc<+juVjAgYeIW z%53BCe<2*~4!8>U-dxPc=Md+}_cm_~qbp-o6*6J0@5v-)5PO;21J5S7e|cJo&FG-X zD0&JKGcS0tsQQl-SC)6QIOe;>)L;<7(Z=bWJm~u80cLv`{|~~&^gmT+o?;MSHfDMd zjs{+|m8qipk*e>{hoPE3ynIqHag2b|uSG>Qe~!E@W`>Pbogp=2QXQMR5#%&Dw1KD? z%nMdc-(KcaaX!QZ>#4jMGiEGORUsi&6%xiwmtJ0%D3*SPDysQw>up^TRX-@PDwa_p zHDgj8n>q(_FE~`1s2JQGx(b<7#b_}t_fp2RoT>^5%VI^l><qsSTad8AFYXRg+CYN8 zi~NoBHpb>@M(ob4@UvPm34?!l0gPR-fG45jk2IP~R1Dq;-5JgdsAkj(XGCZCwf9sN zPA$B$DrB%%#o@=+>lM-ucP9Hb2N4|?Oq@u|w%YLOf{Ecc?=C0{EO+9bVPalR6uB`o z$^CHd1rvSBBQrLS6qC?gJh^$~6N=d@HfB>3(_B0qfY-fvqE7|p#}tw8B}{^c8S)xv z0k{LsTu9OL0o)I{kh%|b-wcNxOR&Q+%Dv)Zu7sFj5TPtB=8}rnEzE;zCf)<*SXbHW z>0#|-4iGlTtyckY2SU;914w3r>(jDwqdTJ$VlII5uE6Nu0atbfMv3{AU4ePolxYxb zHuhOdI9&_7yT$BU=78E{nw(5+%vF<iK$e#!qadc)m>Hwv0*N>Trz<jg*;(jHLM_cI zYiTrZTx@0WV#~|F!o`-if4y3<n~}HK*fTkBx^SYGy%xRLqObri_F{|j9dJrR?*_Q& z#TJF<;bJegDE|@e%okf;EwG=x8t`1lD;%emUYUsDmB(M>4akzsLX4W|qYiMK(#Vc* zJmf1}Y;A^mx1yEx*HGkPHWo=iKb`bWhC{K$2Dr1Gb2H9HI9+Jb`!F1SA_?}x=@(jF z@BH%@T3&P1Qnt)J{Gvzr2$Xm;98OLi0Nmah9P_*16>0L}P{Svp$p@D8P4rxZduh7K zX&}K}!gESeCm_ULxbME23c?^?0G9p&jS$Uj?ANQ|bcM7PUe+-V*H~x0oboEE$Vg^3 z){eh*nA%yRCtYt#G%nq=^GsJ)754OQq&eH3W}MgHe#q4o<Glg*&t6@zTDQVsfD;@j z-+guE4WqMPU5!9K8WixEZ3O;vUudZRU+Dl=>%Y(eW037k)7n7<dyX@X*MqTFR}&Cc zp|7ZlITASJW3R5fA&ss?h}o#BkO@`alS#}VcC&D&m4_kx%X3L=Mm)$cs=Px8UN1Ce znkWm6&H@bmk5r<pm@`#^MQ|=aTL}(FPWU8`Blzb5X4{PaZfgdgp}q{|ETGAN`%2Rj zd}d?*Zu&uG=?5v$RN}jHXWH-2ouQh6ye!XMpH;ZR@-EwAudrf%mb`wWY7|_B)Sfyv zbq=EO!U>0<cl{I_+#Nys&GRy+ikbhHuFtk2YyLWa_YbufgC{WF7W0D~tNQ+on8-WD z@ltJv&OI@RKHp%F&$$01hVc%ej@%B4X|5Rk|9#<q!An*?>lEVp?34Jsx{VD2oP9<U zL^Mp~{`HtTdh(THVBx0I(CIU$jfe4%$he7<$Igm0ySihC=0nF%y)rU;+O)~DB3R)a z89Qav*fC?qjv3l6?ZQY#dYg<H?S_t;ICUtpnmln->+z#Uw??#9Q>RTG+iL8{Q4?Du zURqm~<d#=He4<J>3(QBu>X5g}d`6r0u3@~<(`JqxK6*;K;j<=A8ROc=i`vS=TZ3G8 zE?arrnO2<n4^w2eQ?;`*EzPN#>rCqG)QrH+m{W5$%~cu0SjJM7GbchtGydztf5x1Z z2{PuiVtjrd!=0_RYsjh~&2JmUiR;r8^rg=Y>fi-^G|wn{n0Se%Bx5SinQi>AOvjKu z{8v`4u^HA!S^lzOX|QmmpnX0!lW1v+u5*ijdcLt>=IpZE2)~C7tvy!f-WR0ybym#J zbw07S_i9_$*b>W9UVnj+95yc6sGeRazphxy6K*MPoBn>iTf|a3qMUYHuDP~oPUF0s z7FEn?pX&SW^={$6w%yEnxnf&a>J58!<D6%$+0K*mBdN}FFs=y?8Wa&-`DH7une)>1 zot?*Rn(FiQQ+?{VndN)CMDnw$__HcA!K;5#h4^o)a*r!wEmJFF;LaJJ<}9%z&g$!P zeSREo-pG5*i5+?4oSF+*p?_!0eX2@CJ9U@s_3B&Nb3?oaUTzxjZw$E?Di-!pw6F`^ zUE-B>ff+N;Xt+JA;r0g%x96?SmtO#5jH@u*4q4kTsW9ADR4iht&udSpd=btCG0i!t zowhhS+Wv7}h0*q#Xhzd2WQ2iZs(VmnZ_p0y?W!}}=zYv++kw&c6i3@`j5c_j7ckmf zy7He)X14R{^(^1Upqm{q`2;#>+!bkXM+e@1O%Coc?+&2ZC@tbFvJ0FCD~zEtnv^pJ z-G&eE+kar!HXVMbVL3C44j<mX_rNY$1(xyjhiBTAv)k}rhIK}xbKh<0qfL9+nJZ_9 z4wE%(skzqZI3bra`hg@-`)#HTp3Sw>O||_G7@|{OSpSkix|w5VT{#&><M2C`GkN6r zGh0PLXOuOV*55`?8#`{CYj*GSCVz)@I;3mU0exx`L=D5u9XtD)>0@KEV)juRbr>66 zva&EXxC<)$mi~)I>tb^$vpt6f#u}+JcIY&;IK;ZUwfGTQrc1KE+b$i?zRqZGE)#Di zBAvJK?Cp&4y7SgW*~YWG|At*U?3^EBmyXe9j-6Z<HFj5X2%ob{=k6=)PP3hl|3Ns% z6^%7`c@4aHEg{h#P+&Xo6E6^czw|x9*m(7D;>xk(ZC<=N72<t^3Z#3HKrh}*_{}N` zh0V@%$6Fo9tPA6@{>$NFim_TEAg3~v+PtvEkYn?^EfWeHAk2^Xc=>IB-%A6pH8a_L zUPV7QFKla!pZc8Y_?%D*eeUMP+YGT>xxH|yiUWt`;(g(0xzEDyrGeM_00&fTzj$F4 z?b00>V_6ZzXMT8-G@2jpP<m<LwUS%A@#yE~g%!acTfc9RAIoKac*{PT-y!(DH1Jv( z$nUSXO7k`^>;oub^J_V_Y`;}SnAgvr!_PEc8*8-3ofmVl10^<w(N(ld_tJ$w%r0H$ zjz7#U-PMQ}z4e6hSU+wxQFv@Svd|8%Uuq)UYX{rp9Yaj_CGz_rcIgIOV*MbybYK4T zoL##AO}ljbos6|hchJaj=2kGrCl1peXqV2MyMCWtI{ZrUROfroR?l=Ubf#0(|GHhe zZfLi$k0$b|JLOU0cyYFPjmW#^jCZ@p`J3`R;)CKA@wehL;&Y<8Nsn}INIoWV(qMj7 zL_Qg#+)C^$_7q2oQ^dQ(jp83gW5){l@P-WYOBOqeJ;YgJp=j(yAwCz2GTr;)-^Al2 z=Ft=4DH0R8vGc}qFu53ebtL45lKD*$%IT8ZOa7_kUgBVp-%?>dv&0+3Uy8;a6v7{p z{G|At$j=fny|Memc1S)%LOv?_E6LwV4q~EY`;tUs=Lz}n6HCmmx%92X4$^m#oGlKJ z{t9uF^y5Th=Lz}El}vk*EcbR2`a8wd(ytdEkp3~rzZIXC{!ij-((f1Fm;N)!N5yZY z529?AYwS3|k0lDGK3FJV^i3o;7mfWU#P6c;9O-k#{?ZSVJW8A){akUr^f!vPNq?7Q zE-+@l82d~p?=gixDgD!;vD1X`BFV3b{LN>(J`;~g|CM-3`UIS$v7Aa`Jre1fh%KZ~ z6EBp$tK=Nf*k3}rVG18D{djS@^w&z}XR}!D9n#+=u91F&XzVT_-))kg6ki~r=bCBq zb?M&{Kal>2<YOY<moV{-T_vQeOd0h^7V9d!spJ;oPo(cEUMhW0ae(y0C65+oN`I|b zDE&h5cIj70ULzX2N~quC3g0e07lO0CFG&BY<Tu1a(*I5TQhI#o*QBE*2=-SJiG0Fh zMEd3;SB5j(*jWNEl0HZJTyc=}!^JVuPY{j0C6qUpGUlI(c9(8dy5$OAFL|TnM<j2P z{0GUqC3Ceo+w-n?Sp2&fkCQ`&*A$zG#%>by-6Z!Fhl|EO62fOvM!m0-eu3oMB^!H4 z2wyAtJ`#PsN!%{|E^&|aFN?2Be^By=;+N9@L-d8*d;?+?67@@w+(1l|o@>w<-dXxg zv4`{nBo~Miq@N+qk$$dtqx5%3UMk)r{X^mw>9>i$mwu1rm&F6pe<T)5Um|`jy$=^( z?9YH$lSI4D6B|q4Oys(B({9O`V!rf)#bMHq5`QNB9LaOVTcuwnu8@9>c(3$ZBy*KI z>$_X}SHxGPe?$C>^u>}(#8cA8<C>T4NFtG6Sga#`W690Lj?#A#bEMA|`%6De@+fhp z^w)}o(k~QmmwtuhHR8k4KQ3;U{u%KF>0g!nhImN&zlmQ;Un<&YvuQWUc8d||n~Q1E zXNVU`pCdU}93uT_af0-d#Ve&Rl)O+}Ed5Gxo%HvJ4@tj`#PR<-636Nv#9a!1U3{BF zybmRRN+SM0#N^7Z+>As#Zk&H{nqr9Vap!9hw<e5SPi!T&5pj8I!aIw-#C(yj$T0uQ z#ZlroajMAsJB+tbTqOQdTqdp(*NK}&-hW~Gr$pWtq5OjQlK77J7x829u=u4|Dt;?E zJnu(-A+fSpL#!i4#Kt0DOJh2|nnu#>4B1UI*By|#T$uV{BHvY@JW({)Bap9@e2utB zyiHsot`Rqhe3Ov*nKuW(J(B+<{#krmd{5-{F4Gl@$HcG1iuQSFAcyg5i4DX?Vk@zY z*ikgsO-Pp|nQO0^PoCIcJe$4V*@}0yxKLaq-X*RUe=XiGn(H!@`#Z_ci+ja4#dpNR zqPc!Ux^mZTkP~^`16C0$+V7>EAcl7lyNl*}4f+9+3&i2#XmOG_Rh%Qv70q=W^0`T} zXZLrB<Tc_3(X;#euw>8fuett1J~U;-`u<6LTlDPyekA!*v68NXs*3f*h<Ltufmrc6 z&s^W3ypal@Eb_a$tcS7R3(l8(t9Xa_D{-axu((C^uJ4Q;U*xk>dUL%8`4!3gMDKd< zfaJf5G<C%K{7p1=eIZjv7Z596{~0^J2&=DfW5*Z%W|G^A7mAmNT}9f9V*Zzl<HSkg zmEtv`vEK{7vEK`N*MI93ZtV5KZ>|TyN2NFRdg1r32X{zMBUCK^&*IzSdm<OZoA!&| z^<9SKaiX!Ki!?JNUnwpV&2<~XY1xYTJu8~)GRUt<en<R^=-{`V@#95fzZSBwUklO% z7Q=rgn(HXYb0jYpz3V3Lx@f2LFN($vEz-R%`7MzqvzY%8k(RS4^C5$&mzXHhju!Rj zi50JpX!wWW*<vq|-`}Brgy>x#(SR29SBck)=K2Ww6_VG8w6n$dJ4AEc1NqOAX;+Kk zhr}b|G0|KHAv}@S6CllRk*!4<-=f@A>>>6R2a1D5n&V=8bDablyRl%Q^u}&1<X=dp zjV{K&N2FCQ%8!dQ&PCZ=AAx_8{AZD-x)@$8(l{4obA1HTMi=GkA}w@LZYG-RA;=d= zrfDvQ_Y-NDi}E;eifFEfpr>grhTkME7gvb1&&6<a9R$)M7v*O}8s?(BPdq4oDAEuY z!_9RMNPAqAD~q(oMY)k^u5%!_mrOHU4DTh<2p8oM;&{<q=Ri+0TnwKt(i#`#r6O%{ zQ8w2%pt-IApOl_PxETJDNE2L?-xFzbi}Eq?8<EfQs5jR)V4`H2=Axb^c1RlLBHN0c z#7jh4=3;n1@d|OII6<5&(tsD^o9i2p=DR2_7gvkxMcVIT_+ui?cv0Rf(uf!3_eEOn zqHL~bK$_5@oG8+K7v)AGt#<MHroDKvNCP_5_YixFH0VYBC~=}VMWlf*hTkAA5^oci ziFb+X#b1lR5g!$w6`vDd7K_9;#dky+5M%j&6OW50L_e=<5FQl6Vs()w#~5y|f53Ff z?Zk`4E@F4Fm)KvtOdKYT5+{mN#M$E2;(YN&kw(v0pF72M;yvPn;%~&q#izub;`1WS zrZK;_#Dn68;%DMf@oVv<NJDE(Us+5M8;C8%bnz0gt4PaiOg~f{EshtbiZjKz;`QQ9 z;w|D5@mC^Ey)pj>#Vz7C@ekrIaj*DC@eT29@qO_l@tF9PNLz9&FDQn^>LQKHF}#`B zR=iNm61$59;!ttC_%rcpah`aaxLBn5I+nLtd|Z4=d{%r;d|50K-xS{w|0;egmWZE= zC&W`?0`3{G{7PaCv5weKY$DRY9^-cuyNQ>IeZ+p^9C5CAlX#1`SX?e{5;u#_i+jb_ z#ka%{#ZSa9#IHq~0AzhbVpXw*SWk?I=ZhDJ8Da<VVzG-zlY-2DfOxq$TpTa{Oyv6} zj6X-bLA*)4O<XL}L?PpE5+4_z5_gMx#6OE~iXVuFM4C8ce*X~t)m%9!RuQX-DPjYW zRuGxKjo4A_ERGPzh_{K0#ogi_@lWEP#be@EB26o@yz@lbQly+A{zSZ394U?!Zx@${ z&xtRJMdEAX=i+gZ1{zsjL_A--Kx`{sDAHmh<M$M4xRLTOajZB|q!~wsUnkOTBjv>+ z%{Nm1wYW*#EYg@G!*`0b-bnd%@ql<xJT9IPY2=ad8;DKB<|0i#GQ6|cO}td3%}0h0 z5l4z+#cRd+B27aw{;$PN;%1SCA{qXyxJP_hd`;Xh(sCr@e<pq*el60VB*Q~uRk4Oh z^O6j2DYg|a6lq_Q;kja8aiB=ElMJ6AP7`N|G(ySnTSZ!(q`X$7@kz?tM4FzYyho(1 zNy_htG&xE6bJ2$zx|9QAC9$eVi<1m*BGT|A<&GlFPEzhE(#|C1VIs{<Ql23eiVH<r zmt^=|B8^N^-Yn9@B;}nVZAwypU8HGA%AbieEJ>L!sFCqvqDae<)SoBPz$E1ik>({S zXN$BaNx49zSxL%26KPbE^7SIENK#%Rt`RqgG%m^T$3&Wzr2K+Ndy<sj7C#a{6=`OY z;opcfGD$fs(zqn=@283vh^<AMnq+u4F<0y(()J|7M~V}~$>J>WD)D-8fq08}yNKH^ z|IzQYB<eo1xqpdvo9{%SzL;+R$?vtaZ4-&vqTg$QHr?pm|83IKJ9Ov}iCoyBt^2u_ z$l1Tw!gEh-(eJg?v1(cirnk$r3m^TeaDLYjyQU8=N_q?aX%`pO0<CFniXaVdTJ&us zbL;d=Bh9-M)qE?nd55CH=3nhQzh~ajNb=4RH;%AODB{mA-q#4)V2n20{NTO@J@Ss) zsk=tppqO=YAy<8N!~)5+5$>y27G48+_+#=&M*Pf}_*D?UFeZKy!oxA)Y$RS&v~a0l zox*<MhTGrf{oCuoQ*aI7I`N)3h^I>Sm-vrwnBc~~5>V}J_+|y~=R3dUPWHZ8F%F^l zP(=m<%u|651B@nqH{g89sCg!iKQ5aE`QvY3crebqiWQ9CfEZ<8r{D(#5ak=f4)QHz ze4XNAqx26k>HK}5jI*7-_Q#L|4Ix>9nTRnzz=t5$2RcA;U4Sos%?t1`!L@<+pqXpM zuYuqUwjYBOKLY=p2{s>d1^r)`|2^<OA?&;te?5|h-eiP>@l@iw7NJ|AbKdv9YZ2ln zHJlH;?^=ZT6vg>#ym|PP>JRm1+7IL75DDM42(gcykCatXXg0+|5-VHrG$`(T9M2;s z(Y_Ia-P<$`(Toj9-*uMF$2dtaN85)UZk1R+JI_=Ps!FV%Z7g|yK#}#c`=%p~gB$ym zTQl+i_7}h7hZeJmRhT%4^1_SZ!3$qFrN*vEtHCPR$zL-HdxoE8bfeU=s`AkBU(BaK zh>`;Q>$YnBc48te&(f-Epc(AP*26u4_?qxgOMA1yDpn$F#$vZri-{Ym6phjmcgGTA z4X*Yuc4ad|GE19O-mL2xtkkkB&q}Rr_o&9ogd18ts<p;VnW|-WYkz8NxhA_dUeRh? zL9*>uKG71627dC_)0g805V_F+`i8mz#0WSy1%1=ukQKqf;ReuG2!{Y-A)FgPANLpn zh~?1$`ZmBJfY=D<rl4;N90G`K(E$2(z#)Lx4d<qyuLuqS#5d6Zc%mDRYFOPNro++Z z0y!JbVQ<hg7Y=cVLO8}D7s8o1^xO=GIK*N&#vzx(nK<-pf<qi)3moH++u%$bdY*to z9AXC?<B+@IOdNXl!XXY(1jjfe8))Ky(ajGZ)}IsH%ZQ7$x$fSMWBXEl-tJ~l4uW#w z6htrgG=sc7uAmW6Pgf8*8%{y=7Q!(Vxe!i4^e%>D5V^c8h~5ow3?eteDHXk2;21=1 zD+{7`2ONXQ-Ec}pZxI}WNOq5c;EQgZ;e-8If~`kvr+hCs?1g@AEP@@uSmX#eH&2J& zNpQ%Em=4ES<ZL*_qW4xf#3B~MF&4QTPO<2{6ArP64RDM_vM&^i-tBORMeKlMEV8T{ z9D2WjLoED{Zth@6Ur)Ax!$CrDFgRAix6w&}mYvui-Q75Z+X3n8$ziUVa9f<do}B5b zi9$GLLN0(qL4@1#^!4OYS50hyV{GysIK(Elz)?+Zb=AZUII78=aEMJ5!BI`V>Z%F$ z0@Z-o>fyi7`24N{{~0HCi<Qmm3|2gTQhuO8fdAd~|EHNFIBgN*#HkZ!564&KU8?|5 z69DKFzRoWb0QjvnFZ@rNGG*G-;j>4Mf*}Lg4B$_&c@Z7s1#4@aklmoZ6N)(3q%}@; zimdHToQLM8IqhdRxWst|>wQ1KdS5Ee?_4j_=~X80wbBsdLB-fMKMlc?+~8-7Fv1nO zGhmSGAI~JeRg$R`wn!LEA=||A)x?iGD&BSC?0#eC3}Cg*!rU>F$9BWw(Y|floW4$X z*6fk9ubgFd>DPOB?=Azn5AWY&NcQmFJ^DlF*4-+%Jl9>Ai@w8x((+4ju}~KU=8POY zd)iEQS!pz!OG~j=2Fx5eb=J6PGpFQSId$~xiPNUeqJ@WY%S&VS%y%!~jn;EOcK-px zbGr2CHLzc{b!nf0k!}%VB*K`JXp@nCVWb(>C5|0AYi#q#<w(`qvpLbK-S7)rjY4%s zPl(JMJ7?l7R3_5qC+*s%x4+_FTh1G;Q<r|14(y%XXTb36e*N<Lm96hB*BNFh?hjtT z8_l~<mp(oE<dp5FUfF#v#qLr`Q)jo$z+Ra(@%xwdnsw9BEc*}a)-Ah#f6MJ{?kKJz zE?X~5P5Fhx<EM@t6ET^bzVk4Urj8!VL+d+_ITLXDfa`PVz+PSYS=Oc9x^;>)<GvhV zEQMgWL{QmCwycFj@@GyPJ$BZtX)|Xv|4;1fE}d{VkEDGO%TDK!-EG>;*<-J6)w`V) zs1)Bj!50c7`>WeE>{?E3yN;b=*LCXI4SbDkzH^p@1m9wV`Hp#&lPVb*DzljhG;P*3 zQ!sN_X|ra}bUDHEp%5GtV@A#%X{C*tHS2p;(0a$jkA4}gRTn*jZnFYz7oE25)%{Vz zIvd3^b1nSs6yF2$;C4P-5bkX8xMM4p8~X$2{S5LXAOX(X3UD7b9|CUY;ndo7ERQD? zULD{y?;Bp?RX!#x5B}Kv9zcFukdXPY511$O8x9wnAKx$g5;|}5!e&6>`5DG_ICh*D zt1N5^RI%k2pukKN$a1Oo$}NOHHowsbj6mmYUf4V+V)I*3AJ<vXF+aQl5Y2BH{9YP( zEq-s9@x09o`y~{y`8^PmA1))K`P~b@mxg&PLn4}X@;1+VHx#k?J&F9-udJWB<^?G~ z3db}Y58Sd*`KK!EH-<kA7aQ*sD#gC{HipqX2ZvoX-P2FQ&6<lm0c0F*Z^3zf$`2!6 zgdT0&AKYGti*3h_n0~2_FmJ!{THhP<@LDe;zeDtB^U5M)nrE%S_3;QOaU0iy*W}0j z!!l#@`v!##hR)l({5;uXtln=O;~kXf=9FtO^N+0w<=7G_`>lG>)b#kR^YH(*sLtyp zSK&lw5l`kGF57T2Unaokn2}nh`XTFDS$^60<sK;89CKGRR!%Mx;B(N!jX?Gh=NhhK zjU5}zHy6&^OgX3jqDD_t%NDQ3T33kvHqiD;%qd=l6F?Nge)ORU`$^102gHNohvFyV zXW~)uOR-es{Kb3O{0;PB{BcZ@$l4IM&@CSVn~*quIJYvqjd+QeEwV2dewjE_oG4Bg zuM)2pZxcE9F#Rg=Zt(%}5%KrpF7ZY2Pa=;&=JSsDzG(UddeaY}c^4hzc>v?L6}yUk zMILVqpC-;1%{%1K-$gkN?}m!}eei|w4xQrhW+3I=l6h;CvU!Ib>ED&iJBKXiGsy`! z+$gsc(F{`#k0SF9lgRmzve{oCrW_+T7MqC~Vh8bJv5VMK%o7KRL&P!S1aX=;OT13J zL0lx7{fm0>egNxf_Ahw1<a@<O#K*+#;xpnN@n!MP;+x|8;z#0Vq8a}v&u6>k2gFKZ zRnc3MP+xLWv4z-1Y%iMI;>fp)<Xo|jI8Yocjugj=Q$^l9XTNxB6t0telX#1`RJ>DM zE8Z<WDE>yI<wNF6Ylq~s;&b9F;y%%gKg9FaFdUKonD}?`A0qEvGv6e!x>#G}M?o2W zzR0hIQf@2qe2a2dF<0aR2I>ckSBPW9iDJdI3x(2qYZ!hhd70>~VOTHOtSv`5n<dkJ z2Fu+pJ}15??h{`Z4~id(pNU6B-uh>L@nU7stVxGHMREhNx!6i<Cw3Gw#Vj#T>@N-x zhlys*I?D0ZJX|ULHDaN-P`piCEUp&Ui}#6}#I52J;<KVz^Nw;~mRuz67Y~RZi-$!% z8)1K)5(Aj%D2GIEO+*dJ4a7!b3o%V>FaAWVxK^T<^!Z|eI8+=hju*`udDLf?<ce$M zZ<gL$Q?W#{x29sP<hw<)b{_e8Yb>@&|D^Z_ahLd#Xx7vt-5ZkM7C#UViATg^qWQfK zy5~deS8gPN4Xf+8#wmv7!^sTKF&W<a!uCIj#BArD1y8^9f9zTC4cH0a@ho^T<{8IK z>aov)9h#T&weQWH=ZoWSi!+iFQU_k5`h4cGu+JYii8_D$Rw{#WbtwhoHz9V}vtaZ4 z;*U3`I_w}HFqb_G9%0h?15lPd3%(GN6_|%i<_C`AzxOPdcBH@OSuoEpoY8hyz<m~s zr@))yuSO#GDe%Mb16UfK0xyTo`AvMf5q+T-DQ=eNgqovx=aKSHfw!1^qECSzRaSZm zyj5al6wET>XEBS%%#-6pyE_EC=XX8}?#16lZtk<--lmdJRbnmeKCC2vQ7f^Qb{@sD zXTkj{J_}~zf9YB9CFh<6pL-VUe&GzyRmWi%O^3t7G{e~(b?2T1qZiD@C?2PhBjDTz zkv@9WVLp-_rXYF?;n0^pav_|8=v@rQAaZ$G5WO4V7({M_Q!0A5z%hv2Ru)9>4mbvp zyWy0I-Xb^#k>8XB!57^+!-wajL=GHcpL-U3?pd%mJUD2)?f=nd!JdWqfBjkTpDI2J zevQw9@5iIx4ty5;dpxN<glEB2H0=yU?=tz1=2`H)it(s<{5#PN{=E^daD{9><sIny z$I}0}l1!oS8wmsTEEuJo?O8C4-koN%`SeF~-}x*!)>L!!@uqn)SngTyKl9|*?1$4I zjQ+Tu1(!WbjXq}mA9yP4J+_UOS?=j@`DeKQ$`j%<-<!q|I{kt1=~2B0!-yVh?wJu! zA8_ZM1%IbCW%qtRdhy3@Qu*AoU_2-}_bk}5eu*=S*e7-8o&~$7T6_)_+b`#y1)qBs zjF%QG7&1QnS+LIOyl24>e>~5EyJ5`pnPt8>P#h)xUwsyA>>Z=t4REuL^=~B_d&iKC z-7#>8^b^H%&w|lkct&vUS+Ls=T{te#ALpJ0yZvzPS+Ls==bi<-{c!GCu-gwm-e<ub zFN}E<968&w;Eov??cDfhUl;sk7$*t1@7>Oz8Qy2&y5O!c>w;%!U2w0W6tgb)VzVx| zb#z_uprU$M7u?;f3vQ3qxshslN2mAWieBHyvem&K?`w^)Ai^e>3kx@YdtY;e*r|C( z=adU+LM`HtE2oV>>w|VeIc+^?{m@P-r>zBTCbTolX{$k72<_~0+RD&|p`BVzYyE-U z!gua)8uGpCiOqX8jEwcf8TdWIpJgpD|LH5+u%37oA9#MnA1&`0@{<VXgJTBF!#|(5 zp4hxT<&V3GI)D68MhnJWL@5~0mA_>Vj?D{2{&@4m*beqHQT*4k5WnAj;OxHxO7r0O z0P+d&0ksw2we<V|*BoCTxC4so0(`S*Uf@yuzcx@0p;$zG9|SBSegNU|m(f4d=KEwp z|0m{u5ByK4?o<u3y`dWT=Tr+)$;Zbu;_6fnrW?^0;(JU^4T(;u2Xm_#{L+N_L-&GC zt>6hG218d+tgWn)LeEpIBe8PmLy9Ru*1?w=w&F7>!!{vGNVKnnVE3}wQf}>_<eFmk zI@c8UriWW4R@d%hY71W_R@Z%S%r~McvAXUO<7s$7rt(yzu=_Jp+T273u*GIgF?%h1 z6Left%$GI&X?38&g5i^l!b<X0EH}z|R#m=7=f9}48>InoZcVZKva7omw<S8H7dyqu zCwOJ!2GBRb4Im~*1L#}e1`sz#1L#}f1`una0rYKl0|*`@ZW;9P2_On5_Cy2dd)o~l z-irp%$Ac3o2o7gAEBXQ)LsmY)Vdw@xAKf~`hv&!y8;i$?hHR>Nj!aJh9O4jcH{*Ei zH*whfnQk0{UBEbASC}~LiA8Q4g1x~wUay!q?38tG9D*IgI9>;tIP9NoZXAMr#5i7G znK*l(-0Q|6*j<d{b(@L9UONbfb`k73#_@X4#9;>>heI5Koya&|XPP+BM>juwXJ5ap zZuXJvT|M@tm%WM-d?Y(nLG-FqeO{+3$Qxix<@Ke4ydlOQuL~9A4KfCKJ*Ob{ojT0t zb(n&@fyPu`KPku?Y7FwaM?uIhx@E$LXV!#z!71Mh4trs!8;ekfIORLUVTa6dV-e~b zr+nWy?3>%%ScHb0Q@)!VcGEp>EJB0MDc@rbd+bR!7NKEh7A&*J+_vJo#Fq6(Hx{9O zbjtUm!+!kGjYY64(Qi)qE_K+YC)`+s2A@;Dck#PWwl#y7Ylg`da5(OXmT=K!(!C%s z4%r6|afk_0lapLE;dX5LdUBzwCfuG(Ur(-b)x>5v=1p#K)x>V8$>&`)@wU|D0as19 zy^+42{M=O&Zu8UElR-Gtn=n7EXIUoAII&x-{5ryX|IaOx9@jSG-(4nMgh%6vcK!NJ zQpC9`t!b+B0UwR8#FOw{*6aptE@;%yJiI=R$KzCHbgtLVnVZ(Qk$GtDY2U#^aufAe zc+O4bMm!o<yfLnZPtK*e%++uywKTb|W{h&-({|=ES@K%R10?g&I>LRmaOU$*JivB) ztHK@E?|dAJ*x&g8{`5px3d97_=euWJrTsn6<YSf#4R4qJlMdFjsbfc7gKrV{8awq2 zi?@GV&)=gx^gr;_Jz7?|r|myz4YpZIZ<cIFGx>K{WB>o)S-P2V|8wiAc|@Iimad;P z@5k-mSyvtVi|ALrJZngZ`Pp1i(>+_|`dr4L3&Qn>^XdSvH30(G_jsEZmIp;_ezY_B zL!PB`?FmnXyv@sR1{9v3Ve3#Kesj;;ys#-y#Fo3FHrf$?_I1@WLzWfsG6TK*=2gh= z6D&9lL&tvkzIE07P8Z{Oo0nh3XX&Le`C$oWw4Zr*!b`(E?m>QU#V9=Q-3W_qZ!qHS zC)TeDoVVW|g`a8C;kfa;Q@bkcH-<kA7aNcEtl9V8#xT0);IONvd-_KZFLv!L<8Z6E zuKF{?i<l7iAM3}h;<NN{q^zH-Biw68Rrq7if|Jn6hoJK|uUs;wdDa1BG6G5rhwoii zO*^fZdzpb=elaTJjn)6R)>S7(3-Nl%t;LUNT{Y*LGp(!U1&Ozr<K(+erf_EaJ?pBy z(=$CwcTbcmoZDIKN3N?5lQm!~Q>-oW&Li~^(X1DS+)Q#yF<lIx;{VNc)x(&7Wy=~X zP8Mg0H;9YGUy65%YsHNsFJ_tFlj1YtZgH<zB)%cOD}E}5*nZ?wM>PEd`9jG#;y`hX zI7_@yTr7I)sn=7+T)vIOJol8scS+tO`BlkpN`7DRA<0K2SHgkMdZvr0zR90Q5zFDc zO=2oCJh!ge?T3%lAD@XwMe|?){ber7!Ak6Bu&QXr1LXRWn~E*OHe!46VzG<(V}F)j z@f&d;sa>CnTt~+K`bs<{`ZzwJPY}(xg-ipX)bpl3*+^_ER{Un%Po%$C^ww9K2Qx_j zV_#=|QtkRy^wwK@-;%3|69V>=x8Ayu<fdX9(R>3O@hW~}&il4pzT$b`mK!RWk7Jm> z`8GH>SMv4Z&El=%uf&z&263b4eM@eO<ll*Z5T6(KisswmDEAG?=G)?sy>H8XCjC*d zRQyJa$Hd3_CyL2pO|jxS>sHdY5j%>V#VoPA*k8O%946BC5zCz<P8F{auM-!Fi^Rp^ za?$%1`L88!5;u!ah}%UzFJrm;#J9wE#Sg_#L~s4{SCaoB(ry#;G2bc&VeQt))y3N4 zd19(~fk+d#Oy5E5Bz6^Z#NJ|Gaj<xWI7XZxP8Vm3*NWb^&3`WW7vfU!PH~-hkNBYY z8`1l=-BXfxh`U8|{)3A_p0}}m+(>kr+drD$c-~%aoq5ML?ISVU+1@Qcc*l+={@LFx z*wc`;D0{b{F3$8@eR{OY!Y#dn&f=9h*3oJ=d}hVxG_Aih$DjU8ofDtgx#1)BkU?;Z zk64r5fLnFMntNr**iIcv#^u_JN0<D3ZeH=OqyZ(3=h~}ZDGhFDToNC04*P>n$;Qw{ zIaii63EAsAlr&Ab^oSk$`4KB6BPXeC=x|bet&+u?HsS+t-LeA++402=t7~cSA?xUZ z!u-ejAF)%CkJv5#e8g(8^oZ5z%_G*3HYGcUTz16H%_!M5X>3W8PJ>DscWP6zAa`cT zjgy*|G@0ulY!~VmhnhI6t0DL5ZM$Xrj@Wa@XEEo2Mb%JFKe%P6#j9}0b#8jeh!(Yu z*qvsSjBL@U<orp=$gNSy{X={u&X5@;4Raghgmb2sM21u^S-ibwNqAE?^h8c+@OQ{} zNG5V!cElHY^@wj0dMJdRN*SMpTvMi(JliQ9{ezraG%jg4cXY|!bDfd}a|e|8=1woU zp+$O0(@r@EyYYyxQ{Y(8>6UGodhR^pn`<2l`X1buSKK4P*Xq0zx18+pmi4Q=;(@_; zOZ_{1>+_0V3gs2!J9JHvcL-&Lk`5>MM(3pGpmjsgx*=%YB(!c)`;xIKb5MtoC6Sad zB^x?*DX}^YC@D;7U(zHO`=do>og2Ec&u%<owdjf-*ok_lW;M!sd%xXbOZH`1Nymao zFYmWjbPMJc_l)yhaNdcVPFl;dbL_W1v!3|aYJOFbvzq${J<w?xYXASS_a=Z<RA=A# z%$=K?o104rdk6t<!X6+yTL>6Jav_l=VJ9LaKp=?-AqiVl2#6Zg+61TqqM<I;T3Z&a zwNxwa)@on0YQbtt)mN-E_XR69wTs{HcjlRUCyN#P_Wi$q`+X;w`#aBhp7We#&N(x4 z<~(P9*<#eC1+MdK3=H+)92IX2tSXN4JAB^sf&oU0;hBP(LVCqGN5#?U+j5@%W42@7 zsdta`XfY~rzAJmQIAWo%U<2C9I-Fa!uhhVb#3?OCEaGNbc{T2E`bHxD*i(psRJFP} zEk+_rpUD(s{q{Wc3N%*Q)6Qv)zH)bq6K%_=L|x%<sPt+B+f;fPfu|CkDcf>#kY*0j z#92oDI1?S`qm36%IuAeb;km9=Q?)1mHGL*G*k@KqpP8fj49-xj-pqOkM~iv^=cQwx zLF;MPXHWx)C=<?ymWe)7%s!JASXRkCQxnLmT!}v858RuX7DxacRyQPYpVepTdVgV` z!I|-NM=ns$vCx_bt!TYZWkRd!k;|bi4=rkNAfd8HU~uKS!1hY^nUugbXl*=(e)AyC zT%GipO7@vwwm3YyaJJEBV$o+3RiCL_7kC<d=BWZ`MxPm)IS{?DN8s*EXCMJRaeFZ| zR-)9!=rfhUK9jjBcYBMY_+tAEbbD?`NvuAD(plq7Ci@J=7}U)N1uFu2-5`{pXTVpN z8#su(ZBI-Od?%BAW(x9$KEt+AhdxvHRr-u}Zg0JD2hLC>>tJ__fqK<r$6$QHSW`SE zP?ER~bvrnq6_f?)3zh}6%H@IjI$JL)Ku^G-SE3iyS-t40QwbO`%|1Zg>=)JOiDLrc zvDJZRV#fyjiM~L6acMxqQJ<Lr{pc0N(1k<KL}?1FUN!a9oE8ViUG}O1)K6t~;EX2& zWgi>3yD}s2K^-;4`UeKI*p)bj2DHRpfoS$J_R?6K>qPdlU$!_i(aQ?ZB5^npGti@J z0(TeJ23A1hdv%CkIT&SI8L0OR#i+zy=V&o19mqRIBM*9AEPLILg1xTlhu3X#`t=cA z{BHlU^7w{(c7~n!{7--X{PZS$Son#mC-$^BJr@0^SK}Mr-FZ*>F#i)DR%IjfN$p8> z|D-N|PW^qL&Iv(IytH>%p4EgfEDOuUt+eqVuhWS+D#U#k!4dWu!i@gB(H_HTFzhFy zW(S;MTv24GClIyoJXVOQ=>&J!OVr{H=Z7UiE5vSKpfmg_g6{sE5^<_E_iiUQv<O>< zAS~?~jx=1(ht+Q(e#5!6YX*33PCj617Xz`hi&M{B+BH^@4);+;?<J(+<_08K+Qk)g zj)6}14hr{iKc`5yoAV}E+GVQpx?3pRSI8*$L*&M~nFlWI3Y$;5pZn*42;GUi>h*|# zFXZ5EnohqBVV*)VwlTqxI3k%_eR~Cei#(LquOqJ%hlk1QJD7Si4lj1Gy^(^yBT*?t zA4Kt9Da05MT~-U>U6ZQm4UAAnnKfxbeS=g*sZiYV%ZuG=^_?sSA3(jEEsEQ8MRAVA zWX>XaJETl<El6)wil*PhnBP<sQdHDW6onKOH4qixtx6Y)n^Sp95$|Tk<D-tZ6!8@G z7gkkQeKZpprXywSyMUm}aD%Ax;Urqs-f*2&v=M>bRP`n5X8lF0`WvCR+&U>*Rp3aS zHTV&wdB*fn>N_Kj#_aCg7Fb0ciF?rQs8N$zMhaDGQrkfFK%JUYcUEeuiu65-u~tp; zrzBaeCiy8z)@zzdt~YLdyR%|VaxTc8s%A~Pp0ui3lRijs)@{0qeO!@9*(6&PiIh!p zHQrKmXZ4!oy(C$`87l5)ibPzKT+9v;q0hq!(r2p*o`ish5UO8+D(Z!0hjZw886jp{ zoy*Gp2xM<gfiFUw9Ps<-g^u-@6(5E$w(GuBqnC#`=$+gqE0%q-pS~Ku`gmQBqp~=j z5cB6b_`vC=502^)X+-sln&;|<d_|*#jzRdw$Rq@!SIM_W)F(%r5{?{&*}qBRvc5?Y zZvQ5UyX`kgBJAHJ>85wrvt9B<5)ZyeV*BPuq>aSPq8A?=afiN0(j`QqPlP^3!jE)! z4JHvb)Y~SBXwivJs8Fz*jYJaNMW=9kgh;dvNkVwN5Yv{M-aEJJsKQkBjg&q&VY#Qn z)06|1F<@w9;QW{}-^%fliZwr%(l40R$f4>pDsf@>z=}F0@=&H-s~*mfTf7vx)<@)U z27ii(DTu`{R@x9&DDMJ7t@6$xn30+m!y9zf@L?4kfiKGpt?rs;dd8qRxwNK*u8g>5 z`Wct&5odE047%y?VTl;wAQ~41<}}lHFPoaSXe45C9#_}q5vWLS^MXdZ6;Zhi={O>A zrdZeJ6MRatkP(*QpoEp^17JUfgJDEdDaZYwL#xChuXS4L;AVQ626Au^!e+ka5tuhr zp+Zv!dxUai^J0leRuHkBT4n?i1g@plwS@#$RJ(Z8%iP*?Zs{fOu;MpPeH)sX6NlEA z!%O@^9B%DG-tY_l;c?BR8cc3MC$XoU4#m!Aoi&=W+%{A>TT1zlhh(#btyVWmPG%kt z@h7+EBS%w*U&tRmpxH_hAvwb@WFy2bI~N2v(}rJ|2@WYXJd`b)$dp1{YWffdLh^@S zm<)MRf4~9xsYKRGh>OU|S!FUcwaXJUwa=5Jiu^NAO!a*nh>iR+RZ{9bgw{BPD5(n9 zp6W6Xh*vQD!ezq~nn_9BO+JBmpO{*OOG?h`G@e&pKM_}**Mw$La$d9TDU_QjOdoz> z22vm;DdaHUt!4_sB_%23+RpuCOCJ%}0n}#4p@i4=uRN5Pk^Z@%gmM3Oh7z?U>BSEv z2T`jW3ecSi|3d=?tK+{vU`+F)8S(RTXjo_zlQCeBq5%oIP_ESwtPX>)HUkE0=5AAV zYA3Sx0VCL`Y`W|NMo#<q$Wg}u1H%G4oGsmH_IO`7cufAX!6T>b;4!o9;6bh}&3t>B z>|V$#a@KJmX_r@S->95b2WA=D4Jy#Y^lXC)?399oig0bYnPu0y3<R`x9AI*61I(1+ z7jiK;*anz9wgbL8LR{4j5}HX#J7{y^_`(5Zifw?wnd9(d8({pl90xBh%sG-#0}PQj zq8L=70YT@55BDbob)Ty7BnKTuv2>rn)h`ev_>^}ZVW{#J63pnqYx771F;R1jQyz60 zrjNagj#2E6mub4r_*dW#%b}eG_uu4mOju{}WlM~4!=$Rv*rDr2be2)&Goq7>E0c^q zSq8Ss>XT$dCe1Kv3IHj_<w?c}pD{1VNKG<UW*Oa+ij3+kaE#5q(aEXCitR=}-(|*% zB%@1~#4&1<jHslH3}anVT54Ky%0y#D7K8vvm}zhrU3`QY%3o8UAkyU2nh>QRgB<l^ zY*GQEmFNcLA4C5@6|hdJGrTH1l|hO8N#;*V(1%RXKb1emhJ^~uRF#ZTt=K3YIVvcf zKBWZ(p+Aj3DidbtDl_l>RQeOiG*%QC@xIjlsi{V8l5t$C9hhu9jz!onYFS|GgZRU8 z>(ltdRCE?lAITqT)~Q)H)6<!rZggSVdc5*)W>Th%imaq(#K#+p78=8njD<<YFdu#g z_BTdm8FwJzGn#M4)U**PBL*a7Bqt{u>$8d#ooW)$=9tAFRW0g_m=(TJl}QzN1o^7E zl}{Bt^n<14<SUknuY941swRgARfHlcpRv9Gwd8X+u`b+dlizpfMpTwj?L!;Ff^wsG zmVr-s^-fBkVQel)PcfDy8H0Sr;v^#x>@1x2w(YTUyU`2nu`+3jl1Ki=*jr*{7T6QP zW|oHX$83k2)wxusT#BTM{6E(Q=Q3@To~j~g;?jy$(3iHqtIYP-%hvuX3yi*I`<szu zjAir7OENC~pKpA_lZ*vP#&92g(fCGX8TV|>GJea(mpT&PB_5RmQ-uKu7&X}Ls@Mo6 zJjD!PWz6D_YKSxGQ=M3KXZ8-W1)Aq)lPa*Pqfun3F4<}0T;Eya4Cz!pr6;6J`3y6n z+4sybAk=b=ssiJ5yGHxErY8(Y7%+IM5#dWSHWV11f=g$Na5&JeD_{rUUtPNDBEQ*Y z4&Pj2S6#ZIVpH1EW$SBe%c@td-n_J8&4#k-nlfd#&~Xi(Yzk*Bv*YLKW~^ONv9hA9 zy0eeY)l{sRU%I;8SLgm&yM_PVuf>Iy{<BuFTOvL}hl(m)t!k=@H4!Rj@Dpqu_eJE^ zd*krUH*>#5R<$~B7iE61d~FS@v&~kGp`8mmJ)JyL!QKAoQPvA8Tft1OSi7E|9JKH9 zS2d@4d57=8QP<b$Yj3<Sw14Yu5O2@K@op_A%D2OPefSNo8^ao$JB^zhH|vw5Qt*8k zO9;rjxi6CA#Hr<YH#j*edWZ9R;|9mAxF`QA_7428T+$bwzN9Z^+dHc=i3p0?cbVdO z`??!U^h5okRxyKUx(q=J%)50>i$?(E<YxT8{T(_!3A9f)!%_~O69*liTkLY>kg=Ww z$hYJ+w3B-q1<Iy?I7^Pxrx8{l&^OFntIoBltOLrqaqPjtbS)Q$_X1ouWjTx2hyc63 zanLsod89t-vGg5;---jTc5kGnHQ53z@6mR0qhYKt+?IeP_XEh;g0-p<FcLrj(}n6_ zx=*#!x1@K-HUX?)o}Pf;uJ1G&f)8QTXSbJl9ki7Pc(q%h&qt3qi+2LFU7v=RR2Tx3 zKCTD0>g5CYtvK*%KY+ect1;Sm@4|1_mjZnpCzwClDp>kHhM)SF7G2l=npR;oV$kIA z_?w_5x9O73?Jryq#_6nrxN^UTTxa$dv5=!)%MJ3G)ASZ?HJ#oNvX^6;tzJ;{U^&{` zUmS$Kp|%7pFVR*!%!Zp2{Cu}y>5GP+Y1+D%b`VeJTM=eCOP@tsXb=Ba*k5p}AmprC zF+&Wz3TVp@3yH!<dyKYxTSHNN_PCHUG`!TYxqs%ncedzAzlX+pWqq2CH5_t=s&PBr zGep2=;EQl*j;fvTI!SwtF+y`p>yGj-5SrJ$?kMk-K+CCg+uu9lBGkroqr;6SuTq^} z1ML^NPPD2>?eE9bm;O8`_8?@+gOia79Dq*9GvUCE0q+eQXuNE{#9o5zrw(-d!9)~< z<3IW7g5w191g8rY2`&*V6RZ^6D7Z!Ndcoa-`vt!(_<-Oc!AAt&5PV<okAiAVKJuy7 z-~)Z=5X^V7;3PrytulmPE;QF&aINM_!Ac?q(puqf5n9=5AYP+{@0W08tAX(E3jL^{ zvekedPAyX389}w?9khYLfZ^Q)FA*F>guW!9)wjbytF`Qqzf8i_8g|gDgkCRronVvT zULxWjB;wk5uiyj1KPvnugnnA+6N0~%@Y6z{75bdeZuAG1L#;Un4iZ|eDF?0Ak^?W7 z@Jd1TO)iA1HRH(NP6@x22wJTb2mb*H|B;|t3y$zNgnnP}kAmu(T8OV>$Z}vX4HJwY zB3?g19}#kiLMIa;KSfY|D+_d`$f23FS<|cf584g;I@=Ggal|-5)o(zj2t8Ji*Cfj2 z2>JzQ2o?#J3YH137OWE7EO@QpPQh;o^2H(3xkvCpLEeur{4v4D1)mXoLGTxXuL=H6 z@IM7x1kVe$3jS5lV0%Y8;euSyL%N^fKtWzB8O}96#7x0F!F<6&!MTE}|3ZGL(5nQm z6y)L{>Qns}$mKz#c`rviAb3#le!=evazPd4RDT9?K@sVn3BD@$h9H+1k^g(a^MaO* z3pcYOUuVAob`|U)$VEvE|DtaPP7wa3f?Tyk`8k4@3oaGpGA8o*fhXeCf?EZ-u!-Tj z1-~tLpWsgfpA>vW(E29eDWTsHRO15l{g=>x6V%x+5gsAfMUac97(P_cvPBs$bo+1p zl}dP-pk;fqQRuCL*9ofe1N!e2`g?+h1l4!~zGZ9jGYNl1P>nC(pAx$LxBIxHi}}Zv zV+y-)oB-WTu&-c$!4ZOLoPpd}p(hA(Ef~k=S%S)T1oY)XbI}p`>f3xkE)yetwcvKa z8w9^0*d(}D@PMHD1|Q-d7WzkmKM{OZ@I}Gb1y2fc;Th8p2r63<(0>-%KpDvo7mO0@ zF4$MFzaZDDQ9ea*oM4vV6v3&2GX-Z0E)!fSxJIyAkn7#3|9Zh&1RDkS3LX&TGC0Z~ z6688K(oYM%BKWG{Nx`=T-xE9|$c1x^|Cu0HN|AO6awQ$<SiuCrA%aPQX@c2;xq@7J z$M_2cO9WR4t`@8ktQXuNc#B}8;6cHA1s@VTEO=b-S;5x?-xPdb@Iyf^?qmM`D(Hcq zbd+Fk!C1jzf+Gbp1t$sm1q%ch3N9Ap>OtzS65JxVU9eGbk06&9QvLzKM+J`wz9{&z z;Ol}X1wRn{NRaCjsqgQCUOe)Ujuwm&j1x>0OcER;m?=0-aJnEDJW^kY;2Obd!8*Y$ zg0~1Z3hos=Aovgw_dACL9}|3B@MXahg1-{{wIEkgGJZhtg5aM8`Cv=FQ!rAnt6*=z zSV1%s&4CG$HuqO3uaDu7q2qXq;YJ~nQT3tj%UI8(A;%{>qIG|A3&(5lC$k+|e5?($ z?%%Q~4?3F&xfMc}6Codb0ORxA+T<_Y4;JrcQ`3BOUv9JYb_No`EvpH`@C+JDo2^-+ zTHH6+`>#9vSgUsMWUJFR>!V`hPE9|1lXK}uZ+Y~yVJ^2{->vN#oU5JtZoTV#bY$51 zXk9xW9r3o`c|JO-tKaZDPDdg<I(12lvo5a1S-Ggic~c*(qkjV{@LzAyi}$oRGQWk@ z`)|OyU^iCxzusbGz6qOv+hB$8CRX?FZqaZ0C071t1RTW~fxGKA1@1pKE-?7mx`6Ru zYT%v+Hw3i(V*&^F=eIbIUDu)?d#1(l;B_s=gVS4_`)`2t!3`}s&_KL1H^I83?lss{ zj0=?AloIfJssbN)h6RQem%|Rk7r3#qBoJQd4%}G0Fc4lG4NI-;!2Oj`EzaU+k<W1C z{W;_-H82IXF9z&2-h;J@BQ`CNn^+xqKe04$BkW1SVNtOShh8@&pl5c2e>TE$V8Qk* z${C%$10{-1i_VB1d+faKc@AZK4!V~3yZ9qeYu@wG-YC@D)=$a~vA*?vw;Xj0jQ7*# zK-<fZc)w$yarlWpxNnA?&@5UVnCHoR^EVIYl!rCx4Pgh|jrs1IwbL<L<Fhe)TCkD+ z9_>KbUij+t11DOvg7;%yhd(25eSrg<j6iti9+b;*U@Yu8a7HRArDyWo)VE-QWUqrX zY*X%wtqnZqsR{gNEaVeYVKd{q1a>8vVFywJFL>7D{HzQ33#wpOlM;Bol66)SSn1IY z<h#2xJqt|;fow)#YJoeWHn0lz8Xv^E_UH$|OWe_-$7;}XW1Tx=L*Rx=*jd#XdmQ9Z z6LRj(2nX%XaEy2Eah!KMBk&vTj7iIP8@Zl6k-NN2+PR+Fv^!zLRv*#4$NwGwednE? z@B01zoBiQ;I`<j-T?d?#zSW}LgwpSaB~IPuK*O<Dq5tZ@{Wrae+>Qx6@E}?TTB80S zO1OVVi}v97K;p4oE&5B~zceA>eQSK6a{sk~k+GWtFYZUaVrjA1w?+5Fplm4t*MqQX z$;=EGiLh!ZNDpi;=ncKa;KK%~E&^dyfg2x0SZoip>bk%-)e6T28eXaj<i(~0Ubv|y zu>7XYfmJW11-|>12Wi5RsPcBiO%2@p(!@a7Th|1>^Ah9>RtIkMtO&SbN5TGPWWbf^ z41_1n2)I0Pfg55MBMeqUp6y8IYFO4x15OAmi*=!FnStjDGSPzXg5JBJmpvdgP=?;M z0#-@OW8<L*Js}g;Vwr;it^zG^Lt?jpE3+u@JX-UO1=r(TjSmdne?7{Z5qPfnILZsV z!h+3#cM}h^XmxKx=la0ip3Q-kmE!_0!V)msvodghK}q2L*i!T=A9`3e^vn<37+Z** zr=yoWg*N#V^61W>-5#uaD&1q<Y3|YPaqd+21oxz$oYy1SE4=4pybqptd%k<#9r3_< zw|na+F^9Z+UHhKVUXIbuW;-JGy7m|co{EWRIvwNL=RIIF>SvwN;rl#|FULggb?yt_ zqn&-)@$;C-UC!OE{ow};ghkxJ9)fY90B5qUHV~GG7EzZLxG@oJ-ZM6^J+lcpL#wDn zi$INPnPUR=mEaYiMPzOW42m5SIPO^&xCdiXUcu8XI&8>x;FyZBOwU{&m<&s#p#^Le zD+1TSn(4Y?f8d_jxsXTA#U6)zb>M|WSY=gu_IKHfmWHw9Oy!us<V>{Cf`RB$E0G6R z;NIdnux>(&<XDth8z}Ll1j6eg(PMn<jRov4D2ZoPU<c~iSqw|e*afhnLfyuqZj0Uf zb{s7z58LaM(Zqd+wzs%E-tQd9vBkY#-#a@<#rxd{9DA3QI|p{fNaGETG|DD%s-C#b z-{|k^-|u%eXy<m-KXRddt9EW@eUDS{t?hdv`{N%YOk2D41n8yOxku}do!7edeD$&O zde?p@FlycC8@UcrN9(gkYUl2+uOAtBb((*?8sjMW(TQ>XAGdm=Pqn&z%p2pq_iA_` zx}Y^MHS<TXD|<FDus|Dm>IC{m@kD=FU{JApbY6?2ctZc(EgEbPS5%e^aF5nUqBT`= z32=@-{Jht=_Ef`>c`c5*p|F2+B!sm%JUA;JU*P>%_vk%2uuqE(Ki|_>eF`$@1@|WQ z^+P5RGO*cwKhu%cBM|MG-0F@T=4VS;g|nejg<YznU{GKM@<1()VjZ@&Z=Ym2tx|0{ zqm9ftf%a0jY`~GgDvv&L8`_csIrIDV^F711etN~Bh0L4BG2}>~B=(UUq#UcCj{~Rh z5c6{+P@2fx4ELjTCb~yE#vBPO%R~tB@JLR}$*eP|@vU1wDL6FFAMZcKD~CF-lg~tt z-1^C-hi0B(et7U~UHWO_p{z4|cpsuJ`%XO)a|HT85YMWBwm+~{Q~SK=u3cbYP)0n< zR!wb~;Rx%4Fhfn0TDEFxR?``F7h|Yh(k)vxHI3p9+W`h_)usVLwrYQ7pfmho&RFQ~ zxlG9Id_qY&xy(JRj_KHK)xx8Vd^dCN=4&0}vY?gP4oXf}EZU*nPwosi->u*a)o#wp z8#66CG+5Sf@;2gOgu0w@^cKR4dpt<Zaz6*~=vU!ae;Pd8sjFX*`;bT^41AuZhx6Q# zRj><s`bqc};_yz_^k*yJIgX=?GD3KcJSNtKE0A?Xaub#=s}OFIi%D{l)+Db7>8*lo z(({bU$scd+MooW#g=X!0YmwxO&G2O?3X&#;+f8pRjF=Slv7$1B;v+b;%m&FaSE8gK z^M$;L<W;bD`WcxIDhg>R>aU7I8j6~UCgi;eX();hZeAF6y~HAa3?ix#c`Ss7Q$Sty zIeJ=Gy~t6ETV&RtPL3_Kt6peat0Bzgj0d|L6F-EA-EW5nFIM!;jFhh9*PI;fkDFwD z7BbWmryyOOj0Fhl$#alyTC{=eg_AH^XO{TD)LWf}F^X$6?bzB|od%P}PVv2I^`Tqr zPqy@-g{069-01gthj}ph38dc((Rrjf2`Bs|r2i{K?*!eeb|dO;tm<!%m^5n7r2k;j zI7cS!!99O3p7?Ppx5E?(>G6u(tw;!GD)JMOwGf`5vni~_Ypq^9`6fB63rPr@WGNm| zkdxV@S<3;F#`!ntNtm7PvlI+HOYv_d&2uSqTzAmbtQnKPm-OBcKcxrsvjI(1(GMsR zC&eTO_9PcsHOZeV5?M9Ld3YTZgGMw-owB~)U@E5H(U&utLOA>WHAJ|g#-Ks7IsF01 zCY50}G&CtEPO?jj$`t`_9MV=mwYjL-!XMRv?`-;Hi%W~<Y<#fAQhiv{y<7J<R0^#S zK>M7^yr%PY5Ul<1`)3qI&jX9?Gs8h&Ukp5|eU5ghK>}p#0Y)3+;57a1q+evg#wz-4 z(EZSUGaVH?8*_W1Vod+)KB)3|#+=~bDcnn%Z=yj{^JAc+O5hoVu)nB66*)Gd=!Fi< z-$$VjYBk7DR~`u4h%nBBv%d{jVK(Ww!{At*H8ohXjD)^=8N?&lVf*WRGX!f;otg(Q z7Xvtq4&X?od=TNJH~VlCMbr<6+W{Pxp^K&b|I&8RG}`mnjrQ8u7t&_YW)|3q&7#e~ zG0JW<*wtn<7~RHFu$!*uE4#w(@h}Zc#zaGpVEXOt8?n8OO5d_l3|TO860f(-h7tX} zkBtO3CM1k@7vjYs#aQTN&P8v(kf;NS;;e$;oCdR|>=||R<N;q`(TLFtw-eV6B62Fk z(5`(}c{tXCIDxQ`8wM}T0to6_pstreHZP^HA0QMcZz16k<()%#42PlBIGgF=izE>4 zW_sqU)M~uV^c0)KHO=(wzybS4$S8*~PfNg|YsCcSBm#>#_BcGBe;%uLa_5V*2yK%U zL~<Gq=pdNIYI<ZV{K}DU%lJ1{a)ktn(nzYPSwz*lZL?%^2^63?m*uF6S%v{+DCd{6 z0A^*)w*-^mG4;$Nu)Cq&2xjzoge5o(ZN0mh9<vsTLb~QbSh-N5a~X@bA%<4#Zl=dn zQR`JI8W5m|3_e2fW{2WcdsRF$wb@n@Rvx3Z%_X~?HMKZ4;kahEb1_72!Qs*xi*`GA zd+Be)5vAzH!rjis9E3X=_BxK}HsOs^b~_n*T5&d4K~7?j!_Yy<Gg1YohFYMD9EJ|- zz^U=>b~2PLC={p0jkw@2l&|Q8V=DtgS>TSPLk5%!m7k?ldGwn(VY%MMfpX14$YnUl znY!D_&~u8jh2^qw7|PKzS|g{H<&roI&1l1^MeeDMp*%~WIONo#TnsgH-#%|hMma0@ zF6|nez1?2s`4b$-^K@vRfnyqu{M}B5wkpmw%(IQd&~Yk#ayBr}5{IE#Z8#g4XJ}*S znhu-|$TLGX;Xsa{ZMT<xmchy)5>w8~IdYl}os)6o;>g1R{`)vOav1ud;%sCYY;hPm z5~)OM<g8~IL>og>+Hlsh3`mEeX1!Z+$XVaE-rMC3$tY*#9(H~?)>)#z6aGvae`b3= ztjKarV_8Zq#^KRmRa@=+)CtQr((LAt@|(79)^HJu*NH|LzS1tvrg8G{YOTFS*tXH0 z&Zbe~b*_=JZ8dhtBQ!GIwi+3)6OAZ!yF8-Q9rB1$x67l%Yp)Sk3?{n*2Tbg@Hq*ma zhj2@jh#N*Vs1x0$UtJtM@Z);;x>|b1+e#3;xemElc*XeN<To`2uQHU1R(xe%=Tw=% zJuW>-Ceg5!9$E=vlqS5414Fs9nI0FO1QG5*!c-ovH8pH?4Ri<V4Y!=yy=DRg>g}Q- z11|H*2s3<yD#rzA**Kt$AX#nYIxFSKAAsmCT-mtw0R7CFt)3{tAmjpf*^n_~VuM9^ z-bQXw4TO(y7+RIPnI8BUZizC7r<Ld&LO_Y)Zh#)A5)EGSZI!e~RT3*i*A@`oRPi=C zo9P*&;w`X};Egr=HcCn`xl1gr8$pSRJDXr~c|lVy4!^^2$lVUF*ib`YZg|zV<?63n zSR2d%_HiJbRJp2lHq+x#xteV|b${JrKXrqkbcm^+K)nVl)|S96s@QL-1m+RUnq9w1 z)vTHIdA0;@Y11}%y0Xm#2$DdnJ%M_az;9FnMFjJFtlOl{heMU1C;<&`2o6JA=WM2D zm`S*s>5*nx-zI6R41KlT&I?n9qeWqiiEF~67cik2qrW?V@_vj1_YlRr8qm)(>rr&$ zBFd0vDSHRQE))&FP^dICF5K-r2p%^Fg$`V`c6;f69S3yqDu%1kZa3+-aYQSN-W*tG zTO5X-#u01dV1&iE5_e&i<dS^uyfZ+9(KHN{6EHSWLwh;OaNE#9S`*JQ2f%+Itu`2C zMShVqm9WNw`G8R#p1AnEqBi>1F(JuMhd7jH;~1j`4G1=&eNaBABH<s#XWS?p<Gxz_ zbsVeBbIjOmBhxNIgBsXa9OhD0pvounYtu+=qjAjqVvS8~idMb+1Fe(`<`n%yIji9g z)HoBt++d`mRNE%ZD&OtRLGz^1H<}-AICgvKzYPbPA8$Z-3+yJ%QN6czVS#xWi-TFW zYJ`eU-d#9iLVQ)+kOa9uz%i&3i3{`18-h6Og`x7~rX;(~kbfi{Jnd!|<7hSQqU0~k z8@}Nho{}2%%Z@O2_$D)ir=5AAHV!4G#!U<jmWcUP(!m^Dj7DnX#lo&p>1FysjlmpU zL>{T_-G4%()vQ9Lc1x+L?X$0>QR%ArVvW?s5%}Uu-BF_%V&#$Ac#R#R?RK-?zf7J( z=Sdva@Jc^BpA4^feA&%gr8XU>&4`~MBfi-*t*&5o7dCwv@!4HCf(J)@+~G@@diuk? zHb+2?NQljj<E39R!{<XhhlMgjt-KyPw2cbcB;nsg<R#RhZBfW;3+~NlS|c6&`5d#T z7yfK1o64o=a}q`0H8y=t>J@#nZ2EF-`lea>tWhSq{V3BsNv?uOUESx1#<|>L`&l!$ zc|>k;?Zw9=(fVr5@s=Tf#}Q?Xj1tZ;mIrC!))De@6-Wr)z14-%oWq*qEu2@|%>Gnm zc4~%vY6~?R6hm-RKv}k=Dl?P|XL#j738oP{OFMMuXw^hdRTFC1=7_623C*^g&1cS} zHs&#n7jYns=|rgw=AxEA3C&h*n59<ggk#kQQsk{P#e-LzP@P!$LJCuuiw#H}{@Fz4 z#ETnr#9YsxICZBkc`Ibzc+Mf6ZJqnh(hAkVo6yIWgy*~B;Tt)y4Ny0bY*7eXID8{d z#Q=5B$NRHBTD#%rk}XwAfJ|n?%?Cx?It*yG8X`hwn47CuSwcv=ouh2oSY#z>HSj1+ zZoDLW%o<a}RjutfvZc0tPLK*8)NDDyqgyUQm=o@(j-1Tm&C-BoQn6;$O(C-Bgt%7c zX`9cs)fLKT+bZjr&ki+)e737G<dfUc*lG;<wC`(W%Lz`LnW9x==7}?NXREE?)lzkq zj<uz3Kikw6&YM+R+;`qQZ>%j+Y6p6)@iL;+7Ro`cRa-<b(HzbFr(Q;5hTq3<%k_i@ z4?G0U>tOddLZR{&68It&p#;XaJ<d^u8NDbJeF39$ehssm1T*@AP;?qE1f3auwiVsn zpNblCl!n;^Ml~5BCBb=0Y8rzBQ@sQ~4$97Hrf;dqAn;+$WY9Mn2VxMIn8~2;A(KJi z;(n7s-!YRxu<|pfIh3E^bL&k=i!<Hac#aBZ<DlOXr5|kND9hYnw8*y<(=Tj|{JU`A zv6rf*<4})bmM%qD!kpVhr-b7fH{An>L02h3Jb!lgkN9Kp3f7?}+`jI+@t8(+D0)rp z3YhaJm9w6>MDwcB+Hz1BG_is})t&LQuCGcpBCy#`tq(i#Bx3&;Y?5Pa@ZonL_CVn# z7e>GL3FZzM<{mgkSH&@RQZa)}zsD9{rTl6i6SduiZ66DBM;vp*i~hDKH6edU+P3eF zxj9CNrF@Ftej5#Q-<~%4G`G@0VObg#Q~YK=%&eRKjY`J!+vV-~wufJ#<c%RFTyDZ3 z6Ur2r=?zeRrB~I9nS!~IO{grUteFSn?yaU8Y-yrS#<zv;$*ol!#%r2QJ-)iC0()*Z zex((Z7teQa8xZSUKaA~ddMz}r^u-U1iyt@<`yv@-Nk)Rt*z6l>EKM?Eea6ZpBOWxi zTS_u~KI|xh%~OnsEc_-KT^1%A)dkp3rAv~rtib5$GdxMgMhGQg+nN#S*n0#`C&^gh zGvbnx6QOi8j^vEN6GtllCSUUCffGkg!e?B42@{hOjCnp|m@huTn4D!y$TIS>jIj$7 z;^K^1W-*^Ji(9D5V^)x=F|{`i@}t7dQy`SuhQ;ujJJXmaN_J5(YF8?8bDt(_za?|4 zC)KJ$+XtzJD$PPR#xYZr_GIS7h%!@=otw<g&@L4-i)#NNwI`9WR^?f38-p5RhE%wD zvPIKXD%=d}W|py+31;iG_UbVyGqbinVP)LjBNv$Q(@dDvhFYp9Gr55_f4<FcX2X;j zrovUDRkdP<ZnjC=JB93Ilc(55mI?DsNVmoLv9#Kk>`nQHW}v+)Ouwloln1j5nWx8; z=$HpH{5M<7w7;jTCT0e{E`gWUswVQRnFnk{rpWuYZZ{?;8Ao;ZL!;TaSNn?4J4TOD z-2$zj-J-y#;ih9o%}PpO{Op>9u8>Tk8sJSzOG!x{m26xEq8B!m@^MqCN)SCQP8o>) zNyajtF(AoUo`lw6MoHbVVMDt<{3EBH-f#LqcD?TI?w1-@F5H90IB~<I>ywS5BqQ0k zs5)WVqWt*e`3c<U%fZdN9GCIu68@+%D@FpzeEwX=pZWYzVbhGYS(jm7w&Vrz`Kw07 zkBV19D&n0KQW_WJAl3E8Iv=b@bv?NJzhaGTD<E@28hnR%Rc-l~?LcH+veX7N9XH3R zN-eEQUz(y-&8S$B8nQJ|w&LTKZBA2r?S$fT2OImYHNkCds&Xo7XP0f5TgrWHg4^H7 zJ~vY`7f+cnCx4FlyL3)j?fNPS$(>WUbb7_I#dFrKuU=lZc<PiXntWh6_+e(IsdmTt zmu*MXu^Kx0c5|@et-8oC_Z>>DF)K`=S!H%xc-Yjp-C0QLz&vBY-G|iXMp{+g8q_*} z)6{Re`pv-iuCd2cx(ONT++a_kHTH@RZ9)WN{plKZLs|_*_=O_;LJ@v5)R|EG4+Tp@ zqyOdQrPb<On?<wjMMbXJBvQ4|{!3MJH&(2`2OiA~ncEw2N2TB%SYPKoiZX0BvAl=P zi5j#c;(GTD;WxS(!ge}uGH%B1LOD^nVTIkoW_Jyn+a+v%WKL8ew*Ct_-V=X8yt!e8 zy~1Yq2%Fn2Y<}0Ug<ZmmBPXi`lD<%M^(@q3&!bzBnXj^^QFYns(8fif-H3v{_=~nH zf`HkyI}u=e_?mBI^uM)_Q3}p4&LE~4O~-a-JtHy1da~lP7FKZv-5wb1^Gz@FO&K1X z9Oa{~UEkXvR$EF$4xr7zVb^yr^!X4*eawrcuNr>4zG-0EpXn^sYanOW_pD7H^JVGV zDf$4)^#pUTRd|FjExPM**!8^+ebG?D{P7*RrSDttTXEpk9*WX5+RR(d^4^aC%TL}M zRNjAL8KmVb-aQDgr~ApJn3?Eqri*p5!E_&m--<&W+_#D6(sCB>C<5&IaxsnILm10p z-^b`@pshIYYUR-9qeq;@dk(Z+-wNnsy3~h-xWWAW8h$GdyjlbFO~CWD<t*N>5MbB0 z2l`?VMtxY%7u0tKe#WuN_)M~<(I($=<nj1e(2^@p>wI6Nzd#O8!Bqg|PC;&hMF6e* zb%n?Blif2N=h!A^wLi7s-4^~3%3;+D%VV_{){%Pp7TM#_7iUYr@)B*u(^ia!4Jrcp z!oD{S>Wjv~y0h!+i9&>y>b1=~M1#&shXMbJeUX@#kh5yV4B@^<ZTYqi2N44!M_WER zrOkER2gz&WGCq4o$Qi0-+iBK%$Z;PeyW_q_3<>3dXDs9l)gtXQ&p^l-n4)F~>y%?B z_eB~NVk^CV;qw~IeUYvZnqygal)ti_eCQ_EyfN<V+t$HrCU2WMy-5n*Pqn=}3<mSU zNuu4YA@Xty^2Pm;?gb72rXk;?vjt}gt^|_57;(6-QWX(Pgwhxv)%cL;;Tiur@2~V9 z%s-mZZv<Ne|0<Y(a+04cIEILo?U{mn(S;`^HOov~BJ`DlRYb(E6MC!AJB8+L7WsRH zzEfzmKMVBzP{N-Pq$v*5`K@4!;9mqi7+lEjE2#EY0X<siDS}0UO9Yn_QLbwQj}Vcs z9}_XYtNoIIPmzYc*9G4bJTLeu5%IX-i`YwWuwV+2`4Ku>=scnMf{^@qLYD}w_CbNZ zDxvEI8wC#vs{Kw7|EEH${Y^k~kqq^o6;%5sf#&-hhO75Az)MIYokR&&`<H;u6#7!( z7YMEPF9E+;=nBD2f;S4@CU_qa@<#=q6nvS8`fU;Hf^$JSNl<+o7V*@#VR3O#--ZR2 zl8=0=Z@~hu6S{#2`Q1YA75W~bpAc00dq7U@?}7NQiQGHFf0u}KXo5wIMu#UR3eF}X z{(3=ln>PCta71Uj;uuWi2u$SljyPCwxFFZEGn|XQh<Sqff(r#XE|I@naFrnM7a3kH z*nZ!r8zh`BBq+CA@OHt2g7*r3U+{?FalvN=e=exT5$IFn2=HAAKQDMeP>n0#^P<A^ zX_ZIpCCGaQ(muhVf+GZJwnzSC!D)ii1-YP>{1U-cf@(ZMc(u?Q1Zn6;c{LsZ8-?B@ zc!yxK;CBQc6jWA|i1#C*e=5iqJJhSjE8rVK^SOcHrv<J3qtrNt@J}WDGr{)XM(@e- z1#&Thv_>L5Sdiw3q{j))6r3%n#yjwr3B5tEPOwpMkKluXKM;IY@I^tc>0x?YH$!X{ z{HtJhjyDMJEtnxVPH?8+Y{7ED)q>jvZxB2nsKz_!eN^aUf+qyI=7s6f1e5rwAeWzz zR!bXzaYFk9Y12l&8t;IGLeCZCeGd6+1l6}EK{p6(eY0Ebzl3laeNtZSy99h*=$8af z3%)P-nc&|A+kb0Yjfc>ahJ$*i2~HQJRjJCK;0D1uL7JJ8f2*MNP3-+b)9{r19|%4! zcwF#=;Om0#3VtA{#!2}3uuDBrg53qxK1T>w`y2r?Bz&A8jawN{jjO;Cp;rjj3T_g- zLGUKQgMw-wBg8){^rM2$3%(?HQjq%+Gu@8`KNb9&ppI)1`Dz~{pxVa>NOM?Tr{V>N z3yu;TEjV5<N6^~Oh_<tgf4Sf?!Igp=1+Ny|E_j3BHwAYK9uPbzc)#HH1RoK6Oz=s; zX9TVNjoudeJ;5`A4m`pzzb?Tpg53lY1cwNw30nIb(O#GF^95<IOM01Lh2T|!8w9QW zjdlwC4Z%Hv*8WD{7WzIx+WS(!+TRHHl+e!!s(p?SuJ$<so|5o)1Zf}4_~!)wB={FW z+6$8(CD==_uOJPG$sZ}0E;v?jl3<Qtf#6KR1%j6gE)!fSxK^-6uugD`AT5@e{_TQy z3EnOEJ;6hQj|o05_>ABSf+qxD7yPy0Zv|-*&GcFYKNmDGxx{d<V6<Rg!Ty4S1cwQx z3XT@c63iCN7n~tDU$9t^HrY(KMsSzlZGv|Ten;?8!DE7N3ce-yf#64ip9%h5uqO;X znO=<GIKeEz62TRMw+ikOJTCaG;3tBuf*H7>r2cV&8wBeFUle><kVfc~A1OFbaFJk{ zV1?jj!D|Kg3EnCA6Tv41e=pb~*cbDJOs~J-m4a&ppAdXXuq!6(DBnY{RIp6&VZk2> zhT%q<@)3fg1;-2K3FZry3YH1(5Nr_KBe+-a0l|j^pB8*x@HN3V1!=|4{Cq6<nc&|A zU3fMi-y_&vu(#j<!34oX!6ZTM3c&a|f(3#z1?LME3oa8}DY!<kTCh%Viy(Iyp#EKg z4+uUa_=w<Rg2x4)6?|Fngy6e^9|(RX_;*3>V!-r#g2Mzy3QiKt5u7WyP_R;Pjo=2s zIzjG=K>Y^<9~C?%__W~jg1-=aP4F$j-w2)){6x@$2{r1E66CH6q%#Dw1hWOF2~HPW zBDhSjQgDsn2EjT(ZsS1x2L%rcJ|g(6;ERI)DfoNAKMDRtFaqoIsIQA4_k|!mRB)`| z1i@K?a|G84)(CDByk2m(;O&C<34T}bh~N(exe*1^{|~|63ce@!C&9l6_QCcJl<y}v zTyT`&1i?!Mxw8f37YSAfUL|;~;5NZK1-~tLNbq67rv#r9{H5Tp1b-{|o*=iQ;C#W~ z1o5qBMMns7Zwm7J3l0(-CYUUkE|@7eNia_^UvQz|V!`Ets|42wRts(xyjF0BV1pnx z$6)^M5WHLPJA#J=9})bC;FE$s6MRLGn`=<tX~8psX9X_^{#lS87NERSFiNnyU|+%h zf<pyI2&M^+5zH3M6}(Jvmf#}6D+Iaa2h*<-+$4C7;10nCL2d~``2&LY3O*pnEkekD zT<{se7X(iTzAlKzkALaDnCE34M(yK_e(`NS-ylEeUTPmqYkq3F(1k?E-6C`&5%S67 z(#QF1E;W5j+St(<sVS*GUy2GhfAO8NF=NK~e5s?yr<vkkzAt7K<__S3`(j4pJ;-Ho z`iQ+xR!zmei$+u29quEo&iW&*jz&*IYOCYr`rK`~j@%u&r&_hDldW1wukyEBwd|k4 z_aZ`GZpEVL670_fp0i{gcuw$~;5or_7S1hkr|J{7e)7g4?A2vdO`YsR>ZN6+%PKS- z%}@)QvbGu@8X7etLvwWrpAq5Mgg}ReH<;Kk>n~AUC!}M#M#G^eB_+?TE?rZza&7fh z{`G5?*H)}uQ)8}CwY`i;OTy+fh+(Z?{7BQ|;gaJMl9TaEFAy$>3~}g4>1w9RsLBvj zd9>AlP7_XU_Y#EZezoO5T=g?~jxQ}KEiDOq3)So0Fa^r(S`2qT_h5GqJOZ0@nJOok zv=GNE1>IL!M=^Q!jHJ@q+Ug2?qOGjPzWiZz#hNlZU9o26S}kcs*|PPkmX<DChVPTv zxurM@tDxX3xPFl3Sz(`}%P=AMYgRj+^$|ijOerbXUQV4^aE9W*W8#;}aW2@31FuTg zUVm1)BTZdZl%YF_gL$!>r6U^wmY=+*a9l%=IE$AB8eKW$P;llKx8|x2cs5CM46x$B zt8or?D?Q>Y-gMA*eQxOUA&mN{$I`bLek%^V+T$QN{#ef9twDh0C+`FZA3frzldckn zJ>6kQHyU9~7mrQBba4wBJQwh)@054;PMK+1b*|))@ze0&5k$R_WjUyyX<BUnUX62O z$@GY`=iLfxk4BpkQlC9#()JWc<FlS^lsHxSm><(IcOl1j(0xIAgF&p3kn8vk+G_o# zOvi8YgsJ{+X^3X(c!}F?pjnD#Zz<ZK6`h2``T>!ZAv6({$h>h2B$k2U>RJS3PI&FH z!js31{z})JabwfWi_k^v#e4$y$8f=GPAqObaINWj!(r@n+hiQj;_n+UTXUY*Bf@q$ zzvc0J{YLXUtv%~k#=X(^6YV3-)%W_CvE^^HYR8=!&a~%&$tB@^cf>eShm=n&pH#B* zsD3sgd~nIEkDl`URU6XZbKZ#$Bzq#YvkuSpzK&h74PkwMrDgTkzcnQ{;;bX=o!01j z?QFO=yzd{h!Tl%aX=k0Tuw1Wy;K`eEo;vkw?1jmFUvI|oGLB!hYKPxKnlAyd6Ys$n z;0ImxW~)~JPOF>Y^<ztLO)N<+$JMYZsigUg>oBf%U8|<5{YASrpq$R81AgZnw+vVk z7rw`JTlihGwO6!R=QMZNuA||GUppJ-ob1=mH`c$>>a9QRpLzPbIekwRwx$;TvNif} z|I+N%RHl7+QAJ^EWZ@N{Bclsjv!d^*aNPNAP+2MORZL#=yV5B|g{@;#_LT1~$!;B+ zUDz5?^+x%x;EyPD-Epi{i+-oo+xYXCm$l!b+*$qe^LjIls@GbhkN063$EPlOt+nUD z1@MO*ENo4$`eDV7;7>37Q-!hUkEP*7*q_+Ip4d(&_9TuzoZt7(a>oCK)?6~SKjIfY zwdkF4<E~RB&lUYJ4m)f|ABw{{aT&MkXCuR1=baI=K6=6RN~^Pxe%D?4S(kU#M=yJD zz9Pf#dZpEkGWI<D!yNb7NRM$o+JXJHU7oX%?yaA0I^>ob9a_Gv{KgXJ=)Au1B~O=+ zD1WCl?r>Q1GbOXmIoydQC-WXhs*mTMIXOA6@2UULp6lzX7*U?t-_>lCZjVVS`FY>G ziazDP(XQ(|wX|1BZ25f^cbAUs|9s#6CGS?Gm%mq<R>JznZr?_9#%ce}XUy}L@7{6v zmI1~d)L#8;TzY22#hk-FdAl6na^TEub7lD#ys9<r^rxO)k>@@28rno*>!9c+)cc_0 zAESmwWPbwx2w!1qVo62$8u$~VQ9IdaJ@t?0IM2FWT~0j+xk1t2WgOqBRxf0SME?wX z#%8w;@kN}+Xut2BR;@AYyxXxN<~6hgN*7W3uw!3bgYzslVBBY%)!oJ&`o8e<4)1|H z=h+Akc9jnEo_C<`-4X7yy77ZkPN~Py<+<f~CB~il{)`gG&_g+K4Lx$toqRl}=PB*% z4li?t7VSIH>TcX#f*givXRi-K>u!9r)q60p{Ns}6T5*X)Zrs>8VW<C*Grz#lYL2c> z{b_V|{RNDq_6vs^0`TL|U1~jn?&hV%D2JG1bPgGgu<wB|)T)Frb5%(A1C&wg9A>R# zh?Dn3nxWnV7OGoCXZUSoxWl$llRMlG2<^YhB_-H@brV5%bL%qfzZ$6morNl%^S?la z6;SJPeJmi1KgieR^z&6Ewr4#Izsvbk_4|_geN+AB<2PI%03qzi3BUFMf8g|8Al+S2 zBl?&C>B5L`5@Q)-B#wx?7-<~I86>YDIsOxPd1dz8f**YXxsIl}p6+BSN?#O-8|N%C zC=yvI#P>*4tkLv|%oq>ki}j;li?~>_fZy@dG77mc^R?wevI`@ALfCp0epf=k%vU`V zxRK;Ua<?&GT&1LTG1IT3FkiNMRmK#_bIPnKA{L1-Yl^sn1hck-HOFt{XkGOA_|>09 z9Bh7p-@hPKcV7yh{@lA{Nw%J6O8l&inXMO?t!X4xb-l=JRe<!Uihju~kV@<4Olucd zW{zK`9)6z2%&{UbfMkweA&;MwVU8713IciPrVozl5otvAi<*bK^4Ypto-jy@jGTl( zG>hKmmV=S`xV$+f+>JIP;n<20jixK)=?S;*JJ@DRM)WPUbs@xfp^mVTxJY|spF`nB z%EpEy!S&j>AiH#$2nz$pLRl8Bkfq;8{J`{&0|Xt4fjglh0@<|h3~lvCDa_bGU^c-L zm>o3Oq=0IB+8hD}Y}*v}1~~u+O*}*FB7_8;#b#Hah(oJUXllX`Y`Qc+4h|T85~ksB zXd4t3DF1qmScOB^=G_H2s)8G55uaAUiwUnQ?*hVal$Xsj=)S1p*r%}5)$yPpJ+_)7 zzhljrTVc&6SORTp4o!>IMHr{Ni*E(wvTtY$3jvI#tHpB&M+?Eh5e4UqK(0e;;^3wn zt2+h<c3vb1d!gdthioRpv%z%URQ{&vOh~QcKsb@l5lEjPDyBm+=-R8`o{bQtWivGs z&1?tHb1ve}#Gz}K6PVv{ZJm}rs7gy9u|)BT2qies1bPz9lR0MtyxVbL<0-;^<()@( z0Ea`X_5y;=eg=7h*z}1Udf-n93c};Uf!q_w?sx*bD*@H?ErGTtFi8o_;gcBK8P4TN z0A+2xU<`tC6n8O!m5Kf|8&Ijd!FpmwOpQf^6FAt5iB#*-nijfJ<C;TB1Y-^W+l)Dn zKnXOAg~YdTpi2<UI%rx5uX0u_o;DU?7Y=kV#`*&e1{2NVEPzirE0=9!&1I~Qa4?u? z#+s|5;Af;_k>VLL-3NkfE_94ycmIh0frCk%f%rwv9WBpXTvfexRdwlAzLgcL%W8bX zHjNuIeDSI^>wUFr*RHPd;nB-icGa@76)VbCEY3(8>q|>XO<R|-cv;1o#h4XZU9l{A z)$-+>3L3Qr-=ZJIiLGSFC8Z~W9sF<0uUNBu_4*Z<Z?PouC7}Hi9X@i3(qDu<_IQ0t z^1$(z_8V;U_ZeOvObg>LQGaRi{gMa98%umJ*u6wyS05}04Hyl2k_H<ae8ba~QDBl$ z0i#{t;6XI!UF9>Xk}}c<4>4eJ812gdq@*TamNqImZA5BvT4M6RluJ^e1<#Y1n-i1p zZMvQVi{7CF`w#4I)Mgn-XWc^Rz8cZu(?-QlOdB=SsPo0ACR~y*WK=wZ;$hrK!^XwR z5E91Y9$!Br(r2vpsW_LYcq+n@{P<aMX_pvFS&n$)3ZF5=XA~z5L4qvt8kjXE@wX3u zm%vPtYI`IZ3zCfCK4ZalV+5=!3oeNtoM=|Y@FZiikF_!|$yAnVEbt{DOM_V_gX3tz zjJysW9zW=k_#wjwBF_%Dt*|fZ`WRyqt9rRny%NTnOVg4kro~UpK%r8N^-26kLDnY? zLb;PKyX}(XiLk*{K|UINmSrh3YMhEJV|9ViuYe}iD9A?S5ydC7&IglgBPMB}QRYiX zhxv2TsN^AeX_%>GMuOIfUv0*5U3w~v+uB$(u1g(_Ak%2C{X_$J?Tqs}NTkD>Ze=aZ zHFGwnX%}UEC`OR~GLuKKLJZ~to8`C9C6}xRjSMZL%hWMuemiD5R6iPoQ^(LAa80c; zHf(DE89WQh>@Z|iXj(-2OPANKt=2;Jj6vg3dXzeh03Bzv+fOWG6i^9CLG8AZDD<3) zx-!#<^y_4*sOF_UhtoC3HBsI!*tsp_IK+3b8N6Aa95s$RyoDSu5uM6qx0T~1q!YQE zC|>x#%KSNARMaMQ*0@5}iMAIJOVQi3FW{hKea(#zQFZ@YbNak(;9UW4I_NTRe5icp zk99NQ2Wgj!196-I;w-rm&~`a)<z>I^u;jLgoJ7Yo1J<-d)^e8WIs`;mfxs6rNn8)P z82B;es&008`*{-%D-OIGzxVhuJ>o3h0nnD8th=G)b}Lgh-d_0a=}wD-JsBoUn63v0 zqcB}g7TEQT_l9ibzzXv2hu^MmQ@p165Jr8764duo`0e^C!1N)^au)9x0_^(s#+&I< zUla~2f4sM~;=rrzgg)*MWjTxYG6L-SUW7jC;t`F*()Tv}jKl6uSA&-gT<dK)@_6vZ z(Jpsmx6bVV&p{582UP&&S|K;VB7oFE#|_dfKj{oSIyBHDE_ezdWG~0*_)xuIX+y9a zNQUVK-Q&>L&6a@WCEALoo$h0<z300MKJPJI)(_LP>#KsmJcL=!(r3{Y+Qa`9c7W#V z0ZV(ZR#bqYg|)N1Q!{{;F!Jwe$G1iWQ^Zg`E+`Ipdrh<a>=_|v*xu$a)KD$c&S%Yq zoPntj)@dk|XDsAsCunya?Ff6ScSx+$4{%s^fTVeS>yGkIhMzRYB-#PCzrVo^Ldew5 zegW#tRF4;>_Lnf;=fSb&{+0H21=NQ|%cn#lA18?wf@=h?7ThklQ}A}dy9DnOd`R$N zL5?fb`=sE{1%D;@S3v{y$93l6f@&VPi`d5?zGaU$l6-`x5)nQ@=xm`2gq|fdCnG6W zE#X@Q)pydNhm*+UD|;7=-OAntc#p_^U-;bIjB=b8W%@r8`j<kV6#8AE&j@{9Xl37m z`0V_Q-$jtqv80CyCKDkyhKTNvDL6^^xx!a=e2}|b!b>E)Qs}ipZx(us(8|6A>FpEx z9^pSA^iiSJ_s}87%_5mUI-Vbn07MM?3fZ?vb6h9lvZipPV2a>aL5{_gn;}>vxKL2F zL-1Am16E7;W<kEUX8c<O8wK|Y9uVYplyZjze<b)5!Dj?t5PVaR(=LqnJHh`HY!N&! z_-Dbt3G#Zvcy7UH!JdNs1TPUBBFH`58ILaj_|A8tV4h&UV3FWFLB0>5e5K$z!S#ZC zK|ub^f_&#e`ZmD_1ZmI9aAnU0RQ6mzj&BTqT2Qrj(60#1R}AEz7F6vW^jV?#&VhV9 zL@0Ez9f9@;s&)^0fY3t(69v-*RX>27YX86-3C|atA;>ow)L$xCA$XNwt>7j>-t$p@ zhalg5kiK2;F2TD64+%ajcuer8g3k-SB>1Y}8-l!VrT)``X9Uj*@+}Md`{#n;xcHNf z6zn0`N02XG$R8n?CdmC$8O}E`#OZ=_1Q!VM)eQMp3Dyd365J|yogm-WQ2sW-I|aWj z_<-O;f_$Mv`6mUR7v#pa41Z1VO~H2sKM>>_Aj<t&kOL2C-q#bog51M{bRWTff_!Pj zaAn5}94qt$!5l%qAno5NKU<LRnMjujUM09rP}%u{e~r+}9uBm!hXbnXb)<Rk!Tznr zN1T5j#|!Z37>?-XxIqM8jjKR4u0pOS!-1cO+(zk7E2Nz$K5o*i@i&WdptFh4vqI={ zBJ?DW8#~r#bHVkCDV?uh#L`BrVx;@>^^4ieJYKT#nGaVq<f)fhOVV)I(QsLVbC>h3 zspYR?g+X@1!#UaK9;uIL*akdYub(p<j$OC79ec5I;h?c+`GCm-_8)yzoAuF4&N*=n zN1bQ=j>&N`y5ld#AH#RwthpM)c5{7(u`l9mgI60q_r%2V{MKQGo64uRy0o9*7hk|R z|M>*(S(oR}<(GVR^92vOSwX8aI`W(z8IBbM-m?x}Z`4Mmo%jj90ej_%m~wZ?!t#Pv zhqf217Mus&MJLPkiHM<n|LCsV==7o(tc*DL&XGd@QU8GQsFEe+u9CaTql$i0?kXBk zF~4w0#SMi;F?Ur&71hW5rou7t`$t_xKE$ez(MEmn>U{q@tuY6~?^uv~AlI>P_`?(Y z(+13GbzZ*hC|785ITmyJ<0IpaAT&}Rzw;>8t!PJc*By!RJH{765_I9^<vWiSw7OD^ z{+_dbk2d_=t6_UDjd7pX-K@1sK5J+_k+=1e^@s3^=BTwI<EUTX`<*j?OSo_*lI=yE z7pMMBpk9K8^jj6s_6KVKhEi5{XM%wj|FqCGlsWF0d=(PD5nvo-L!5OvL!4pV8N(S~ zM#>$gt`+X^G)RQr_7A4KGhAJFboZ}Fxt%9fbSH1pLG^={Fx@E9-v;gC6$a~0@rzF? z!fkQ!T6nFCSGH?hb0B-QYZk)mwD4>Y#v=UzP~mKRSRqgda63Q5Z-m?UR#++`dD1C% zd)OodItFT<%Si4O(%~7%i2K4+M;qwW!jB+|aYxu^2#(bM1OnfmWX9+kK+@@dgU34o ziu8%}jzXAMO&v^fBXB0jE>-z>zn)FCYjH#k$B#alq`s(2)h12Pq5lc8k{CEeWv{6) z>UJiXODgP-df`Av*J)%IFhB>Z3to>aVibw$wfIV_BCiJ7HI71;F}J+0MMW6MO*AXP z?d0!2{{kIf?~UZQ{P=M`*D$;tkHgJjxc#-d+j^}Y(ZeR|>0-V~$D8+Yc&dxEzYWjt z(dMN)zM2_)>5ensrR{6=DBEjwDC%k>AslUfWDzBlp;fkxR>LvgEE0M0I=mZZ6U4sI z1oHW6v9Qfc^8rerh+qkXUb}O&UabTc5bALl8ousB&uu2*ZKmfz9C%~LyF>0I0`C?8 z6~YUia?9{rj{`4~3Hx!l(9CPKgl76p9gC?WOGR8v9Xm`f_{uHD?~6F_R&lx|3I8-p zl;NhNIqyJu>jmKorD`EzH4a1Dw6&QYzA46gW=jRUOq7{t%#PZc3Pb$ROK)nS3cOOa zqOiH5fx_`}XgaF3J3Fm5Pf?HLoxMw(QHoX6n?j4w|Nr<R5&JeTt>t=oPDEeytHeoq zwt9Ex<L?^0uQQ^27*usvmdmKbi!^s_Tv}>Qj^STu9M|{;uE5AHFh(rAJR^s%<A&e| zZw!xZO)^FlT$+)QffsTa#`Gj3)2H}Gw$B)mWyEh!Erj3<#7O14tyB;L8FdMcOA%m< zD`0rWrGt$dw{CZELt?C6Upiy*+-Xav=gpi7$eTTT)@*rmg$+b&*VkxOxuvzGTGg!e zwc485Yd6+t<_oXjyC3zQX4&d1r>v>fg6ihx&6&H@KRJK;yxDmd_X?{jx29J6SHHme zYH#v_xt~0H>bx0wGv`Wm1dGboT<Wz^$M;*oxO4K0^OnxYpMzSRGL5gJ+cj(TCaQfi z3dWu}d1n4hzqSV3ajw4#h8WgMt>8N{PBS4kI?B@E7O$Ls3T2C#ROck9bk4jfQ}X7_ zvAs1DEup3y+Jsdp3Kq1J_hW0;U!|IX+GLfAq@5rfxvmMdfjRjzr_P%`8KVXEY0dNv z<H?<?Rsg`*#E0DZ@?;f&udsUU^0JzmwbeDled<lWS`(0(mNM2i3>#IJmDZFE_g#)C z$=1SwQ5j3ej#`GExV+p4ONxpb*sb_d$7iIcjJ`sf%v&Hah)IFBgF%eP)!o@s@1^%K zV)VXxtlrOv(+4;b^g%jrg}>+>8i%q@-g!-q%0{Gr>YZ1ccJQ@-d({usz|o%<Yq|^* zg1_daLet_ALODDlD%W0)Ji4Jc+&I2WZX{&dr)$6LSQjUCBL-Q^J&s6R<!Cu8-CP9V zkt^s*Fkg2VOx|SR(NA6Mn6J4wtT^y$KSxGS(<9E}tpsiP$@(5L^aERf<>mc@J>6*B ztDOdu=`uZ*gSZ}t6$f5z5h~nAk2s6B4zyk0xt`|pDfQtNEU2#mek%^V+GgnEoss1% z-VOxV^>G@Wa@5CuW95%GJ60TcwVlw%c`VCW-rEph*B1?aEFbkn;jr|52Y$w3SElO+ zp|W=8jr=1x>~i%eB<sL(<ncI$1E;FW3O^6I2^ImQ4BanqSbowYF)uKV9&yYc-Ag#^ z<%qG>3r=CM9B4+twhXVvJr#aUk2otWqOEwUl2Z`a2jO@<rS!4hnWoh@;MG!)0H0(m zXX&$O3+>_mig!&YuFYAsVg~VDlihWEcf>Oua{tV`qZmYIeVC3l9&#Pu4e>059N#rr z;}*vZzAIAia@q{dZQcg8;ZPHrHy(p!4hWA`Oo4OntQR8R5jt?L2NTgzI9@S4U65BR z(w7QO7c3H7B3LH4TChfNn_z<==Lx8f{fl^~;Jt!J1s@aqso=AMY|o5$Lhwz&cLaYg z=tO-{u7{v{*8`dp2n@eeP`%qhGnh#lIGc#ZyIA;YP8{@=LaVuO(0mG^+)fEs?|4Ap zEA&x8Yp+E$#|{2l67Tnd0g-DJ8rg3%hlf+5Xttr?oFDS39&do7Bs^7+V*ur{1UX%x z$|bl+@CrfBACRxk7qCv~ErK@+-YmFBaIYXA(HQ?8!3PC@AjnAw@}ClXQSfEKlY(yx zz9)D_Fd+D`Ag3v)FHDegIi$M@_7&_e$e9fCIYU8I??iy=od_^j!lwxq395G@;H!23 zERpb4f~q|roKqvzyH!xVBLS`6kpMYYLcVGrK-C|^%`t)DbVQC!#6Jq+a;Q1FhUQ?C z$BiH7v$-#wV;z$r@?Sp3%6)F&I?S=&aCmCDqsg&fZ<y1dH{G0*(~y1cr}d7#dP5WD zSbxkpRwrI|?$z#^^-)tq#QD$Ds|W1Bx2Enm+H_P;=M3vfO;6<vYuN6~G-sosW>_8j zrj=`Fr+I&jS<=!I6B~T3F@;w*jKnPDkMZk_=Da0zO=xZ4ENdd>OU)Tpx0+$)tgAL^ zvYKP<b>d;z=zSZ<!>x|Pi8vm{jA}d%ed5Edu7lq{>Vw~{=1lV*hU`Je9)#?{g9=Sq zN>c9OR`<b#RvqX8?KoHz^Du0msI4BpsDqq=r5tB&IS2dZtIj*Z_I)06ORl!phxu21 zTzabm^RExd{OcpRoP9-@ntes6_K3{Bra+ETmpAM@%5q;9=RNDkob$O?4Vit__i^sE z0dueAYVOstFZ^3VD{R#4^R7)eae4+C+3(ajZ2N<GSM?4|cTWMs*vGbL*<Q7Rz!W(` zEZZyfI@B4av^&FBAqM7M)w?=(_~noe&ASezB4_xI3A+0P!R>sD5u8pRRl1#AZxfn# zeFGw}jlu6$7Y0GhyH>-0t&5}QHLlr^z1qbq3g%re1!2v*rXk2Mt+aykt~<?nSH8<L zZVt<b1m|4~NZul(!!wc*zY&^u{Wj7uzA5vrAAqpUyY2@`XU@Cw-MBgLN*$&(k(zg% z0kX>_lvDGroUiV}!PcC2eGa~;i6nDWmYSyH8UtzysW_z2b@|%Wn0h72OHWrdxvIz| zBr)--2u@;k8O)e@91)*VJk0eLehBJyP+$Lf*C<T&iaAp!^RDg?_hRN<dGcT$!4na5 zyfk9kl|XjKY1b$vP(<MEJSGhZrmE1KB5s<*He*30yu2V{{?*Y;53hnCT+Q_Gl82dA zt|FO>175xmr#jpGE43Bl2!p8(zOcCrUMj+LEMYGWYdV&GUOmC0N?xGgwNy%c@Rei6 zSx|>o<**7I#(Cy}Xvd~ws=vU2j}=nid>k|=u^cr}gp;>cBJC$~O@2x<(VS)tPS~o6 zT;2@bqVl;gq#`tXOBTazv$Ix>@@urLfE_qsg+owx5U5*tl{1S+FRziNW98bNomO{V z|1J}`siVKbMDD~(jJ`hFaF`#D!Y?cedigLa>#ii1v7*sPa<80eyrg9f%)p=VUt5x3 zs$D=c1sE%Qu%*CATM6#M)C~L?-C)(=Ga{2{_wWHE)3U(xDIU1XFpryRv?Bag-Ito9 z<fuc*?b26T)4hn$>2$BRAiZDurGt!Twk%YWy}?<<;LKo~Szk`*O@?KRZPwQ`WU$Ts z2B$*X&M^M_PWOKK1g>g6q3PFP4RH=NSkY}~g@eH|-3w7=5z*=7F4hqSXMaP<eDN%A zySZIPFUNjBKE?=IOLRKRYZ|QBZ6W^eo$KXj*Xdj@FN6Qxxn7);ue+s!HD_X7LNe@^ z3iz!{V?6x4kXZ9n?d7bC%uvYi`u$~cykle>d%6cKB?z~!U(-Ympd4?YFJ`Xy!)}_g zXW%6pkBUkk^EDTTEg9{%$mnT=S<d3EM1bWdkKYUU+!kPYd2<p$0dWuX!2BI5jp;Hy zmV>w+hZP52?WZWXj~;OrZyjj6zLT~&NDp|Hz6SWMI8^Z)=nKuAg?Kx_v+MiNrjL)Z zmcIS)+w}#Y?@?QT<-M()zE<dC`B+}wBwG5u13%-W;Gnw~LR>RtIr4ZM5wzsom|tTZ zSk96=h5($ZDl2?5<Su5e_Yuh1?|7L%x|eX+>xBhi{jnT4g~4*583o%iyxJe2?_%b9 zxzFpx%=L1AQ_f3Sj^*vl*~9<eTyJQum*ab9bG_<n9$NWto9o4(4Tt9a-<s=Xo8sJP zo?w9>7m+Z0iC~%FYQY-8ZG!B_l>c{`>#f82V0rkMW1sWgE%aXDe@F1Jgg+_x3&CFt zo+d)yM?!NdhI;AjbAcSoNV5+UV+7R`5olg-7@i_HR*+)|!)FK<2`&^|EVx`yP4z&Y za~h0ywcvKa8wAz)1b?^C2Lula-Y@t)K{b~T`A3C*PVi@fuM3_O{H@@7g8wD>N5Rho z|1N0F0Y|X@kPhcrh(5t#f_&^{I453+Qv}=3{c|RU{AGfbf@=i%=u7@~!J7nc75tW< znrDOD-9oE&0s8ww9}(p24)r}J$oU=8Ck0hI0sX$vY8^ai?qEbY)!)NI?E}@K!g)O) z->SEsr0sLb<5S1`Z0^hFk}rP0QSOxv*U4Nm*X!!L3d%93yep?c-+LYAke|oCqgrEA zUiP`C>Sukl4?BZ>o*Hq^;9PRr%|~z0p4DU~Su2R^t7~c|dAH_lGG~%sL0rdv2iEHz z!(4JDR_t=^>*jLIAV+x5It}bu8hxxhwgg%yM4WXx%yqlnN<u4kHOIDGZB)LRO^!M7 zOUxucjpLWtZwqk`|FXqV*RAhU*b`2lxVy#hK!^VQquW|EPjveBm~FXNJ#58TRL-;@ z?@{s|NXJqdva#D^xTl+`x98z)x!wAjx?Qo*9P5DQ@Wi0zM4!^Eje3={%lki%*^{g7 zi|6d}c&_ffNv-bH&*}OjxyO!-=i1(Wdgd;MMn95UeWb77ky!*e*2CrHkK`&&N@V{> za<xRvF7Ml_*7oZAIlJ75+2xW$nlJlkaCX^;*=5|%n71^tuU9tCW_L8W_6~E)oMXcL z?^R%7P49aUGFsR)tu?)BCdV1}DZ`xMdnx7)`ynZJco`rxp}f!(d5EC9)jPA`wq3(i zJST5d)r9h^pk1$l(p=g2-RkNN?-tiJ@L%iVkbI467BY6VYdgX*p_~N*6Uujj3V#u7 zZ12T^%<X&!zgWn-C2R;nJ;@ZS4=&`LN^)CpAuq45#`dt^0~}n)%Sm$Mx-gDVk%*1^ zzC4{7qhl%P^pD~3j)kP|r<cRE_X#qla$PS+XLBKMKGkyeJ&Gf@UO<v#qgu*)8L249 zcjYjxPoIQeN7MAEdl010AXN@u6vu3R4oSXw;zC|UJV-*#H7k;*$6OLTm${|E7%GC- zpJ-Ns+sWU5lZCt(9qkKw@t|=r6UwRywwqAq{j;p&CA;H<a*`5I`}I5^0!7XAQ5@5n zU@ON9`5GLU7baYTLoMm0r`{yo&GfLZfa7hZ=P(Y$m;_xbmA(Zb)x0%Rj-7zxJmy#l z%#lM|=Oj{^bHYUfomND$z)@})ers`Hl9|A~V5->461Fg^M+k4}Txg?QFHHXd97u{_ zW^XaGH(zCMF#?riMp$>8oF=k1+D%SV_W!W=C4f~GSO53E`|{o+JDWrd@In9qAsZ1{ zq6CsWA#7naEJ|1nh>9$N5+ERIuv!z=um&w!6&DEB&su9yajn>Z*5ZQN*1CZ358@KR z*8lG}_sn}Y&mdUs_f^|T=Kbc(oH;Xh?%cWe&Y3y)HF(n)O9933&dF&?Ad6l_T&@Zz zByd7mO+GWQ#f}dhUTE?;B%)$aC_S`LnOV20>;))DxkUW(%CO&<R3La!+f?WxC%qEc zT|bc-XZuT{IG{7ILiC)W*ABOCla#F24d-Ls@LcO$-?HpJ{j5QLt5*uw^%l@rkd>Xq zmBR%ER&*iO{c=UHm5qhNS;+<cF0-<ySt)+<VLfqle!X-n=~NTS<C4LM1;l<VAm)s6 zd#h}TJ)>NIu8~`#gHxOJrj})9(K)rOCY4V+yJ|O;z3w<Tv-;l^$KPRYIXLP6ZIj3$ zGdsca_svDa-#&TV(A+gU#DC6ovRVUqs<p!$m;Y7s$!zBT&L`V<4K%ZI=i=7~kGVhP zG6gkgK0Yuq%=bJx^6^}0r*Gl?5#fkd>vG-p4NS4>v|Lb)bY|ekjqxovB=X*j*Tvxc z;7EjZ9t4f|P|<f85U10of!1Lr?Lme74V23#3}?C9ni@tC_*gF6fyY6d2k(^k9uC}( zIPG-WHHdJ^<6b{LT2USz^Mdlq5Y~ARG~Dv8%rKo!TaK_(UNeV0zRv3Uh0iB9gFHTc zXs3f~5#f~A4)S<>tY0j=mUlP8%##LBcORIz*IYZM(eD)0d{LOMWgBRx`NHRuOTfoB z3hl^8_cFW=lYSI@Js1$j`q8}z?>vq!j&{K*3?2u%QLrx~Xw*X9n+%B4Wf2{RXWWXD zb|&-5kE4LDS{P8vb4012GycEx$)WjVju{QiC)>|24b3NW(1zo<^RHMtJc4p#WZ4OD zqR_>H*9vlx3i)__5`QMRQgFTCF9jbE+$H#H!QTl!Blvs4{eo``ektffd$GJ0f@--N zXfCs3eE2-##f-;=qSlTgor~p27YV<bM+AMH#4nckGNCz!kYBA8MgDswUD?k-JjZC3 ztJdOy{)6zpEy$S{rmM*pq|<T!kJmAgV;GTRBr!oyz2|`DeTMNIUx?pz9+~$6=9?kN zsSMKU`~lVZ19CiOe1+f|!7YM!3T_vCNbnIsj&IEWq~J4xuL>Rz44+3nEb*MJVgB%W zWX`TIzKI}a8WpYA5VsS$qhP9FH^K1!kT3B=1oc|tF+vv$&J>(0xIj>^9ll*?)jv=_ z>V;TOPU;XJ6nsSRF~RV8<(DOXzu@bF2L)BXfxKfve<{dWDwd<{3IQ8q@FuOs1-X}! zrX%Y9c3kLY#JEs@OYNDN=9qT&pKji{XW9iBHvj3@FyG1>5*}mtjO4TvVHHOg=g~sM zZhS?d^cVe>?cl6)lj2pFeSWI6Z`lsaAvZc{emUXLdc!PVT$9^6h2OiH<*qf2n@`;R z#l6K>@EceDWhZ`d{H;2l`UV!hb(MD0i93!RMoP3wdA`mU|9o9^TEww<Ga6sA@WCF0 z(Pene^E=~;&nmv@#4k_WUfjQUG(uZz*A_pG)yIWJi2dUE;@4DMRndW>`1JUU_-<y_ z1vROG*y85JH=elr#Ltmy6hd2T*GMkJe*S#%A649eqAf)+)6bgDocMkQ7Pa1V;;xhX zu#S1KD(kM#SXTUwJd}0c=f79EesP@KX4cb1Hx==_7ccC)Fkr5aG#|iBb9wIBwU&`q zWA+$T=k7he&V3&2NSHkG{-FK42JA%qIgDR+;*R5H55}Hd(<v}1fH~(YhS#|VE=TQh zuo5~4^h~x}QA{h>F?aM$C$=3kd!XIotz&NA-}cd-giF3mVXtXcwqVq`bDO-L%^~>V zg|`*n=`ioiXGcsEt6|0!L2Ex2O<h@f-kIZtwGa6$ZA&7L$!a3F;7TN7HhLSu7jc4J z+~?)wacDOBYDT)f>M}8XG`fHV&1x`kyT{rTKLsCQx`&w`K#oVvqk4uTn>H)KdFVwR zUXUf83h*xU{2Yv!jZO#Q9%J$r<(-2cw>B%mi>8s!y(S_HnIdPBEo4@LmWJFRvl2PX zxIW@*fSQf|3$j36Kz&%$i}-QPaG8^kXe|K|eKjoPB8CwNXXtQDD`uam;xWO@LB-5b z$Afq^#t_Zrc=(TdfuURmd9R4;L^6+LA;~|G4BQTqBYphMsI1wamAi}Ntt1DK<TGLX z0Q{KwBpai|_!%sAAP=5*(fD>uRU}VId@9MIOx;P6)7v)5vm4KA-7H}0F_JxlB#nN= zm$2NClMp$K<jqVSMUqQ4;_)bJn4@|2Xl1E!e+cLfg3eq*gG^00*ZMjGV-bKA7o#cf zkIiO-qQNA|6hNQ|-Yol2XQH_zl_?*Aro8E?OqYc+aY}OsGgY=CFH2gaGi_of-pN!Z znmm${iWmZ?xpBD8^oGuKl$m&YQknSOC+zUzF$LfYnCS^sN)l33)iM!i%KMGVFhOO& z^#3i8a~3<G&&}U|2Tg!7+YxbY^nj-RC>jW%B@ZqVSD)LU9S^Q2S48Z$*#C&DZ~p^b z$7v3vaVs;u>2PzDr!Z=aDx^t-k*+?t(KHINXr)Y{n>#ttR;Mrx!rp~>Y(G)mt&K$; z5ez!^Bxn=}ccYzcjRHgJDpVgw2=ca5yu%2ZcUV=3cUX`S0Y1@TTAn~jlpFe10bh+( zuQNtvAn_u2d=r2$8s0MIl{mzX0<&^_VXcOTdVsn5sa)d;ye=%G#F>j7Te&9qeHb40 zJeZ45LI@J3s9X!2xj2X@myKUuQ22I5@VYXF6(MFhyvvy93m`XZ>-e%lHj;ATp&}uS zgm*!UL1Zq<LIzgWvHm<8DXc7Hx``s6Ps++slAT_n(r*O~srAx}5Qjnu*Q($!g3Y<W zhoEu=_?-lg8WYqrva!HTTx>@Z*224t1wr{;KyOl29)pl_jADn0fEp&FeHDY*@aRSa zHa3>+t8>&H8OhbHWfg<Hl$-)W5xiy0GOHM{&%`WO6|ySF0@<;`+KFu;jcYekiSXMM zU_+ykb$g>lgUQT!lS;mXAWaiY<~inV5e@6sk)gPG!MLy{l(q^c^E`2sMI{L8ZNexC zo&Y8nsbtlY?BsdDWF|RI-<=?FBI+gEaiNpXEOy+y;0X&mpZD2fg2@eZK}O}P)*eA% zuSVOI5ZPG~UH~D=G5s=lN3Tyrf=eX^+aY+*W^{M>T=?biY<IppJq7<B{D<(Lz<&;} z-FM~ZdE$BD`CALW2mU2^^=^f#YIml5`YiGEux($3=Up%ovSJ<b&XlhRd3jDKizkD! z-i4>EvmEk@5O*eg`fTyk@Ko?I;9B@w;C~LU-I?-{|2{{)9Gpy-@daYf#QYF+Q})09 zlF0w9J)+>I^f~h;g^UkPzIyi536rnPoG@qll~YoR*<FK5MLcJSQl{8W!H9))j84xQ zCO=qmWlB;ix1iwT7|r}?RwL|EDRjH6zgvZF(^^+D7vYaAKf;?!3e!_Ty<??-+EFq$ zH9a-e8l0k-vlMf_gE>FhW@gqMCDW3U@l}$+{xe|SSu(8+*lJXv50|7QC8dLWPLb<M zSlmad2AfNqMLdimtRa3VHrsSSrL5s8)~C1+vJTZ&KI*W)B*mJal3_>i2wp%0zD6=1 zpAu;kiUnJ8t4>K>lT=10U%nOZZ*Q#@rDsq@R!_w#`(|cOoilGHzirVkU422KAA)@| zjH~C(P3KPrQ!<=3mA*v<8amVeB9lvM!_U95;UzR(di{@#gf_qgwZY$_3)SjrX~>Xr zTK3&cm@sU_D4wCP50Nya%lz&}mV)|Hij(dU{7T7p+<>EvD*;DLymA&kt7O1FA-dRr zs~kG_AEm`5d+zj9dQ*<y4d_h=#CF6$_D}D_(H-K)t~LGl`d&ywdQ(1|IBvm8Z%SLl z+Uc}@h>&S@m2^)6p2Yd442sjAZg@U04u{uy5HzkuB45h2)4?f-&|#)+@!)xaLd5B` zBGArq51eD*lgM_tEKip^2VtEDK_foOF#HUN(`i?OcFL>tgBNi;j!1Yd?<RzG9t4dv z$lKwF(7|O$bIQBN4?f(*DUVOST3#i>IuC-zyGZ2vO6_#oYD75Y6(%>VH{Aw4=HV+4 z-MN@!{zaHPrauJl<a-f%#A}#A9Mk9@g~#cfCDBIjCJpON`Fu-$?G}KqhbDkLUb^Su zoySpx<IwGl8H`}NpgJr!=ypKf>p?117Rz)To-wEejvbKz==E%Ql+QAq@=DN8J0VUx zXWexi(HZ|k=uLTyA*Wl#j``oPxy1g*_OM<3+MJ2arL&QdZNl-rp58R{&{JP)s)uak z!KIAZV!QuSC&zP4Y%WOIKZL!d$s&)Pmh$Hdax#JRt%9orHwfM#c#j~j5%P0gGVy7_ z7X<$x_(ws`;gavqf*%TgDj0`0V|r`Bu7Vc|4iKCpxK!{~BF4*d!PP``=q-|dkI)YY z{XarKF7&fP|6XWX9AW-9gnm!xkAyxhbe+)35(@aexX@UCrRxHHme778==MT$xj5-e zq1CqpK&$sS<R2{Qv~5Cp<Avt>4$@Z$Jxl28g}#A^cHksB`^j2~uM*rQ@ec_7C=vXR zOZ@L8{#8NFU{lUtgg!z9|1pW@fWhNvBp6Eszy2mbThid~Ao1r5-$jBs60g4rpuPnF z{&5mNP57=BRNn-Ee9m{XTsq3<C_&_XgUIWbh#^d&n(qMJMd&oa3j})$<_QiEEEMFt z0p(2=yj+m?1IBaGinv^`Oz?I=bsZu7E}`!g+#&d|;BN$fC-}S|?;Vt{t{>n#LccF~ zNbs28XM+C_bg`czAC)G==7NcWenGWX0qN%nt=24n?jf{Vs{neS(8C4Q^b_JQ6?&rJ zbipeHO9V>=Zx+-#Ybw52KUx|ms_Pecr_j{nFkWo~0sdC#Ck3h4VY<40fd_^DvmiA+ zO#e*qD?#2M8LzHipt52Fq$-H<Z3LA)B+%-cAVAs?VtRMM3kCZMQg_7kQG!$+kuDaT zBRF4hvEVYnTLgbDsJ@Yd{F{YV*E8sQgx)FmD?w_fSf2U@2vA+mz=IP1o*=bP<fEdB z_?4i=>kPE|_6Ja1*T8cmzTWkXcq*^R-%F4>EYd>+sl_5aNl<<B1GM_)2T)ztz@?I2 zCU~nL)mzNJRq&UB+XdA(K9H`y@d12R;$IY`%8U8m7Nq8j^e2MUeUbJE#t1eRqy~)X z?FFd_Bi%!=k6@1AK*6DcRF#o`vY@)(dqd+DE?1R~WQ9J#@Nun~#D|Y>eu-}<sK>cX zp?e5s31$oC3icNqBv>FgQm{yHieRzeOu<=#a|IU&mI#&!-YQrwxLUAMaFgIx!EJ&& z1RoZBRPb@Z-GX}rpBH>d@POc3g6|5xC-|Y@$AYzjp9=E9j_1!U=o5?<j2CPsm>{Uf zS-#IPe-}YMhm#H;XR{<eTQFC!KyajBq2PGIB0(x=D7RQ}rr-j>5<xu<FBiH@u)*gk z$lEIE+XUT$&DcJ1q5ExW&+~iw9qx2%buLKjo@TS3eyz>|lmi#OR>#Y=IyG3SGo<*E z;$L8W&RSWgv(jFtQ|dcu)=fB6*=ShJ#6U&>dqmTQ)%gYvuk(%T9l%PJ$X8D^|1<|N zSdB6Wt5L?=w0n>*-Iw9(R<?_~M13{LgH)fS`UWMu8y*v$UzcL``1TUa9<T2kdgz5l zFXax&9h#d^YniDv{aa^!>FVA6OP5+p^U8@P$Iq>E^-jQA8pNN&_<e{od#G4-T<KrB z#$|lz8u-T(%|4@5!DLtqeEdsS_9I`q3e01!=(UNc#j)#3s}ju~@2izGzx}Y*JL}@V zxBU{f0rzp-SIz4-`OI$S;HN!KvO(`~SVuFEyrz#sfW`Z;b$&ktv6Yj1E!F2)ENvT* zuLl<QDO*FQk;ffz9x_{P`BR|A2)B1T6MPYBm%Go)jv880a|I|Yso763ed;0H=T`3s zZg(E5;dA!{s3kR@K#u1qI1SGT{OTn&Tn)F#!{`4J&m!<H^sE63mekA!fh9Fhfbw35 zAGfv`$Y&=ksaX-hhn~nw$QH5~IDuryVj!O*-M2+Vf>SN2Sw#Bw2o48Pe4ljnFwHB0 z)-8y!m(=u75%!WAI~;R2v-eW*&@?qc5oSM=$3sWe5S{rn;^W#dl*6DOp}6)Wb4d;& zSwk}KWsq##c)n$r7qfEfNuI~l{v`Q&7QYt(a{x)M0E)ky%=xTrZ<5!Nc_7IlB-2O^ zVx2eyG-eBT2SI0E#kZ6u*C49NRQxpUfyicy5aQdGFtd8I;LWQmz}%e7ufaEG1`{z& z%aN!uC4s^V6ieV!?hbf!k;;(GoXo&4?%0c6SPEAP!TH=TAkcy*&FALtfA%sIv{3jW z6umm-too}_TAX4v3OgQFqa>=<l^>pSEFB<lEr-1Vg&{H{!O81ayu%2ZcUV=3cNpS> zE&(B|A0SXt{S_$Dh|N_D<M#vJwu6Mv;jxxvu|w>5L@CD=D0Z&V%=H&|sQL+1He1HE z&RnArrCdCI*;lc0fw_*_K>}}i*j($(bqS)C>xf@2l)z#YiNQC=?6=McT#8tC(FJ4) zJod;^?rZQ&!CDIj+0n7#mjFaDSZl$6U8ZBL1q)#lI@VhBM;^AjX^bRX3s3Wi+VNnD z;9bV-65?!ltluH9K8T(RP>!sZ!DE|m3DHi!CYa7+y9yp95$t4q;zS&4&!%<MjZu6> z#R>$%=kSeix>mcg+hupCBFPMhDv>Bq%8~mY@TXb2pvOk$=?;GpJkL4XWClDNgVij9 z-vqx6{%-jD;I;c_^RczqBDca<!aoTA7`%3;OV@3~7T}>a!D;0Z13n`1@ld-n<s-kd zJ^ln4Kar&Y+MMM-w>01xEDeZBvF4_vCRvgGi>yd43a|>Zpc6k~Qkj0!VygO2m{j{$ zFQ!iZWs|z{U5lrU0I^>&RWF{V5^N?rEKG>st1>*I1ifhb8xUj_rdSsg4gv4)%xOq} za&b!M3`MaDzg?Wtu5&wQVsa}*l?$uYI;Fk!_>z1~IG*YgN4DxWy}MG<_Z3w=w5k0X zGJttH9ldJuphDWYJ-I<mGAv02*AV=4EoXi=RlTg62)0X@WlXK2SG&f)Z6|Y3z_;vQ zuD2`zC*zw+d31!n_9vC(14ySfjoE-^-l6XgRp-a}F(eF<(eO^)ydSi796<ZUCNB5h z=(#E4W_Ovj!o?@|@2i*p30TMc_tMMvcibS|a`FN|N3_AY4u11kJDt`K5u!p+NvoUL z^Rj1w!c<34&pI4oorjFWP*AEPLI<aW@s&ad^)A|Jz9K|8%l!%k?gk&r^}+Kvh;!g| z9t4dxi1afcPN!WB+9~gGC<*!aN_mm+THZ|v>pTb=eISo7sM_h^GDJA#y##qXkVtvF zX0$v$?C3lQ8bgtZZ|~aa;A%uT<&~UcA0Oq#!fSck5N4h<c)HHe^V}ZReoTJ|-pTiC z=)<Uo(T-{KkHTYGcb1OtjKZkr(T+THFTv|D>0<C@G9ZriqkA6Sc^u1e9J-yUCDzB$ z7-5tfbekaW^&k~0i)A_v&*%XmeBf(=_$Kg_NBJz%DX$p)v=idAbJktQ5uNe>)yp?g z-zs98aBTmkUcLeAm`X4IzhfJ7JnBWg?sUPc1Q!bOnq>M4!F7UL1n&~OUvQToUqqOX zeV6#M;H!df2)--GmkjcKEO=b-Yr&RiJEnIM>><c;nDJ^}8n{?!HIEEh>+{qeWsZwX zN5{Tb_;v~XsL)Rd{hZMIgyvik%XwGmzX|>Sg#J|Me+X^i0wX`S*s(m$sgX_;nv+bV zIUUJ#rH@DbdXUEWqxA5=(ULxqi1ewFK3C#7qsaV9ZwLOL39a<+;NKwpcS-z%g4`;` za+LlZ`ROR1*FKTsEs^5@(J$Ce@I1j(!JdMg$Rz(D!2&_fR4{&=;8elsf(r!IJ3aVr z5c+1p^@5uPw+Y@O$g!F7RsRD%F7$4}KM1}esQMrH-WK|Af*%QfBKWyr1kWq@8wv9M zMY@^bIf88jI|}k;ntYtnB=!;HoF-|l$G%kPiGsy~GX>`hE)-lQc#|M!nJI6TASX#l z-y_JGP}08<RQ(+EvqG!>4O*=`0scke-xoY0cubH}rj!>UsQNkR#zL$94Z6M1T?Eqv zvjuYnIipH>ob4uFCOAp(8o_yjoO2~#xuA#V>0hYN>?r-9i(n7IEWvEST*3Z=;n%@P zi7ymX<1db*Na!hovjpb~hF>4062DxqTyV8urQjyPt%CZx*&+19f{zM@UpIRsUXA0Z z=SxB#5PVDUUBUMRKNS2}uvYL>K|Un#{BeTOiOrZE=hWw2(8Hn5JMG^4^LwNT|LOI4 zBQgHMol>8N-S?%oM(>bl^xm((^FGq3y`%K4Bj$_)N6hOt9WiE9!scrCqaQ?Gd-USS zO-EcU55PYMyQ?=JF+p3M55U8!Yg)$9NxiN)I<c20@Z1qsFX#Y`n~zw%umj)34t$rF zyYN%4s`2ltIAZ4Shp#wdjNK1E_vn=C=N+9oqvD8b#!6UueHvvxeZ;)J;)oTwAHMt1 zi(Ae*TGaA}Bd(TD!<MV;&A+IB4J37jq|T7k3zB-F2KjT2PKIO`B)i5!QvMAnY2OiR z1|(g7K1#unTn|YzZU9|z#ElZ%krhWGTJDEWJ6hBkM*w*q$n*5N;fTBQ(?=ped-M0h zcRM<nwH<37i;r*}i+A5}#Dg-tWBuv=41YJ*MUOz^7#Y7jw=}m;0(S2=>Q?g>j^zN_ z5BkxbD0xQjKz?9KAUn_qd-;Fyu{op3t}#2^neMdJ;3+?1M9x2&9clLZ>&Iqf^P1I1 z%$7Cq>8R1!fjd4nJHL9w)wvvPJNxL<y?mKyqh3DPlXdm_XrGZ>SS^~z<S#$S;rOAt zf!n+jX!_A1IrxV=d?IWQ`>N^T9(pJPF9rv6j)xFwxf#{HK*e~~CFzdf2xuiRp+Mc_ z+}_zt_eDHHF+T6rfRLhb5+mJSstF<cMaAMitTMQ{<|p(`GH&lTJbC!l8b;m&7I`+~ zx5TpqybC=XRiI(ypsc@1_6H=xgls3I1iwkP*#0INZ3DoBY#vL)H_15U!i20%x+1d> z4HL5J!lemWt~i1TS<V_qnSAUq`<omE=+KdsGtUQ8G@ljC0Sx*Pif&6XpCkvxXx_=q zfxHhaKq!U-yE&Mp@Kztgb%o{-l24KBhaYn&Nw!H0@0R8WjxoIds(lMKsf+{ILEeF5 zFJL~!7}GTv<Qj*Vj37Ch<P4T~$z_O~L2?vR3rVtBWBA5sj^R=AeuxI_4feQngne%Q z{yS*;`b67X!@1?WvDw7T_N?m{t#_U~K3=Bxo`3UOW;mo%XySNY!!>c!c-Te(;bSD* znmC5Y9HxnDt9XYIH1Dvg5N|zATua3}oIpw6)Wp>&hVgTexIq;)J|u}9U%7bv?uUm$ zEW}tCVl1@T>=)iw72_pjd{{}mB*e%ESLN7Ce-7_5%KO<dcF&c#obWiG@mar4pXGE) zta7GM@dF6~9oHEFE;)c&j_@A*S^N&#YFFgfU$;~rM6-jfgJPH^1zt8(GiV>cSRMeS z$R=tAIW|Bxfrn<nm{U*?3*&*FM#rTuYLug#lUB<l)#ltY+5Y)|yW8^{n@{yt&Ix?q zwylbxHG+FRaf!>UHurj}i4Z>Rq7i=&g%0+3&Pu_K%~&XO)Gkk}MZPsZpW*o_nVIRS zQ28vj#-v~i<=_nP4M?$CWQ8*XGSbsCu#<Cu-)e#Vl=X8n(Yh47Ds8zb=h;F78CGOU zD!zQCbB;=}J~IllZynz`V=;6%z8+Q-R5fe9RaGNf=gxdfWrLcS;7t5Kp>g?l*(Z4# zJ(S%of(P1n_{F0J=M5P-A#eEbp~JZk^?Plm49XSN%qg@mwx&esz|P33tWz07n|R-S z*Q7|8J$=E1=~tn%Ts3(ruaE{U&o-c~`RVSX^s6Dz{^3CQ!|Q6;%>Ug(shb&1tlYWy z^}(xQ7?`PILqD3Y3!)hIND}#+H!Qcr^9HQ*FsdC!_KVf%ZZqh#ejpp!QR;VA6TF*( zk57bneO2>itk-aOod-eV3KYaSC+&3F6h!DS(|SS3myQS>EJB*I+_Gl&aj;yL$KxQ* zf!BEuG`e99#Ls{@opv>7r@RFa#AhVR`!-$82$@q6alx{dg~=;($csjru3sg>x(<{v z8S?IQMCjmZq&e$X33)s|)-M)b%iD%9^Q6JkMIaLw^l8U5`iBHH-&)Ldo{6r;i^`vg zt|k|J&OXTc(LE3EJdUl7c4>+<eH`Js8k*2K6J1R+WK6>Wwty^jMJ12tk!9*@13@Df ziJce_$2MumIphDUs|o39IA(l9S7Sd6d~**ahio{GIX}c6%D$9?xhalO#1VpH1t$p3 z6yz8{zUu|c1aB8yE2!qq!N+Te{MCYw3O*sYNAN|#8o}2D4+?%HsOIn>uPNG$a@z`K z2&y@6#OIU7cvo-k8y#2eAwc>xrh`_Cu0hWe`g)PGT<8@-tGRCQR|?H>h53Ie^iHAG zgbU>D7Wx@UuMt#p-pKck(45lYaePWdJjZ9!N~Z(bhw+BA(&>P1A+(zF2CepLAzjUN zgQin+oPxO270v6G@qWQ}g8%Nim8Ybh&kF7p+$VTIkn<%xJ~fR6{C`4!E_g!FWcwmr z>sXozogf&lOX2(t`LhK%fkS$%AZKt$UnQve5$NlL=9CW8?-0CSaED;HuH;#Xe^GFs zpx*2EzR;@QK&~1G<i5#r=!hKqiN^$SOAnjt?s>s?nd|PJo|$R$pMI{ph&NF*v3<|# zi2bR}jjkWn#<ePT7YB;R6_@Y0zhBJS*i~f#*D*6HyY_FG>2{U5PMWnh`=ZO^)-9|# zSl6T6z3$-vtfOYbqHG$h%@)y)?DCVoyc<sX%$rX7F7FsvcG8!8QNUdFRf~687$>5R z<I7eXJtv}0?5%6I)dKBZwY=8Iy}8!NiKwzx#{?pfcJYa*PpzuGu>a~?{Z)<&@dxV? zwldaR6}dWJIQG`H+ZwgnsybNb-x{&$$rhvY5`LEzz&FXV8*TAyI#}0ntG8-tt<m>T zix+Zs^z;2LDX^^8=;x|@0oGgh=Zwnp{mvCIH$`oJq0Z-buYWV=e(+$9x%CUMe~X#- z=tjrl8@X5BzAvsSW=rg*-ezsWdkHb+v8$uEoK<T@jIN2PEPxf+r8vHpfj3*YP`evY znt5UFiKx$ipA);j8uF3@T>^b!T{fcDj4--n)ok90JXfG)be_+UC+E$aJmew&c>yzv z{O8tq0x^Nnb?${Xhj_mrFIwTNH*+ST_Pqmn;LI*A1;;xr(3Z6=18m1PbFxoFeeoEi zS%HB?X{|c7a%KH#pOMtRI$m3<O{)8#gkzNI0&epipvjrh9_YB?4ins$lGpUzf+S3E zQ*&pjZKm2z?Q=-5IG^m&c53^Rrw_AfJGH+e4`sXNFX68*qK+AS-Wvg-Y3~J$bbJ3q zFnu0MuxC^;-JY)UxrZTA&3=CZ+QWUZhKHSbiRahIwb-)>zl%Ikh%fQ1#_vK8C%7>C zy%Ypyzj;?vbMlsLverwLzjdWK7x6y#Kk$o>sjZ0^f!N6D%n~wROC_TfGGBWgc&+sj zXMt1gwRx9<Hbx`@qD<brV275Kq~p9Aop}{xL{ocd<}%n7anT)^kjJ9OkmPf&87RQ- zZ6x0ydGU2%=8aiCwZ=mEd>G5tH1kQu(qBu-11BLe2V@+FN^=lJnd4&Bv-e=8QM(X3 zo3TS!L7uz#=`eL<4rL_}%#dL0Wn@yZf3camVg6j0G9}n}GMQ9t<Kj^8%3u)f%Jm6N z*rq-=e}8Nf<h0cK%?WZBM)vS;v%w;(FhltrGS7;mKgC=+`z~#)64^^EW6`?^hMejh zMgieNq}vng4B2zFJh?&VM+o~i2;{(QH-R@I#1ia0g-8#&wg_R11cA(!v2aOMNc`|1 z9|C+*!R#=Bf>@Oiyt<TYg5U1&*wjMkpb8yND29ho&ygXiqg4+4@SbO98WGAghM8`$ zGpPfxYt83g<v8PQXHpw~-nKb|4G~Jdgk0RmU>OxVmM~z~YB-?_yvL|0@L<mi!vT0~ zL?K)T4@0tM6$3XZVy;=m0BdC#b3?{PSvZf&@p(LE;vu)h-j<;qqOr7h$+@%fHQIKw zvHv5bqiLMgw2J97;r-v_QLGD%4#H_4vTYL=7ZDtv8*ImRaXKe`9BDuPuer^cJaOjK zkS)eDn2g8Q-0aEtq}EnrzctIB)VdwFTQo|sqVlbIek;n)S@h%-YrNm;<WKFAo{`!m zwe5My9j!ROb*0~m%u-zVa$A-a$65U(YrY@z`uOl$YJ2=7QNlcbTS!cA#iVHN<>2r2 z{=D{M5S@xrS>Akqhd!D3P494FS5E!6^S8q`538de+JKQM*60-Lkg;sYMSWYP_fBnV z&B?c7{P@jIV???&Cri;O?UWrwKV!RsZGK_fZmqhs2Lts3$N<ZdnBQM3mJ_jxzkB9{ zxyGF0>C@(h_CSP9RI6qU%$_)Tu3=9mf7`UT;}nE!EF#p6))q7CZ{|>&2mVE-6mzk) z>*67!{5k&2lnYY2`7_eeGtx8CF7S7pJ$2^PiF2lQ@{hv|dg|0GCrzC)W$Ki!nG-JP zI%zg0tBd`!r(QdK&h)FV@~8LA?3Q-^rN-Q==Z;WKB)boCdX3K+JYv8I`**^KsdMMe zl9=2P1rr8MpEQ2N)$?Xgo;v>GoE)tPnh@-s-^YaFHQl@6gazmR>zTaNn=*o$fQDw` zPiHq#v<blyzGdIWH}`3%Jtopfr~J^I!)78YDC#7w2?!PZquimv+nsZN2H(rI<A~dU z?Zmv;B5?fkwiERw_oJWr$I^7%8tr#rK)pFq()JzAFp>}^pPsX{-%H71JEqZ{3(x1{ z)A4lyPk6b`yQ98`_7^^Ya(+~(R96Zyy4+lZW$H%pm16Ri^FC*R;wwGnv0fwLbshwb ztw`X!hITq_8X|O<X&WGfYkjrTX_FA)EVrz=VPs3WEKip^4`H2$GWKJ>!Ows=opudq zr@ZGeaU7309!Dg+mRE+b&V!)wiOgRxOsCV9BkYuSz#)&<jh45;kq1FFFZzWR;z(PI zuv6ZLkjLX>{rH5e<=u@iv!}t+eThtWhqWKmcfvdQs!%Dmfp$!ze+(XdYL<?Vk7;<` z|7GFVe1pK(LlZ#OkM2cy=W*0J+67%FcpQxp)_oa4V>#r#$$&W4jgIIzJj0Kt?K7G8 zFF;{kl?3}o%X37jpfmo5nD<9FbvWHBcGUld?ZgBW#eQu&ws^>$iS0x^ZgI@0Kku)H z=9I}s*v!99VM!kNA7bvm59Odw3=$kB$oF!_PZngyCCzbwxJ+<`;5xxAf_DktFStvP z*8%fCFUbB*`c=U<1m6{WU+`nW<APrcMxp(fzk}fUg1Let1t$v5Bw{R_B{-Le3u&>W zmkE8F(CdWetPjh%Tj=dV|4QgRf-gw?D?%R-nzI`$=L4bDTtDRfL*m2tZ*;`P$nr9X z$fx#afG(8y$%1HxdUL%PeiY5V$>U@{B_;}X6-*c8*uwNI!GK`CASaBNeyQLT!D2z` zd6_;(@Or_;g0~4)2v!Pi61+?BUcpBN9~XRDkaK`6hjUUyr4s_aC-jGcdd^?<6QrM% zc-3D(a}taB`L0epTd<X2J3+ift8|Wm<jWM~tQTq8TOrodErI6D7vrZ1UMYBupwcZN zUG+C0rzgp;bWFfCLa!IxD!5HhE!YL0(lr4ellUhD)if#MRsRF3eg}MC($$nF=t%Y- zpc+Ty-b<Q}$PtyO+mZ7q_14L!r=|CBC=X6&_o`=FTH2ZF4h$C7fbPIu+=_OuN?)mS zm*3y-sbg`j?ApYoIs7)=*2t6Ai3x``L>aaFOS1z50|l^lg}LxS4^^i`w>W8jb=FDq z^QdEApMP$2;Dqb*ZPkNLxW4inkMl$ykBjbJ9e3i^uU<Oj3BW4W72y7&8MwOyE<WM< zg52KYabEKFsqS9Qnf7N7c>}&Qe7kL?uNw?))~+eNZ5PTbL3!hc9%?b@iR#qo2dWdL zRLX09()^4iQW{I7G)m7q;rfiyBaX*K*tO_d{p_LWK%Z*VWacx6ym_je@jiU-ZeoqI zjFjl#Roi7yK95e9LAfl0a(P@VljX2XyPQ7NU8|ov6n*hChdg;Grvyhb9<mp<3b6J# zimWH9A@K_yLF;JNdt-ID)ILf=9&)klrYFtgzBE@B%gQ<7I?l4J<8fB^>T{}}M!QBF z^I6a)%-oDs_`i=jko$UW%UUb4M@^49R}}Uq^oobxXG9?TgsV2P*2Jt|oY4s>IlIR1 zB!}6X=}grr=hWl|`Uf&$@ry^zqvMhJK|fmODsc7IvF>9~1RRfZ-&^O(hAG!Ze^q)4 ztj3Rn9k3+Y0ecA#N4ON=+-4-M5wksllM&zz!s(!=SjcO}^xckV>;Lh3(ssb|kzkEv zs<s2hVaZZ^l(ZeNY2;Cw4s8c)KX_0*76Ls5H%f){6c19o+uPhOk&A_(rx>py-EC9` z_tl6D=_zOx%<xozV~K~)y^B5j@w>>=3GpSKYWyzra7=}sf?Kt<p5h}gS+*T8?+PSC zXE6>C)Kjdm^%OTVOUNeJeI!FR!Dce!Z6Q6yHqy6?o?<o#GdHNG7>%rS<`Zc6XzqG8 z^BD9aE}GZ98Q|>yAd-9@H!o&=ZX!u_t=aztF#i&yt*20miB~|zF2|2Kka~(n@Uhg) zn1ilFBv%8(Q72#yrYLiGEY~HNLzp(3tW!x2{RF=}2ezI<t017KP{Ek#S82t>%U}Yj zl@oua2tGBLBiVm=UymIfB&nj0RmG~*D@YavQ(qx@FLIcpn3uNlV|nB@ITU1LP{!}T z)`XvLG;d_3n|whQ6*L-mMEI}4^qZI-tI}2QDu^%|7vQG}Wh)uCkY^Q0TaF@|u+2>s zG#Zy9OtYpo`^{wMG23DlNn@l&W8SK1-qhwRBcEyvRlFkIyo)q`7eDKRd_N;!0137{ zMNTEDs-Vcl%%(omXN$gvS=I%!DDpn?pr7u6;AT9#|517$8am@vizZG5kT!pI3Ox|7 zJnDhiZrY_FfUOgR;YhYsKMavMY)=ITIVd3rns-<gLmc>!Kwvfs8CIoBAoATB3Lt`J z2z_mj42g<i1VM`)p+wu&7(pI7KHRQ_huWzIr=06(5F~sBZy5^~J8~5=2OS@U?ObD+ zi&rRiD5wh+)x{14XD&YPD%TFbuPWBD1RnP3HcBuHug3_Cvao>0pz74dIF?H(Oo29t z!MEW}V=N(7Rpa{5MhV@<4xLXagmDyvnKt|?w;aD^@X&|Z5m<$Xzz{o%z_B;pSm0W% z%#U4T&<I&*Uk8~-D(3M7VK(YBGnVgcO|fgLUdn8vL+~VDCD~Cq@-Kk*z#<!27%qiJ z5@98LQ)8AGM3Wkkj|orMiPxU8911Ui_dw6ZOboLX&;kSzE~eGOgg*ralsxy8!ZX3p zUkMvUU|IOU9e!=s1Hge)tj^iVvseS=NWTpKt?k509))+|ql4Np<3HPA`;iyNbm|5x z1;a|4so_?6+fF=ntMF#<AUv9wPzUdVMh2i9kBEKHi!-83+}Y9gX<>i$q94>ts6~h8 z)#?~sI0wQgwB0v5h8D=d0oMtfTmABf!-IAs5h#S`#28OR3It}rFNfz#y>{do4^JMp zA9=b9&#ewV@=SyO3;c)hhv1LGleZWAAowBh1@I%`nRhL`cI+9Y@SM<KEB8ZM0RBPv zY6l;A*ox#~S>(wVo<|&f<l(7w)}<Eor|>7>nJ2tXg@~h!ryO!#Lzpjx@4@q2aG4An z{~z#?XwP`~Ch*PR`P|8g2rkJ<fxige>74Da7C|bzjz8+1S(BAISliz_19kB8PzN_j zv95+vHa^9g4Mna}1xFTIqw}rQl+?^NNnHmecWKuxHN(1QZmKmq1t025%}7nRCT|&< z+ATFHsa0xfYFb*i)Xs=ayRc1an^bG^v~H>0(%Q7uNg3FF#XN2Bt-G$NR&h$dPMN*a zoKmxbQkPky{nk51e&31h7j^5@eo^~<?KgLZO1ZtYUAAb2thzz_CyLyELSd^KDeTL5 zr_kC4HwfwGHBP6;ZBPyQ{fzESm;fbpx}kud@B8=B_6GHrVOsR>yWQ)W^z)(k#Ys-@ ze&!0`2@{6rjWE>auVB6YtKc>I_QJ?Faq7fL(+x8X!HArzXV0CwpzGjFeAnJPxREQ; z)5P7(Y;Lx&63nyBmgYHDqS@Nj)@*0;vDK;H^@G-qLudo~-S4m4{Rvp$I|q$~yONrt zWP9-m&FS>rC<&3|<69|RI3LsK&V~2EpN_8!c*4u&yt{V#0i?h1>3A;RtkJ?9X}Jhv z3P0%lXB$Ql`1nG^=^x5tz4!>D>x!T;-h*4RBSHuHSfaz^n}+$@Kj=z1(k3D7EVq=; zP~c;^EKipkuG>8y9oCO@?Q~h!Aflc;zx{GWd6Dp1UKzqV4}!)h$SZY3=-_gsIpvl6 z!G|`aJYF+e-Uftq9t4d)#ux_mFWTwgT0}VI#V6axNqMpGTHf6VGY>Cgy3#m&m)UWC znMS`;Q1j(BIWyhvufW$Ed9~B^<0GdIGp!bU&c4d}(eV-1c^nBij(EiJIPlURJPu9{ zIl7zC4vB9fPCH!|(Q$aj?iOdJ+pSIbuWr|FaowV9Z=QjWv+OClV1xy2t{%5Iwl}2P zZO8&&@WBX@aM@$J*S-d*1LS!oQs+&?@S$v}ajM#d3#E(1cN6R(m?xMo$e9D?=c^5I zy5LoU3k8=6t`J-&xJB?T!TSYw2|gzHyde8K%XwAs4Z(K>-xvH?@VKDT)gj+cRkxdg zenFjXU%>zo$6FxuD4{0^Jw<4)=V!h-Lf5m!hy1+%Fug+3HwwL#i2bPdO8mouyCt5V zkx=JJ@NFXGyeH{LB>oGD|4L}}tt^z=6oU}uClW!cZ{Q*Sc|z-N;q?~2T!~lT$^zdA zp)VzZo-BMbCH`88UnumALf<0vYN0m}QI9H#zgOZP6#7x2(aq}VIB^Lnnqw?=o_@i0 zg69dQ3icGdP;ii7fuOq1AZMJ=Qw66B&Jvs}c$45Qf)#>m1gY*|y|xQh3qB&aTX2uy zD}sL#<kSN5|3&a4!6Sm73!V_90)_lBg3SaI1ltHE3w9Aq6IA;zkzeb|IW<9foGu|! z>q5L%aH*iyg>x!}>E(hO1*-(_7Q9bT+Zg<v&@T(_7o=8#^8P0HsUW9582`1PT7v;v z?W+Wy%9fzIUXjjeTIQ!#he(Ya@mfLktxC{034M#8x;_!VM(76xs|BeRqMSboQolp` z1Hq$$p9n^=Un4zEka{H2nS$!N1KmexDwde8t~=mZq4hWGs5N5xY(XlENUswN{}$c< zNWA_g-BUvAZ_>RZ^j`(37NR_#VEDJ@s2yT@z98*TlO82VbrI?5g47q0UM5IY5$TPB z)D@9_P*B}p@yx8RcXWG|j%0;=HYR`gxX?`E@mQqN`8Z9!j)GkT(**f!$8>c+24)GJ zEto5)$BmIf7YeHTH1ZV*Jw>osaHe4Rc(Op^O9V>=mkX8)t`@8m+$6YFaGT&gg7*tP zD)_kIZoxf*&kMdJSR?qV;JbqF34SQ}v0$y>r-F5YUkUOdn(gWq^a(}_#tVjzTZs~{ z*Y<>uTbYvHLoiD)TQFC!zu+Lj0>P1jg@WS+iv*_#&Jvs}sGfUpJk5A~co#{K<4jFY z>(<jBa;IBkbAI<8vLxs9`*ROOqY%7)W-74v=hi-K6-Q!s?%?88{jzI!U`MXE%!_p( z6ArD5zOLpq*qvN^#C82^u+F#^wiBa|eci3-XopDuQE$tlqvy8laMb9W5l9SJu%8&8 zapMuA^D{@x$Qxl9@)=l$jG&FlF2ig7yfZ#PyO6LZ2|JEfFIZO0FFM*b-+$B^TXZye z?3AO%jQ9-LPt1QBWxyIFtT<X@<FWoFvt}h~09%rgv_wgpk^Z9|l<aNkKYDKGqNAmq zVNViPC=J+!bipE}g)-bIBcj*JBOYZV(hvDXN8Rx4W+bDW8;(Sbg&j#)v{betXTVNm zmg|_`^&(cOL^pXP;emt}wU+l>964UY`}mKRUVmPoS0F9mtu?){5PALnofq#+YgGgL zkmnvPZE5yGT+8?x+J#I)ZEU-cHLwfW_Gl@rL85lOXc6+LvItrGI98w}pZH)5$8dEH z+@@NWun(PrH|B7M^(PmQ*Yx#A686bH2S!WX!t_4b8=2sa;4HpnlDA-zit)}N_#)Jr zGM{%iGKN-~<gpXFz3N_T`qV7G&#j)!-EO{cV%<qo$O_qmR7wd?S7g)nAg3d|$Ww~n z5)YqI7kVy5Myxa$2LdZiIDUBVM>6a|@?AdM9%K&LN+T{}X|M;WR>~|D(iM3DqMi02 z+mKt^gFFXP&4QpkNM2EN=0Gq-^T!;<Uq9kv__$^cSA$J?b~Fb)a|B<}1|bv+3sP8i z!4YQxd|VDwN0YpRB%jR9OZZ&Jck{T>_%RDf{+(nRNoB2(S9+}4=z1y3nPZb!M54%> zZ4wJf6v@XLFk>N!A|C=7mq<~UG4InPlY%67EW=u&PCZPL&!sjqpJ33e!%)rmbcBC| zRw5$|+nnTprmje|OK6*G_$FA~z2hBA9B|0EfEKBXNDZjQqIg6FT`@w?H4-@P+DjZ5 zBC|sY$qbl}LDVpU<{efQ;vGgFIv+ecGL)iCASF#n8XaO}|4<G`qCUfgZJ%W14Ftgu z<0#=%L;hJR^%BAi_|vU6;9Y{<B?1~&tTte96TE4RXHk^G6s$I2ur)kX@C4rV@L4xg z?Mcm6#B8&Q0anj4W{38kvKp+c!(d}RikJouo07b4lyk%lZXj+*193weh|6`xm4HAQ zeVuWoc3eMa+;TgP*Q;`D26hR1mP`fInYKgUq_4%{{Zw|b+UA0AKxd$~d<AODc)xWG zcAH}7X`?LeU5(62O+9ZQyfr@yQ5~R{%&=xaotc${NKohHbWLLv_OPZP)CDo;17Uy? ziJDUB&HdIDDORE1+GpfvPa4uWV<ap^zN-vHKC&dsb?R?=*}FwgyA4#SD{Up>4{j;) z2UQII0J}eF?J1~<3)2t=51yLbkY2Z5bTIFT0b}zf3?49IaNm(R{X-(Z-4^7TTY)_7 z7GJx628~00`&Q6~6u@kW|I96->Rr^SL*(eM9q(j)W8;^*Z?tZ5@gd`T?h9oz|JW@; z>N$8kEhuNd=jayG_lW<UeWCiPl%>0+3jKjNQ$cqR2(Av-PN(svh$+#atAjG&O!kFF zprChyxk6>}-mPUb`ETIk^SyRDZ4x4!<=QW&whoGqKRga%xbCnH4em$0c3R#wh^QwI z?-qPc<0}TAlC-=sgmoSSjRcveVVF**El1cXFWw=KueMsA{g}p_w(AIa*J~k&(!sR| zJLUNykH^RQ#lmZOcO%R^eCDUS6HKLH?Z@<;@J_zAn3rZ7XvZ}A$KWw7HcQ8^0$*=U z0Leo~U5XBqt^r>c2E?&`bT7g?kE5fbU78|I9|yV-%MH4(An(l}6)KBmIu6gM#7X1x zZVSZoIhpc!9$BVS-t#D+E8?_s)?LRDo$>$O7aGzXa?EHzcWB>28`>AjK^u<a-S^QQ z_G11R%oPjrA)oXJ!9u~Qf-?ox6a)B{3eD@7`ED2F^+lR<9K>yc)q;--J|VbAko}qb zHG;1R9u)jY(2e#YUsJ)hf*FE+1jBU^!x@jxtLEyEf11!&2>*40H%R<SLA5Uw{C5bg zbP}L<2(9*of>t^S$lt?w&`LJ}`k?TAEO=6olQ*nS91;9y3(c(?q}9Gqq<0ls%?W|d z5?bjdK+~x?QbCT1q<O6p{etZT&lCKibX*HWo_dc%c{d1sv*6DKR|?)Cc$eV)f;$BN zNANd-s$W1Z$3-5`9|d0*<irf)O|~mAS}<O)g&-%8nBGCKvmj?t7_asd11}Pq%Xk?- zP;jVVk>C_TPW_N?z91jVNdHWblRu=Z1UdUddY2%le@H(k$oU`AZwhh(h_u>=2ILG7 zX|2Oj<AB^d8Ba&#cuhPm*o;_z&bfP9Xv$fC|0t~|CZg?l`!_u;t$X+Ge*XnMGcs-V z)6Y3~<P9Ti&Y3nL*Y3zIi>WwRms#4VET?!>G4}A4dRN`iujv}AY;RqU((KxHOXJHb zF(>U`I=rl39(R4->YLhn=%KR6p@*K2daycXW8~_n!};C})2y}Jo|E2rE3l7gXsywx zFc6<Ht~hG-ZvxFKE(yf1*<07Yy!pyyfu>uUZ1ApczS+IXb9kA%|Jg<d-`Ym2M+f4{ z9}m0{h^#VFjoQDKx;MMQ6<-`({Lq+#b%~{;V7qZZ@qs#Dfot7?y69O4>*9ATKWVIZ z`lPwSwLY@ayYb1B5i6n&N4wmcb6ZCojx^ScxuZJ1n;W|tpE>DW;W``{Wpo-{vuCHb zcz9i8LCfN|ik~~_S}~z`*%+n|ZoR&ET%BjuQ;>Hr@)hJhchbG$m0Y7!R?XhJwB6&2 z$JQBsYqhyDar(HrNWW*bu`;pPRlK>lpw6>9V$D<Fev=%V-l3cV(h-|H8(spMn^?jg zly>QKW5cHD?@TYqeI@rP)c3a5OUHoQ|0KBXt@fkTr@+ZlpWSy5rMfr9SGGxrt8}l6 z+B|rddp&lDo)=X-v$cEk9o0`m9*)i%fn$Ch^WjZV6Zb%~=L)2_S4_%XvC|4PD;@z$ zlX*DeXv5WW4`i>X-i>lyhX=V5S{a>=V7~pp>_(e>RWY0U9U5Te!tUgP#4CzpH#Azk zwAwnn)K}5ZSFyJ)zI4f_84oqyRMfi3rkKFQgk{xb)lJHqt@l<oUYVWnO5pN9b--J0 zbYAjl{6kFxh1F+?JxXsSdV-I=Vao@9PyXbCV;n10S9F`ZfF>u)EnGn14s-6D!p5BY zR3u@}{R=Qz=Q2vqxo<>*wSgC(woTca$!>c$B?Y?X&*iT#LS526?*uT1rr*{5$L+n7 z5=`Gdg3tXGGq~Mq9f;3800PzY`v-{jybl(`!yO1qJS`Di?BNvFBF}61E%7V`??Ml6 z#+ZJmJzz|~^ANog@S_b<t_CX%Qcg#d&&`2A8Kk6EEAnz?2^plMM%!VKawLVUkB9=N zGDx|df;L7p21J=zNHm9-tR&rBWTi8yy^c;}XefhS5sL0kvVi0ek`Iy`Mz!{J2*u1t zz#PF+Hjtz#QFA0o`j}jjqZqu4<XGk%P11{m*jWoOQBNw9)SP)&VxpewiD=$3CLQtS z80r~*4Kj|;z~)$`cBsgX;nTi3j?^1SjGuh<Ov9W=G8QX-Rp9RwIYS68YKfhF^?V_@ zW+mn}%2%vhdJ;335+|`i4v=|bnqf|6a~}rPcp|J}D#{!dI}sWJ6;8nL96J#T0!3X+ zYLd=1161Ql!CWI^C+S>2Q+zttL!fY8KSE`j@SOVG{Qa>R#B_W>>RWUHcyo*oX$sIj zA%a?jQ<=n6H%DxRB(k5vBxW6gA=eo}=mrQ(x0ME=Wcx7+ngTveB`DrPf-S4!@g+`H zK9*zmBSFimzx$D;xQtnD;sW>xY@jSib{|0SeR#{56J5o?2}M+FTk;^z=cDXuMgf6W zzGciRsbb)5n^;ga3-xAsA`N+!i^Q*b1~jg5>)K<Ro14{V;V@YF_!3XDo6l!_X2;Y& zft7)c%gQCXRvv7SyVhvytXQS0*t@C%;7mc`{L&kCXmSA?>!T#-is_OdSvd}7et48f zI7O?lj>BzH+m<k37g0cvBDO8jMT8xDYvfg<lrf4xE^-V{cIymK&LBa#Py>u)1NiMS z?_a_|COq~55?C${>;5H<W4u3j{&mwu8e2HLD92NI6y9SLu5yoA<<=RUJT|A@9?}r1 zL3lzfb`Q<a4gq0s5kqGjoVFtiTg_#psoj{YF^r`a=yDm>$4?%~roKJK`ZJCwM|zL* zNbd<AsiPvQLM&0$lmRJnkfX>!y2yHm!*bf$9cYKMH$ChubVsmI%B4()e(C@uyakWb zLttZLzoJ53KW+opj|Tze$ovL8PCG%EeZ*MQ0zDMW$-y&6b_#(ajo@J6+m&*6<M(BF zT$%*3p~%YmMZTvPh7tY&?^O)Am=IQu<ud`*A^_1()#b(@b$F2~ONr6ekt-3LXbU*E z`sEM1gVQ-_Khj*r-;n%c-mrJ&yqR;qllj(tP<%n%HQx_&tSQz^e^R?n*g9uj=}%5V z1RlFgPl{)tHKow%?0bzSRJ+m6stWj_=%Pi`!G5copS%4cVZ+s*+$y<!2kg)5Vnxz~ z>$%o_OH!=;MoMaT>n?=e#M3zOEn`};b;lAv0$JAO`Pm)1wM$IxXf2?5*Tkf*8IqP_ zU7j^OF(WZK`Ml)z=@6gUDz#7BPTg4t6pad?lxtJ0>ry&)JO@Sjtyc`%h7A*8HJytJ zW{gQr9M~x%yL0L}?G`|2YS%=t_DM<{oYWoylasok0Q}S#DV?(uQ`1{r+NYiM;o`zp z*0e&Uqk~pS8G98&n|%!l^b2W^>RA(=Wq)N2zx{$HO!XN$Lp!cPZPh>Vjko`M%^lij z_|^00^bNJo52vuh6KM{#e6}@LoSAMJG{Zan`l)lTn>AH8cfBvDeSf>H-=fpg=M{G9 zf+{_SZC0g>q*f9uYx>%%NzsMcD&B_lc-o%pPv>)P-_YCfKB*l?;|BKr^^N5{^Pkq? z>GSfVSK%4wpc!zp!+qFx|ED@U$DLH)UoV#WN%f3-!1I}dk9DWZftM*+Mdw0!!u^D2 zfx=Xrx?l4lV+6d;gP^e)iC-}wPN#7)LWh~O9t}i2o_0EIA|jmSZo<YPKV-68md7ka z&QIt(2pWr_3-U7{PN&TR?UeU89;o>aL3xqzTHehF>pTb=TOf~o+Uc|#5aE>fl0zP! zsI<KG2<tqQ@gU^2YoMLiAk8W7Ey&~Xv3{}eTHaj<Q(hW8-G0oguL_gL^d0a{zNt8f zhIDwp1|MGOX91LZ4Sc;d0n~Nh>j*QM-&X6vfH>BV?ge<~alGefm!?S5$HDmvlpAz| z5W(kI?R2?B$Ke?tHa{~RUIO|l^#a;yd79SfjQ=5Yc+Iq6g}PPj$o~!7uGDSf6pCYu zhuoR$|7!_$wh71gdOAEkG=Iait4^@Tx_^cKZW!uA{n2HD979OY5S%Z#SnyWCRe~D? z?-0C4@FBt93O+5!K1}(45LEgZ&~FO;XTc8zKNV!dF`v@Q0F^!l*i+&M2#yw<NW_>n zRgi0usXtTt7vx(c^fDsoTZOI=TIpfHzg6h_Bwgua!2fHZpOEzDh2AT)(#s(K-z5H1 z!7n9U>0^-2H5)AdEJ5}5g?QTJW_|MnM+&NS;ozSvbTJY1Y@tg9Hwg0HLphHK)(Gmo zd}!W!`X7$3Y&Z6EVuGN0iv-<O=yXAjQ{>AM93nVeaE#!kf>Q*G1+NoaB)D9#Oi=YN z$loF~U!+;yeS*Id<XFr2rv#rBRQ(L`uL#X?kbG|oau$~KVL{d3K-US)DPyL`3APYa z{SfiVLZ2(xP4EK2)9DAt2_I+wSx&LwOu>1AO5cq1QlXa%a%!3RRKEps?wj<zf=cfU z`eC6T7u+rQd%-^l9uRy>@UMa&2-XU!{tUUCTxWSvf=vWfe@1+g(0b2YrqHT?BfXE% zIf8=(3j{9_RQeY1b6Q8WlOX5sNvl1tz}tk@d+9a{eTN_?_{slU!Dj^3URT7wA+)+a zK))~aAwkadGrx%&EU}Sbj9_!Yvjvj`+Y72augKR^=)Qt^f@<$8(uWJJ_Pm0=T<EI> z=LnVvmI{^$-YTf}z#@O8&}#21=m&&;MDQ`erv;xAq=tgW`IaDc6r}&3;OBxT1YNi- zGu<Od%?0U1!DPX61ycpP2~xK~{(*wS1xE`~+rjj!1m_7}CrF(K({C4CE4V?BS`eo1 z5`0YX3BeZx_X_eI!{rT)hagpcBrE(xkk2Mz`tlxW-$7sA<ATf{XR0s1$9@p1w@1s? zmk%wDDUZQ72s3y4%BGY(UT5qcP}X$y)_!~IvUWGC@UCpKD!cZXrA^BwLs$MJbmq4} zcmCU@(2aYB9;%3%(;AkL)1X;hTUk8~D{;(p_u+smCvoVZCw+wmzQK45Ur#LcRJf@@ z_cd8>9_}Cgn`1`Y#F`7slIju)7M69X^Q1kBU$+r`*wYBodVN{e=EN<Zb;7p~VZk@j z3wyuOhh1i)!?=vP_N{pgxtrJQf}Q3&;CI!zw!*$I&|RJcZ(g{o&QtzCwI5+$w_ypT z33<C5yyaW#jB@a91uyb=%E`A2UvF$%XM&Cd?J6IZu&XXwag-tyTkg8hmKU$&8C_r7 z7qQW^{_BKWbFIpbyLt!A9^GIqcxm<hb+GD(!4Ag}`RkoMb|N;4+UxspW2nx(W@kdc z)iVv8pbN&8t*GvdBflXr@^B#1=v2GUUD+qWcg*x1tQ)ku&55$Qck`Bfdig`qwU#St zWwVV@n}#0R<h`zS<hlv1i&{Tk?X6l-y{y{I=$|+_@w(zY23j|=z!+=9S46Ll#j1q8 zb-7y`Z)~<cep8c5Z^Z<o(K_F1t2|~yqpA_bk(*vW+f#uhf3>fcS{oW|8C6y_u4&nj zvXx~A>!Np45`2^|@Z8B8wy!9QtlEQK;W3^)dE@qlt)D!(Y`bT}`fBXVi<lhnW%#=7 zIa#{h=rpwEnVr?DZH?0EI@j(AWoyeem%UncpzMW{W!qmmdGmI&Cnc<?4&^z&hB;c7 zHCvIe0vh@9h_$ZOX1RM)L?tBPthgY_y}PRHwX%a{EJaIlZ8E_T364l`MDMOzLCNHJ zZN<SA<Z|_7i7Tq(Gvd23&FJ*PKF`MJ4c4Zrgslmlbyj)Q`iZ+dRo2D}%XpRswZ5|& zD-X<PAY=c|zB@0da}8Bzuh+_I%3Zee?7*Xmi_6mMTnopQ<&~{&{Ve3)S^W%J=;p+q zB^sTNVs%6G?$`~hbN2$h>wO#gx4xlz=%F_*@UFV}(7;@8rTciC*|yGgj&G&WamlB> z9*W!47~caOv^&0XV(VL~o3D>s={+26WhZQ`jxTS#iYpJ=JX8=|cc9P8oge(Y?Qz(e zR(2CGe&7wx<a3J2g|~dL@H5Wgu<k(J*GwND$1U~Dd44|xu>>I>dFtDmewYdF2(@kQ zQltjIiFqe8^Tjv#O-zKbzQD_eiO>=R^{C<Ye#kq%>H7!4=T0QJ-3wF(_l*!6Zfkln zIF@)if?=^|8^Vh`eAX@TJc{3i9=<<d3Bm&)ums@=P~O@2(YB_aLIx~Bn2V@zThqLg zJ8VtgMe@|PrfVoj+nVMJmpL?OYnt~uI+H64qVpIkV35zY(E~}UH3ySPQd?sV{}QRo zNphiwIpQq*ZUY&k))6Qh%};~04LXlwDjx@9xk|zuPclG~uUh7%BwvG%;fjRINZkas zxM2vG69$0ARgoLlhO9+4RT#&43Ui`Ojf@{l&Pk+V)LMke4Ek_LF<6hF2tGr^s3i!B z{1wR9-!PXV|48y8ik!lm7dPF*Y_(xt`43PnK*cU3?^PuGk(@+cMb0KUI!IQMygW!A z0Ew9fyxSgAg_+FAiL9p20nN!ajq~~`4){OCHnXf(K+DiJGuj3#5f~SN{fr=+hDfxD zWBVf75_2<))SQlb29ae6?7QDsme7RlYZMUpXaF52AwdPl6YN}J3lQw-h+zb#f73p5 zcO_srK?H0Is#p<cq^R@}1g2AA%xJn#&;vLX=Tu&k=3~Fd7`w_nZk0QLFh?y-Gdu&{ zndg4U<s+&y&Mv_=ukbBZ;0W|Cs-f2tZ~%*jcr4E##KT+0HLfZKI@pA}iUBTAz`6-f z6$82OP{eM9zSmPlf-mAw>~CGdQTr@ZO%b}D5YFndIdr+s>Xw2Ki^r=G#CA(p6$5;m z1>vb;U^hINxT2JAfe5|}V2iSaiLrJaRxe?IRYnb0qlPY3f3~Ycc&bPg!lRa}Rh2v2 zRbIVBS6QX#N~188t{jJW)(sm+2@k@1unuc37I!eb$4+7`uYv~`M>W<IZ9tF;_a`o} z3%zFv1AH~X!WY7wI^I#4(Aojs7~WIGjMK%a$~vSMQo2al<~*cOPh~%#B(7H=u2oem zBy53)b?_xs4DeosH7NuZKz1U9qumGT8ZxX~%egFq`Ghyu!JCT`m19L0+b3d&^F%!C ztaWG!14U5`8cItjMSy3*h3&gUPL1HIC+vntGzSXh*umK^T$q<8vL|yX5s@7jeUm+! zgN$;zA7M=b`Vn)m&wz`)D#)d;6i9VBq`D|IBP7+u@c0lNtQ7|UUP2<*#V{{;p@V$1 zFGCwn=t#PP4J~5eMW)>(gr~t5!_S1LsTzuLIw!xH4*Q8La`+BLiMhL1EposYURztu zQ?R_Db!w8;6uWZ$sn%S-<qxfN7`x0m-#0DWde+EF&cvVf@!}M$XwBzWXcwede_fn! z^_+&(!t_l1@zb~S{Mg9Ll?#6bXU{C8`BfTHC#8_*GsIVz{`7u|k1`bBabsF~0ahFg z#xjZYO#XmK?tq`m;QJuLiq7xWshjom;%U}XX1VpmVn47@;ghD{`W@svWu^$7WknbA zSfcZ-Xn%HMhSfByP5X0_k~*|Qz2|2nb?Iv@Lx$xpzxCCkEbD7C%epMZYJyG9>1~s+ z+GBw~ll8+A3#9vzo}$uIQfXzmO;U1~^t4o)t(!G3g;Ym;hxdGZ_V=5)x|a7D*Ymve z!RMWqJik?XtBbAJWr^)NXC&e%{g?G^Wxa<ARG}h&UX(&)M2fWu5$~a7V0-J&SQfHx zaiR6vVteUDXfrRB=-+iAhI}B^xgG;b^?s1k7p9N7K4T=p{nGhETgVgVPBd(5!M1ij zxPe%0|NS0oGQ{Ze4{L4tpW0L%T$&*P#~PNfH5%X7>~i=*4P||~q5aHg@z4Se+wStL z5pyTbo!YSZ<<l<4P$NX}WPPWv@TyfBQpX9lItrCjo$y<}&8rt|IM#iHivP)di<dW+ zf7N=8pMbe$<KJn$#^a8M0{vifF`g3ebQW~A<Z<xnv>Ze?3Uc8Q_-xoB#PbW^&+(cY z)W45FSmz;oEuL)R9T7S>HH?po5}HzwIL$W^w6olicp91oK9-9t!E)y!tn(mfG=*N! z&wx0cHVd><-kv0Tew*?l;n^;fdo#SwgP_q3^3oU(r_*i#?UeT_<Ygm{^1f}o#$?EQ z4DF|#mKVNWqgh+KK9m;=uj_Xg!px)V)G8kPoWtZXeMcDI2Tjgwy+&cvGh45*8hkxa zhIYDNy?_X3yCmW`P({@)$PzpbPMSEnoADA7-$0ypx?PBl!!wHUYM+Kku1mlnDS4F7 zGM(}&(NF)oUV|+ja^GdW2FLdX)@w9it~q#v#5)bn6)qyBPe(uJIi{W-OKcS4lqj3D z?TKLOBJte>*`LUtCzvnD*K@{SCOBDemf$?WWr8aN*9mSB<h4UN_Y3Y4d`$3p!IuSJ z6=c6;zIO%R7yMZ8xZu}<N`DT1wT~94_RRuw(Qhedq@Y@_0b1+5XE8nkYh{S&(2Iqy zOlYO|2LC#tH%a>4LT?xPS3>U*d_m%05nAcHA?GhbD?K-8PGPcKwSN}q#)ZfFD*ZOl zFSL5I2Cekh;45G}@Jwwq|4jIKAEA7`Zlg-*dnNrrp*f3A`M(wVIiX)7Mj6IFiGNGt z|1304M?JkIx}2gpUa*}plqfvYbsjmw&wD5H4-w>S1!>hUftL$?mEdf_<$`5`w+pTk z+$MOBpjuA^d8(fRpO*ON1pg$c))6871EKZ0jxU5hDHy)4L$BXBkLMlvGX%Q}at5FA z+D^b|p*b7R`0({coD*aGY(dVclU^dI`Z4HRg<dbXSy1(3q^osDK)wI_e<b}0!KVaY z6MRGP&w^_G5%M1un$u9M$4Noezd?K0Pk@nvjRl(vwi49qIXVli`akli-K{{qo@0=t ztLp*rYTXj>Qi-1?$oU_ZJ4cXvJW1aqc#EK3&#^{my`F<=AoAZYxI^%N1b-v=l;E?1 zFAMG$d{gip!S@9Z2_6^xLXZj<mK!bDRIr7hU$C8E7eQ@<S+D=NP~yYaeT<cOZF_mD z(9;FA4d!cwUL?3waE0J)f@=iV3*I4kmmrlyJf2?*J}LN&AhkwJe_ik|g6|9J^&rQE z4!7k@#S-(MBN)C8Bt_yg1gUu<zqa{2L}<MpWUSDa2~ssh{%ZwG1(yp_SH<*Ag4+b| z5!@m8u;6aNJ%UtjG5=eF9}0df$QJ;ny9L$#-)lebF`iDP3*ym0(c$B8Gl};LwiEnB zP^HI(#_QB{SX{6dj;LR;1$;`o3_UWsb+_41Z<isrDGJ4_O{N0d7BJVDY}pZA)~ur0 zmYlM_u*z_4S!~6oel6FSWzE-S*WLk(3}skVvKgyOs)6g5{?fOo^?lHu--Oi=1?7#` zeQq>1J%{^y-L+PP>!f$~HnrX%KK=DNciP^%nWatEB(83;GNF8L-IT4ZDq2>ay*_qB zt4)!sjKfPK9uLHyZ6sX~IA=@znzPE|DjIKw(zbTWQfSnDu^S@SLtkIIx6W7E?!|*2 zxwc0Y(>4Gs5p2i0l^q8^awp$9=J_$P>y7d~CnJ)}iW{wZ0UZ9)gCF^}n-!6p4CGHJ zee$HS{TF99-n6$aamU2g2S4)Uy)nkDeCni;S5|G-ny$!AvFqK3qZ@4xn8)IM*5T+# z82YZ=QfhQgud&v6HXo?N!@(MqpZ9v55kI0XddHI|U3n2I#U1ZPiaYuDC(UHvnntTo zax$$(jH&ZxkFE2@vtC}rdXn>6!;XZ3x+LFI{pZ|`0UyfxORmvzdd;{%)3tvMxL}Xs zKwW$h%J8Gaf~d7dyEcIi0oZN0JYt=DHA^{A=Pr5!X};CZob)6ION$=^3l)%FFs`nV zF}5zM=sDD8Y+ZDGR8`vm>{KMT4YUgEKIu)4Kuz4{fx2e?XHR0yPQ~lFsPE;-jS>^$ zVT<B&*M_|xc`kn=*IR}BMn!8^#eurS__l%G$ZsaQDv_GJtlG7*L*VsX??&G-zweD) zqw}5n_M&x|v+0)018)U31|m1N*mPE9r?Vp~n&6BMfK7|c9bC6^u&yJ{XBy63^mZI& z8ZAsrZ_P7$E6+v5xPb4l$27X6)!ev~t14nvfqN^sS47g@#5gmHb}Y74|30wd>`!+_ zu3Hv}3Dg8qiwo=AQEy<ikkNJ}R>m0H9~v_z;L3_exRJT78d8tBBYzf%I2?#Dy1b9t zMkhyB4Lvl_b+9gbM_lDV)Pj9^FQ~qW?6(K&M((%`7AbCwyfiNkwnRE^UGnM92NSRo zYgTDd>$5j4t)6stY-K{ln(DLH7>9ik7X@P1TplnwE&25J2cuw-qP1A0*dB<jTm)Mb zpMCHT-e=Tx=Qh6rntbpdgqwD_!<rDa=F#+3A_)sYh9aA#ZnxSN1)t$9b>r8zD6XV* zxA$=-P+-s&#W_sydHIwPS_rb0&kAnu34-bCq*&Z}D$;$kO)Uh3Y*AbU%JAF(4sDC# zWrP=b_^?po*@fSQp1Z+<g&>naXj>F35v6TWe2fgIutl++Swglb_$1)4MR7BQIBZdH z@k!7Y#h;OAjtJVKptgw4tOZl_1q_X3uq#5*7m^%BlFzo$e1J4Zp9FawLNR<UHw*a` zxq&1f&&@F;xojflT9RW)R>H^J#=PT6vJI6jic3kI3pU#p#btbNnh7%Idc>O(E&_Qg zNZS@g5lIW`d)pX=vLx{UQf*@tlbA|l6e>_dnJ`A72tK9Q#wZlo9b^oj2<_Aol4;0n zPUhqCc9I*JI)%02*Vk=R6^eY7<O9LfPe@h=$>z8X#_-wS&fAsbvLMNucT7%@oJ6vJ zkX#B9t3p0U#T)mB#Q!M66lbvHgS`|>KG>5&t{s9<{t}q}t(GYG%m9<r1Y1_cqxIHt zbW!QU30hWtOB5`H>SH1&8pM7A=QK;;)e49roW5o7s1$)0gfc$C0AE@`EU21-s<MbM z0}PyWR4<}pd_q0s;8Bjq@}MAol#1wE5dJwl_Vp7!gh$!sOBjemMLgKiKOgH87;bG> ztb7S8##u(i*%5`0gvS@Q`DD%dsCZp5T@nN;$0<j?5<?YDI7LISj`_BztxFiNix@?a zBDOBkMT8xDOXTgYN*F~T7dc>jLT7Lop9nR;C^i5-oxtkfvxI?`@TM`2z;ac*;}}EB zDs<Cv^@3(_u4Hf=s5)S~&p3=rv_{d=5-wusjG~!sM;5jU<q}yVuAB&!S20K*pR;Uz zfQ(~3<w&+I(HU`Ap`{&;il_>)BxN_kQDlFe6UFz}Mb<Me!E&S<-|y@#JDi1vY<I9q zl<6=o!T#3){SC_}u&e@va*S<@Se!os8E}3iQFY!r5V&3loL4~S<Ejjwlf@CwR|VxB z#4m-T1_btWlw4lF<V?iU!i9F^tc6EUCfLc`bz{5F0WMX;;RF^NVP8#}gWbYDziu6+ z&U}zSfIUGwt{`!#&tw1S?MO_UIOn?=lXwm5EShFvbp>oQBw5$_+qQ$g--2EB*)%5+ z>Br-gZBC;2|Ficd@KF_6`|!PeyE~mu2niqr2%#aMtl5YdV$={q17Qn$Kt{r9K$ZwW z5hDqU2#OjOkxhcisH4sZ;`q9a;*O)v1h>HjM;$l7WI)k3!p!(RPu)}9HxUGvZ{BhK z)~~CdI;W~m)va51sXA5X7FuWe_E_VR@kwZlrW}&3VF4=z1|71kaVmgJvH7s?&<2Yc ztOfa8YJkNX_}KFm`D%$ohtxA$ckFBZ78W1&8G&})t-D}U;!PuYWQX3?ZROcwXCgpW zduvL*6<esRRbYKXVMfY^rQK6{w;qawOb|eV>?^y%6ohTiV(hh83(<2v%u1y6OrdcJ znwZEQsI0&z_e4ReNLDC1nd>*sOF;cQU(&iqXY0<eKr7)9Sdh;d*nQxb9_O^jVvd~o zIjwuNMkp|J>Lsmvw{F*+T&q#x;5O-9(r9}kSqxB|-6k!eLqfZxgcKT}_-*+#YjU!S zHsXJRWe-^3(1WWSGVHYvYSF`~6zPtB;8-7V(oa^0RNmj;WJNuVyDs)8{x<cGTP+bf zxKl2Yz_JOpbnlGsX^6hza*2P3U5j5VR-E`#)k=@$v@_4=zIMFppK$#HUs->gy^2uR z|HbaS-P&kT<<7!CUljBMH^XL!{&hP0-Jb6jwqrWyQ(8aE2Z68iFy$5qc4^^`xc&%3 z{S<ODp*Z3mW4<VJnv?QauR?gIysfA}4S3q=xEWyRFypSnoayblQjWN32s_JdhD9as zAsx$QdAeK<&Ykj-@zxXoPdgn)J*iXP(YE#wg!26GTHY#zbshwbA&?i#fH)nu0<=?} zI}nzKEFpPY5!QJSG%i9U*UD<A<2b9}l-Dd^A1CV<1F!3MH^R)53QzY4BDtqkJI2xf zUQnkiheG;Nok-%C?s0gW_7WX+80pT{1dwUyUV+zP(%qVzcn!p#5a&FOgh04mIK8Zo zgZgntcQd9y-e1AfPM1Y=9G>BBae8YYGSE-Y*1~{Vo`a==PX13}vx0j5u;bB&-M_<T z1>f4k{go{qcBiuj0+)qqE8a7{y#_+xo=<GJLdUX1-hY0~dWbCMhkpD5!9jw<1TPYt zD#-f^(_b#QNN|<lT0vfCOn0l`U4lyA4E`U4ep>Ja!9NSWF8H?K`+}be9u;IGvYgg} zT?Bgx{@m?Xw7^Bl<5T)!T=2a}1A~I21jk9bDMC*dTIqk0eu2=OLt=h4zYMub{|kD( z#BY;$rT<0zeL_DV@sA7rw9qdLt@OP}-*9~dHzcz>I;WnF_ioa>hKRV0C{&|i(A|Yj z6U-FM791kTxl!gHEBGtHDT2j<e10(<N9M$3f_%P^R{a&o=LqRL1o<2w&HFs@VZo;a zpA&ppaIfH7g6|4)BAEI0x`}@Y%}D|B{em%q%>`Qta+ZVXRR0F139Z)sfIeU7T)`oN z!v!xCJefTVWxoY-E|+u_g3AQ?%E|K8dLZEKLf<K<?6-ig*HbiHNAaSh)AleLuB-T) z#H)2ekfW3$z<&wf!+r!hQm~m|ydbCi8Q)cqdu2%X6x8b{)OsTD^MyY|aE#!Eg47u> ze~F;Fen6KAO(g>3D+M<QZV{v!f$=*99~M;Wi@>Kkf$^^is_O>ydqRII_?h5Q!8$=7 zo*T@s*GV)JI$p4yU`Ii!D40G=Fjp`rI8<<i;Dv${1ht)vON6G5gYqf_8?K93FZ@k{ zRDv-5eS(h*J|*~?ptg(A@Eg|Di!lFJg8vjW@#rU?iV|W=L261!rwL{XW(zi4_dwkV z(@zteC3vaeV!^8gR|!@Ms^>7?vGx57m$#CKXoXaBQ9h^M>)W$POF#SjtTV_+J#Bjy zyY1JKZ>=*}vtwv+WMw3@s2v>kEE+3&7TtEou6D19fjx^y#h%6gh&_v4-?C?6e1|;? zUj=p~DSH+%|5x@bDq;JA780~Q3uXJlVb7ux_AF>0A#Be=r8t>A3)f~#*|RV<1WsVj z;(<J;H49j|FdlGNv!K-rTC<=XkEIFGhl0_xW?_b`S!9Q-Srp#~8x}5Dv~WkP8<yx@ z6T2y5^T@=N_ZKGKe*d5Z_r{9*a}&%S{fj@u{zc4rw~Mayt@E#mTia-Lqb;$U-IXyL zthJL2&l;mLa^wEGX1fO!dpB)|y#?QHn2A38=L%z^rz&d2#}__^8_7`EtZ)}xOUo4% zUZgg5H$QHD6?;6QXka3EIcH#Xf@{-OhaHRf<L)>kd1&?PPCFLcCai!BiXFBki<ZZI zajlL=#Cc((r1f!69ITXlMQaw#jvH}Xcfpp$4q6&{9XXuVE6kf(ANR*G?|#_VaPNp; z*=(g)uD}_J*_LoTq6MVQ9|Lamj=d`(ZDfn%zMMObxO^>-N4a4O<SW{-h&%4@Z6v?A z*R|QV$=I?X{`PoRrLi_*(~@2L*#etkxupO$D+U%MF1h~<9?N0V_+V$w&TNM*3)Xba z&V(RZs3fD#b;*UQl`0ck9QVdCmlYR%#2tM*>{)yUdltrSzp`gx?6$VJH%(65(-d|; zR>Oux6zDZZxALRs-WRpG(Z<GG3Q=ZM%jgYp8>8^`jLr8)S2j`>ERtZsqKT|ihzs5Y z+ZA8IcEz80pU1rdBRTUR{>=nXS$G&WbXccwHPf2D*@&_Z@OgQ5E`nIHP>2X?5@UOg zRGbJ7FD<nOcjz>7+@4Dq?T%3IIX(|&fw@j$JEVlyDcnq%?uf4mrcb?*`rJJf(>;k& zeC{idFT75nA1K31jeEHl<4qW>cogBQyl>*a%zH0luf&&B)H(&V%0RxEF&->!u!8Sx zShIjG4!!jk_{TRhR(hz$_Vbn1VX$H&$*{qS(ad;#_?sDJq;HgOW;_PM92Hupa0ebD zbmo(g5uL)&Xa@Q68O>3xIfmq9k{^*Qd<o<-lCcQb3lp}3v<+5V_zi+j!^iZ+pS>`_ zjT*<4GO=2jkVKN>e)A$ORN#6LWw2rbsfkF|xEKL#q9U&`-<-9Hib5<;12t&?{#~m_ z#$L*l%JhV7uwn`${stK|SfPkcI6m88g(7bR8S@HrDUy%km`)Tqm2ao-GBFKS%w}^R zVdNU7okNmlrebmsut`2WV>nc_$t5H?VzkLSK;o=DkE;BP7asUvO(M#+Cc!UaI6jck z*g^X7$Pbe^;L})mz;1_y2cM#q!j9Jobe06ZBYfM|gq`c;wk8<=owg=KKv-eT!jn~5 z%GLzqq0B8Ho9-aaetVol>WqnJ!ehAufeVpPSwgM~4%>>%w<!VPFB-6KeTRHTzlAcO zo>iZ~;48mRNgGdyhPUv(UBy76O}MKVU}eO1gj>}tJIwG_kr)IIjW$+`ArOOVDMHr~ zHWgS^9<MH&$4kdLRlt8AV-hoXl^A1qC~Pt|A(6*GOCv<q*o!btNifWYvuSS?!v*k6 z%j%w~TJb7F*Oq);-5+E|Vw|eqcwYFdBhH(ns{L72?&??T8W>mv59<hob(*j1q7tEb zl$(qH58<JF=crTdFhN}%!V4)}glsQ&2njDWV1rW-I~j4Es$n64LrrBxf`Qv?K7j== zIg!G-{z1!TST`2aK%#QYCu#E>Y4dbED_Vxe#{uq8orY%t!~@P+hpk9Z6vg~7D-!Gx z?8h#nOd)%Oy~Keb_FY-A!276j`Vv467jyC&lr+j>#K<tsfrxUt-{DSUcqy2j%tIn< zd4g<SFE-o7ASaM)G9)Ph^1y`)RY+YtiY0%%n6%r5#Q#+*6uyTgh&K&0C!y1~Z9rTR zuv&+0KulO-rP2n(Q`!cEZNWj?fcOkHATnT*;Y2ndXe}Zm8*vS6K>Q2*6%HE^lJ4(e z8xY8VB>*S00rAqJCDy~R0r5O+Ks*f74aA4c>~=apvkx@>04orI!EG+EVzXhkp?zxm zl=kQ0;}|{8Pqo&d21m_+wQ5ne^>;{^l591G@dqa1T8$p~h&{^BKzuUdlT~~GU+uUe zkeZspWeYu0ld$NaZHLr!eB*w8a>rEiPLS)Y%E85n{n7??>zcGIF*R|N)d<EPVE%!| zAI|LECatUWC9FK$;7YbW2VMuO52S$|^H6xHiz^*uC;chEu<>IJJK&QL_-aIb^A0C7 zO%PK3pJH9Z2^KNXutD*hxut<nN$OJ&|3M$<_;*=>2sPr6-a`fq31Wdnsj>$FtiRBq zzQGTt9f;Fgu5#MOA5Q*dj_>*=$A6EBhwohDz~{yZ*Ekg8Y4TI7ariGV^w1hjf%g+U z@okqOsKI_VV=@Q~rqhq&hUpkbcNV-4{#5C@Ax*<_@6wqNr{4zDKoGQc?;y>qx>8{3 za{D7Jsvwp0Qz(6kk&e1|OpPjetXCnt&V!(F9}c{R0dYER2522-)E3OYzN9PVh?|D6 zv)lqSXaNdjxh#)ah}01|<rPO8MgTnRbliL}obt8>?0H|x!+0<xZxzBi4}!)D$P4R? z!*MGR=ajc25SE85A$inIIpu9Y<n|M^Gj&-`dAlKx$H)4`!0Y4XoDb!t!qa7Ag5mK7 z@)%Fumowe5xYJwXa0v#poa@kzGU#4`*J09kAszL5+UZmHCor7Hu_q927fx;K<3M#n zeHlUHJ;?hj1LAa9M91M76{oqzVF&stXM?oU@-(f{$^T`ILldJd8isAcd;H1PIGoJb zgT2N9H*YxJ_kPS82lg%M&^aqd%oiLZ$azQdrwf({{<6lwZkM}p5~;&~SWxM9(O!Fm zewhgRFG9Z~^v6OU6;u<*NEd;Nkm=PPcwmyynM9P+SCFGx(qkolve0~HF#lYkD+I3= z{*6Mf6MC!Aw+pRwvygkg(2q$xPP@|4@xDS`9q+wF-m{6g4JcIOVbI-$P7_r94E$`N z`v~?E93nVeaI)aVg0ltZ3N91m^MU2xD7aQ|yWm}d_X+M2d|L2%!9NSWD%fz1!bieC zAb42ts37OESr4C}{&q)Gp*gwDcy04RsR%%yBm9PI6b1@^s9>Ssc){s{vji6kE)u*( z@H#<G8nJx6R-sC0y;gy<?Tn`~g7}o+bAozp!mC27evEYQ39Z*A91{9-!LJ1MS_KR+ zm3+Toj9@E4HTMI#U4%{+)N2*8gw7RIYkiPjuT>Z>eCi8$98(2n3YG|RLW}Y0`T$-d zw6cu?dX3O(?GNZ%guYdfdoh_`uVr{pXzuzVpSls^vx0vTd_|DD2*!URSS$Fs;4#5} z33~CIV0yn`L)#BjXE0vde8><wQ}6=8K7xY;3k1gq>a`7%h1P2u<_JAc@JhjQLF!Lf z{#wD!f>nZ4s4#w);A4Wj1z!++S@2!K4+N=mVg4@#8?JHi;l{-HXhAAvNCyNv33e0g zDVQbLaLq$QTMrGt0YbG7<u4c1-~L!DH1#};zgzJ4f)5L-=QiHS_5BmK5tSa%3cq7b z#M$4+_Cn9h)3?3w5Z-a&zO^Re+8uc-%+=66?~YtCq8Pg0-PLvOZ4qm4%{5j~d!BPR zY4I5=u7>4>wpb4_3E%l>y%^v2h%`5QZi+ne%{foN62mHd?c*hPmicO(y8>VM!1ia$ zia2gWtfVD|=-BkCnk6%gwZ7Hvn_&-OcjTHrL9W1v*y!E#n))Jwd1#5RZIEdn#`imn z!yi}trN&se3I1?xa7Mq{vt~Tf@|7CHzp2LbAFiFY@Vu5ewSk4N*BGrf)wo*4wd`Hn zZXxP&?%o=s%cdHuOWR}Dec3BM>aZD=Tibr2|46LWB;M#gt(xB!X<xmz#>hg-tUk3# z3)j||`H-A%9&$(J)ph{w8uxY$z9S8~Z*9kguhv*IHlrRUzVgvKK3IFr!q^^^l|w0h zNb$2KkkSfLT2Tt?0x4Y}r3<C725W1qEYMlK<Lxrt`N)~yB;GF3GY)yjF|S>sSCz=T zEN^X1gdchR{LV=4c$OAWHF$ild-FH()@Ebluw7;^v{$QLpP8+$y`Sa7l7aa|%g=WX z*m*N*@x^Cm7ZcyA$gN$n@QIe2@U@DapP5<6k@ZAN-3FlY*CuYdpEZhV^F&Ld%b~rW zer7H-H@P;BD2_g2Mz=k->L086T8CCelppPOpJ$VIBV~HltjhCj@@-@+&+WCa#!!AV z_P*F)&i#JT?f<pLS~wzj_b2}h9EE<Lolx1LVgNdRKD*8Cc#wRf81?_4;~&6#r0JW8 z2q^aXCS_S<X<Gz0Gs5jr%6WIh)uenL^+5AQEJo_EHop`UwE60xXZqB-JD<BwWq0pq zoX_16l9e`pFWBBs!8E*lC~Iv#AFx+>AH;u|cMj5C>79clusT3J1*{I-0xF^}{;av? zjR2o}KmMV?U*zFBMn4y=S{0u2!E_}Ve%daw77OX}k7mXt9`zOiRYgP^qnCRA4tA8u zISq4&$%@e}KpdUPMFG*B7#hkTU(KSENfwagn^&|N?he}mk^}uld}^8_SPI9>jb@M> zN%9}?F#(dJNSfmsae!csCNU4yZ_F=Dj3Kp+l=@ahArFx6#W5rBXO88eS=ibe6N!L1 zP95p$oEQ*>c_AqeB9mN=r_WA<exI57@&Gbj$R;QsWDg;!@Bx`2B;ODmMhY5hBL#dz zXsnGCEGMBpSD}m)>;c*6KGsf=E<9u!4P|{MvoZNh#d*3JiQ;&Ud~W_5W}MkErfHNF z(>i99H_jYx#^R=q&B;+w=Yxr3Y#!2#qmKz6a}6H185PCPF_;*r<D(^3OaflmBP2CG z(tr{gLka_tO&w|djckEPl8L#LsAThkXj}JwR`h_Tab^=U$9r>bG{r`HLS^%l4~@cV zc#=+?Jp@r_@6hN|XD{UG>Fn9jph??<HVL}`f>5Ut82_Ed0yy~s#WTT{)j*S<uHuIi zw5<BZ0s@Gku>j&ic-ilM5W${2tH!uz0BU)MF@ivyre(}4t73rfsvs6r%|=C8K!fe_ zXd?SLEv5okPiPz6M3$x%<*N{01CKq@gs)U^3`>3&9{Op%#EyqYa2kNcA*f5$VvtQa z4yAZGKpcU|WS73q;n)t&vTf0YVbO(PD#z-40Pi)n@&!YMKL)yt%ApETKZFPAtU4Xj z(lIX&2WfIi(>Zu~Hm4r1kCW%J<@2_uTnGHK<*0@xvgTYHpvz}iAEZ-Nty`Ep9#c2u zL&qVc!edE9c@+aeo3F(o2@)%(OIEw_9Yu!@omz5TbiFP6EYI#tV|hx|z#SUna2aEz z2z4|UsTve$7SAO(aH{=Ph&|2eob<D*!9GIt&wnF(k92I+!ST#1odQKuaxoi1)O5w5 zcyl#8sx7R&`da~=tj7FMK!?sL{7^s%HncYmYlx?mT3Nn)E0}L}Ewx4^TV1oyZjbn3 z)`R6ste1^IzaEJh1KSQBV%>pl>2Da>Db~#F3DXC4%NW=_O*8T7ftkr?3)8wA7Bt>4 z0?@Eteu89HvwUnrzhFqhz=X6RT~ZQ~#<rc&1sl(!lG8i3Zd=g0hxI2TIiW-5nXTIn z?09}ht9DUgnuT5Cp6{Vcby~--ufyz-ZYVqWwuTNC+2_v_Bl&6*cu1-FW3A)=2bje_ zrE*bqCDE4lHbUym2HU|yO+r0ySe03i9m+dmz_`8>1`il9xcA6D{SDdw9f}o2>AdL+ zr<Pt(GSwlCvhD8^)NlCjuypTGTb^9+DJOsK^aT^9&xVG5_T;G(rlAjfmp&8*^V1Cc zrH7kj#Lv>=JziXJGdS6vZ{8qJs1NOrOg~Z|iWdI4Z}&F-lXRiZhnarl^}}hxb0FmI z#rfpCvvxX;uMQj=X!l_=%r7Gy-?u#Ql!y0dV+6d;gP<`Kku?m6({WQl>oB9T@e0iO zFzs~QL@=D?{sRS8ARWtPdAi(EgmoSSjVqBUz<@X%R|488udJ2*9!Yt8r_}PUL0IQO z(AbPjoEOqg$1MfJDQ}fS9<LiMZzIAw4`tkgOte9*oetgvhEv`a$m4OcejJWzdA~-O zc~ar&N}~*8af9>5_#N=hbYEgvb15^3V;ud%@Mu;xk8;yc*okzZFC&)ewcCz#)FWue z`q8}r?>vs}j&{LVD0Ccg2s=)@@ebs@1)g@gETZG^j0F&q17-{GwLG35mg$uD5c+9X z@U+wNG_BFe|0#5#DAwWJs-C5x1JJExC;4~m|7JU}eQd`T3%k?V|Bch9&O7fRC(w<m zmw*nXMu#c-HPZe_44;y=J`^X0*Mke^m*<>#hG0t~B0Cb%Kf4LPhhUcA1%iVFM+lA+ zoG4f<c$wf;g3AQ26XfWD_29Khyi@SEg1ZEHZ7`mbV8mwyUle>r@Lj>L1(n_j>06+4 zF`v>i0W*ZYfao!dK7##-xCsrDc(n%>bdk_ABz}(2R|vgG=oLa=FZ9hqZxQ-8g1dzO zq+qq+>k|K=pwbtizKw9sS+4{^wPzKy()$313x9$jhiXi(_HrZtRYETzf?g#wnyKFW zy6PWj5B5{GOT3^OsDbV-bebUVJ4}}?I7D!`;8?+52~H7I{R8=~5L)#Q(5im`R|tQT z;8wvq1n(C7y`btJ$hTYQX9QLM0RPWI?-P7S@FT$kg8vZYg~sF3ddp~`n+e7Xb`b0& zm?GFiFiY@!L1n5Hat8=qAUIO6;ht+w9<m;n3#xtwdWq1gpMhR2^ftlmg7*k=5|45o z7u0%88iOUDlRreYP#*ZM&>stOK8W%E64d%i&N-6bT<}amt*2D|6Y=K@e~6&!ui%dp zn)3pb!)XH|r&ft`1uqj^E_kir8o~8~4fT<C3;%b5zZZO1kTaYt=Ow|{1>Y2WU+^Qr z8o?uioDyYzt%qzRbev#I!8U?g52<a><CROTQ_<HONF~oL__-jTWiBMr*CT9=pYwh6 zUA=nrl;o$jHU1eN3V5Z=P!P5?9<id&iu~f7;#E5y&9y3hYjO_HSmIkTV8vo+xTY?- z5&x5x7%9f#UoF`WOWq3;pM+j&(YP~$Lk}*AHqSJY2GqIlF}j~qJu@*7<X6G>z*=+U z5x2W&XS<#53^Vfu(BA5H!QOT5b0-%?w{d0G?TtJX?fc!%OA~W~(ZL+>sh=6Yv*k`R zo0PZORH^$E`P#U$>-PE%E%!YcG|w}8-i!T~c6rb59eVJZ=!oMHUqv5}IK1u9awFm< zYpZ9?aRYlYJrSECZ)(}bcS}@dkN7vBt@5vSuiX)(_U_@Jxn^IDF{7&H@r6fgpP#WH z@knj#G3@>{bG|5E{AF#<4AOg^*a5AYnN*eQI~488d~@YaXryL*S-V@sChb33+s~P< zM^)~mypC_Kfo|#dwY$4e!lb-?PwcGC?ZSKy)b>+6=BMP`g=P<?dHbCswbN#tNY<PC zeqWoj(5~5ysMT&2e(i}PwHMDg4F3RX$JnOTo((H@x;L(dJ`5%M$=iuzU@19B6%-i} ziz7ChU5&$+^9Y4Ef3eZQb12$~Sm&*zma$_sTFPHhduJ<X4%2!B{Xv`+_wCT3J-IV` z=c~}CEv~(@OMW6aT^d(|?y{uz&aC*LD{C9HXRp?nSxam0#5@(^^Z&jVy2$)xwReuY zBC%^&(m0Vc?&{h*7b-~$m82QVYwz@nBtLYAGoGtG%Wnn$_L=Gb`(AUSZ_Chwi+$Ec zBVcackZT;Stbn#~A?w_;dOhle7HQS5_DR+|D=IiVxGU&CZ2FPARr_6!AXSvA?RwO( zU+vS-{CSVKqY&HW4Dz!!*O>YJYM;s46g-II*j(cphhqWf+58bWHl)jsI^v5ufStrI z#J>i;<V`5MU+webBA{PQ3XTgxtID#*-4oQMQ4{()jv}J=W51c@L20++NY0>!bjI5? zraz+gy&0Q=cYkJra<!taG@|zXRxAzaTG^#d$um>0$?ctY^<L{1b93azE^P`EtTq14 z?oDRpW%1SVo{cW-@y<_-Z~O2OGtzsDXN{}!is~If_Vyb-c5R-L_d@*sPuRce_vv*X z^YUlgC09pnac@p*iB?P9i=Ej1pgY)y*D`iO--h}g-I=*FzIZ^fnGJ61T-b9*dm-H` z*ts1ajN^6tD6Z*ypyS^03N+*v&a;bY??Ku}59~$XaxF+~R{T2hc-M3dnnCcDbfg)0 z1kz)R6N=4V;0^=_(jj>pO4!jX7$3}o43uyWC9{O~K`ZED+DBQ!fxTvXT*V6`*4+AW z#748TyIS|_$ZDf~!Tr&qX+<4O@9G;rKHmN)c2j@;$y>a?tNWkZd>v>G!(T@{{2Dsw zS=Bq1=_^MR^sIdHSsBc(^{nb0#q9|);ygwaOkz@Z#O(y1N39L=Mcf4GVU_DrK4?Q5 zsMX`v=T1~icR=NH4}~nHa^=g6w<RdUOI2dI7cYL;Kz%R5S9zbtf0_4A#9ryW9(<@= zx!4UV*SkPPG-i0N$@eUu`&0ZYm1`O@`O6s<R=Hk7GOTh9A}v&|M*%LXTxkUbD%WG6 zqi_d7lZ`T2F}lNioWYrV%tmwUV2);xZ<Ep0B***(BwrpIVZIK^P>P)cAHx?Ca~#QM zNnS$oLXvzVi@7vJmXPFIk~yBSUm(=@su0=uuMGZ*F^%`&PlB6Js06{3U@VW@yl4y= zV`6#K<^&SaO8vUd<^SfJR#h<z89j*&=0%E_Gf7S($u}LfCt6Y0+Y~gmiu|V{4fA3O zJR9!>F|V>>isaIun930OJCKc_msN3G))Nzf%r@B^Zyb#-5n>RDnL;cB5yL^6S<J@Y z0TSo+737TLIrX{u|8rB(I+U<Z6)oPK9NVffW^rh9aRr=gTQx>MxU{bd5ZN)IqGcBh zJC1>%q9ri?+bY@wl|We`*9nGI>HJL)Xr&Sq5FUdUy(xn`a3Zls^s#aV9)QPoP{L=b zBH%MXp$&Ac&5$<(9?1#n5;6)2%)rFMlH3f|!Xptui$M(1DOZdCui#Y`7`TJ|%^1xs z0XyLBsv+<mJj_$m^yJ;Dmcw2F(9#Z46W+7AggTq63cz0{ct=(HgT%Mo36oTCY#Cs^ z&3y>KW-*Pix(d{BvMOAOhaK&#0XK&r$hB{Fu_IZeTOH{zLOeY3<v@~h`Y;{l+R5VW zQ>$>_fCL_gruBhnS|lma?Une-94?9*FX0V9E@p!cop7&W&sC_tTV%{FBR-<|r95Qi zcph05T@1rKa%!9(1M+Yg*41N}RokuPR1SRx_=bev1FCNyA&u@fTCOS#f1EE!w&nM} z0Vrh+eg|Pg%Hn2sH7<c1osae6Y7T0YIaXBTKVx-X_$;MX-G+R;OW|4xS2wjvw<NRj zJaGvkk`P#XoTofp3cHHkm3co@jt#_)$=oc|rz*dC(1Vb~^F=*$xDi$l(v1L}zitC& zwS^f5yPaLaaKa>bbc%2f9L*lM&*l>BqE`=Mv6=Abm>i;(z(?aE!}=R}0FcAU6kH^P zWhywFr|@4YIF=(k_Tl>3$f4zXT%v@T@D@HyS5<*PrOhXBvpD!J)qu&W0mriEErhQx zkep>I!7#!qc+0rdthx<>9X6lvkj;068irRL54OHE-j(*KvacwwVt`GKHb6q<!re8k zDtyk<)H%0LF2X3v?v`oaDvhUxXYvsxwt&DA)UJ75M)>TeR<Wy79-50Hd4??`@_0yY z0y3ew;SeK^w>_tG(p-7wQU?2f&g%!J&%SKx+<8j>dD=?|{$iLJw0b`?(6+7BJYZdd zwFAupc*Ugg`>{mp>}+c%tkl1SuiW1O`|j^S@xL&TUfe%5v3<ApqpkV*2=qT6!C?!m zp7|Dci+_V}Z7fEfZ;)p(?96{-6atg2IwSckE0VVIVTS&2TG!Oq(%Q7Yxb180A4+R; zmgS%J_695EUR8MhG_TdXFehb1@6PEdojZ2!kaAAP?kOXzCV`Z`4OlC&y?m`}niYj* z2g$v!8`^mYlXOVA5n1}e#(&D3-sjX~HA}Yo2CTCK*1&*uLEud6B!>^=TAQ$MJ#yMv z)&?`XeWG;)$I<=~>kVu{|D9Qw*<oPkv~~#{QaUH4T-+@shybDj)}!3l{tS{nWG0^= z2|ft0!75Zol<ghesoho96Ia^y@k<JZkDQ<u5rnkogZd8XH?sePzQczP9WKi9!pX%K zho<cv=NGF(oGTkf%$;m}$7%paMs$o>6BqPpu#G%ag;Q)7Khef;9tUjw{0FRu2pvU( z1r8WGj8qE$k?B<XkL;oTGs10PFHV?vv17f$>8ySTl_$#{ut2g8mN}?(CM5;aFPl?3 ze9q+<wuIMIu%OW52(=Jm=A1bt%oJX;5|a8IOCx9lUoCJ6EsRhB`CPycSrg${Y!RwD zxBQ1|^Rrwb5sf?k$<{~k0dRu#5q)C{km(1nk8oUTKmR2X|50lsoDXdMEYHWO!rNfT zb-=*(UHi$ecsh==5>B0WDi(hfBVBXwITofoAN*)|od-cR2VcX0I2~6ET8A0+cVvEt zg2d^#DWIL@ZfJvdb_`HiF3Z#9UXHNNgP`$Zj9~;A5U1njfp*F(>|pEUD37x{THdt? z>pTb=haeAXf{@d3%fWHVD|X1^wWH;2L0IRZkmHcY`7P~q+y*e5@)kfIkCXL7mXN%= z5N4iKc)Hn8JX80g9pmV!=XIt#3*&%Gbs~vlJYQS!!dRlCHXz-J)<{%K9-Zzrq|4L< zkjG2+61?*`Dje<76mhy;P;HhQa?#L{><>}lHe($fhiBZ|5_SW?Yym!pHk8Nn!!n)n z{(-rIH1M>eoD(@G|EE|Z!Fq+ATg_Y?4OPruGr>We?R2Zz)W2iR1gB8gUbf>I3A@u- zGl9!c^()>}>aCe@+`jRuRDaooBaWrvGFG~DUMH@`vUUQ9E}&?fVzp+XBN3gyoA7%G zW(i&(I7o1WV4>ht!I^^d1Q!dg5WG=vz2Gf^+Xe3zd`R$d!Dj^jD9CGs$Mc3D`!neS zf^Kv+(oF^13#JRIwG)WXCyjezJ^SHNm@1KU(}<uscT0Kmg<dAOQuu3y=2ju5yG`gj zh2AMNHxV&@x6o=)IOuBOzb&YA*We!!`X7SI9ys{zaG_F;T1$cYr3#%z1l?b7jNlZ( z=|tpH^ZrQ3^*E$C55Rn!UMH%(<lt`=`X0fD1ph!p{PRMi+3V?kRewXfvj4Mv;|0~A z7c^(j$>)88$om^HTX2Zr$<|`bk$Bb5kZ+;Tiv(8+QfolDs=om@34N>JuLXZ6sQMq$ zJuCDJf~voP|C-Qm3;tE`pkS@wmx5ml>NOa#JdcpmQm~C+!?hTk>Sj7^?><}T96`0# z2k`@i9xgaq@K=Hp1xo}=1+Nq=7hEoQt>9`wDt1^8wFU^dO=#7xLEj_vF2Vm1d|Xhk z)!<r1%6VDvHNky?)NwFg?au>#A@u(WQc=Npzo1^T(Msqu1*ySeI%+bAxq|tEdJV^D zp*caubQ1-+OOEs`!Ak|N5WGr|x)G-1Zad;Fg0~7b+)LhY?Z%Um?peW?1@{W-H5~he z)@wKp2>nk%6VEr6A1SEUaGW8uUc=GQK0fs~%-2h>w_snv!GgmC#|r*RkQ0E+Uo2Q6 zSSna1SRr_g;B|rx_mXoimh$cw<m?*h#|57g{G%Xe^cl~IJYvJW<p+dcBY3L4<xTO7 zrJNRmiGphS9Q@8gYdiaA3*AdFM=(!twBR_wiGouFX9|`GUM_g0;Pry51vzEU`fU;X zwcu|AcL=Kad!+k=&`%2P5qwGTUBM3o4+z!>ekFKJ(1c8u?-8UHk8~@+Zi1<Ty#&t_ zq@s`MX@`nfC^%klieRx|iD0Q9b%V^eLa<VBo#1A{D#0Cs4+uUcxLfdf!50P9dlFu3 z_45k1ZB-r)U!lIA^F7#w7i#@H!&;_ZJpy5u)}v?oIcI02_R0(dQWe|&PfJbh*|TRL z&?6(mW&}=SEfXt+CeKh{uVspT!00gXi^lFrU$o707xgKMeXOM4VBRBD8u`ZiHDKUf z?pqG}{(WF#U-brp%ku!hQp@_ZzW-TriYZWk<NY2cbw^Am_|RH}h${hMeSa>Q?ug5n z&Gg-&lDO5Io7=s{rucs4;lmxm3jkg>M^_O(>n6_;1bloBg+IpbD<Zi;Z;|%#>4lH6 zv%1vRdp<+}A7g(65NQs@znO~^_9%sD^0m%C!Z7<VU=~D;BjlaWs9{k>guaRx9!1e+ zkdn<2s1+;o!&S`A{k~qk-#5a!-`7`vzi*^-zi++WzJBcX)w*L0nxh<>e?cn8b53i? z#AbGw-BmgDo~1$|mP#Yh3YbT`e<9#9KH|T5Jf;zLxtVAfgN^g;Ia_0L*7%aSb1t4c zaaLg3^qEuV1v)Lr?Adwz#k1!JO6Sa(IWK^jy1>*~lcr9YGIh%MjO23y>8WYymu8Hg zG=27X$eTHRQp&}XC#N7;_t|r1PwhT+;-u**NSE9r1<|2j{rKs#C(oQeWhy6;?cV@l zI3}N`f4>jxK4+NiXSQ?ELTdd9&^}q?`wSj2V1)fYVZ_wZ`6a^18&NP}(DX^;N6eW& zck<Nn{rdDV8dyE$3@Ya;XH6`{tg~GuV|Jf8Gw08mJ<pzLtv7WWF1jAu-ij7tsi|0* zkDYySKuyys8>kG)tm+BozMY%Qq*C=ZqM`0azagUneFCce)tO35Pdz8lY3|gSQzy=w z+Bt9`CRkJS>Fk~{;hgT1=3*0DabWJ$%cjqpK4*3ytye~m)U$tOguZ*~oa&v88RmJ0 zSfHhWQZ*}Fk~gt*VtArib^w02h9Goy4YYbzpcCsoQgyg#bLIw6%Rpc0bb*4ob0$xn zH*e0|d7XcX1MAI!@I-b~!%Ric(+AdPr!MF|IK%KZiWnT}@_U=OPxG6n&g+ih-Z5?t zCx6s@u5_|r_Peni;Z%D9&w}^C<26vZ3_%S#9p^n5wja6nA`s_!(s$8@``!8@&oC`S zWB`ml@OYgFIUfeZ9Q$#Okq=SIW4$<!;>d1n1aUif+UdBdVCXR8vT@T7Fd$CHO$6;M zH@=abj^(mEU2ZADIuC+se|vxdaXPL9v{POt<nbMe^7tIm@*3`UD?|O5PCG4cDHu+9 z{T=c+XQ1V6L|Esc47KOO!O+2*5a*Ov2zfkC){n11THdb_W*%O<bT^<w{8kGA%^>{_ zL7nbgoUBX1(@v**7z~Ig(foUn?nHCBFC&4Y7~@l<>!dRQSwFfL;GM@&<Y<?sh||Y` zSI<yiM$n*rlD8NTr^_Ppy+FHrak5gu<eX^}c*=`~XPG#Tkb4JnKV3sq{kl8Y^^WPM zn9FruYvES0OZ<1t)v{gK9=2nPhu!JS)wV)%wmFZZ!CY<Vq1AArR_@vM94$Jnnlr<B z=Q&pPA_dhPEzV0v(n!=z_&o&qXkvObM++Pz^f1AT1g8ql6r3lxSa5~lje_e1)f_G4 zZWsDDg3k!PD)@om=Yrn|deJZ(yZHrUi0F7pM95{oB%UXTZ1u)oIAlfh{7??>(XI&l ze37OjvQHCL`9Piv&QXTPYUyeJNn<t60h$X?$&-xL8nHc0-=j!jP2{nhoy&ih=P%^U zW32wp)iFl6J%3UV@jf9mR@(|HJXTW^tnLUkpJ)1BP$|_1r(ACL?`^6Kl0DNAwkDhB z077H6mG)Te*N6{)kji%h$<PO>eCtVG?|Fc-I93}#K{v`+Z4x5Q^YM3rvDyVPR^v8A zGl$tQR^vRc*;^6AqbS<UrQ~16YX2o;weRt%k*AEGWULk%PdP?u^#??-!i+fe6@^RF z&c^2~LPIwUI|olJMe5-E*^^5#@R`RUtYe&~4(BHr&M6V~YI=GDyq|0!*YL1TXJmJh z5#29iH4GF>lfTuXzl_yzBd1f31K=-XHNyy?9ex?B*?l4vZ9(_TSnZdwn%xudCW%qn z|IcH!6MUk<p2X$X8PwS0M~u}naUdM)^cBn(93%M4SPkuiv0F-7dXMinR!f6+jqcQX zwRh}EzEkSes8h1%P~7I39D3Z1lXQ}?S}UeCebqdTF3)EGOPN{GdbJ3~yFGtnygOnB zAv8d{9@Mu6Xlfym>3dhDbbqDtxzz~V=UxC|o_~TR_J+M~4$+}ZTjco|0pG2N4{v_; zT}v{w`PH|E<Py(sC^9raTk5$Fbfj5^e=~>_jsY6iN>Q2Cj{zvtI9YA>Kc6XwMNK3O zP{i;kiZ=5pSq;!wnO~G?KWk+g3@~AdAx`UQSo-{<@$VU+(I`}CNcQcKQoR>#rv1+G z*r|qeb_0ZlVyB~o3vZJ+g*xsh8?Bv&+U>t!aK?E+=ROI}?`g;IPozg<m-%IECJl=# zU%7wB*vx+H*yZVR`+$tJS?a$I^WZ*2a*Tx6gpgij1j0HG6LM1Wb_T@hxT&CZm{DnX zzy}x*r{gAqc9v`4oh<;~sm5l*P9m?QfxH0ZaXy>!pqdFCM?*c@rI5#T+Ufc&1;bgt zREIoXC!7n%YpSwMr}KbRHXdCLh7LB=qvb#z^Rs^6rAJ$883ygbX~!V_4#8B3;QFxB z(WBjhbf=?7+lO?WL^grPOZNi2vt69}#ioeU?b1+>#uxk3(W7m_$vPc9+DpIa(a_p# z@v!^xdNliCd;&ch@7X_kY<4m|8t*L}vyBs+C|E3bnc!7|%LK0zTq8)GHsx#;qz;+% z9zm*gNPi-DRPZ<v{axwPfEF$=>d)edNKex~#B@O<SLxZ;neQjmpPl`E#$>%xGcweW z>@>z?6?RvtHzw<T;BaHBIJ&rR@!mT3ZrDhwxIK5tj-12KRWvDHo11g^sfyk!cGMY_ zk;l!iCmh^pn3apF^AeMb->JiABMzI!HOH3!?{A7P0qqVfIkxnRcj|madk{z4ZOe}> zK5_^#(JE$7oiBDzU39AFNURxsB-Z7_w}Xss!>jk~Y+T&Dc=@qwj@?+?zj!o46^GXs zKMe~`g+<{0@1Ei}6tAjiUr}sYY<g@DGy9zClweHp8O2v0TYl^Y<Qj!g#o?PI7r0;T zDSln?_7!a{YBat1bmp{<#2RMy@?%#Y-&^O~HdvK)^_MIwc1K^7b?sL#s$5IIU}`h_ z>7wOD25R}j-t&UyM!)&n_;q=ec`XlHMryU0ISTgW#@D%F3(Yin<o!Vhb`97GerxiV z99#T_nMrQT>dwJQ!C`goONPTj)iTtsPteSS^{Go{vfYXrwQ<23-ST70j+mKfw^-|l z+xO94TAy->^{Ichufz9!*5Emy$I4Hj-<hAHGd70b2JduOgIa`y7%Qtmu*;JO%Id^F zJyyOG=`9+7vh*6%zKrIQ7pq_vA~AyI^9m#A*O3a#Q7;CBN6=jCi6jpYLSOvhO9ND# zYQ4YD&20c4(>=`OwdQRE%J5SCT&|`D7I_yTe3h3<(lYOA#9rwwM-rHy;`@SothozR z#1#Cw?d7aKr9zGLxo`4hBa?q7lie)qR}DWc_qs#NS^dMAaid3F&lrJ6`~g|qn>`*t zR3YMAlU(K`pv6_O(Q``D$RPvabRBM_wq{IGd?Ut8Ml@Ez@_6X(Mov0&8BR>&HVpM) zup2^+lSt-~WND2bA=#H-d*hwUwi?xsmHRbGuDmh(lYE=x1pJu;NXDUv*ira1^S=Pu zk7R$wDw0a%*v=$}Fm@-&!69-lNi}IOl(9!h_F=3dTcgNWt{^f;@TmDt6}y13BS~^A zQ!L+0%uzgA?#PVm4*@+v(3y*Nfz@OhShUmCgx%o~0!{fY(rhj$esE7R1raEMH?R3r zXX=btmFYYLnyx^k%EX-zl4(2w>ILWKHcZQsxc@<AVly_~r!#R|gJddV2r11C!*!;Y zb*A^3sS_eqN&FrjcIfe#_)1*BOpmEjn&FnNN;wOGrkn;+8HR&`^SQ4@&gSfZJ~#h= z_}t4+_c<f=F(VH@W)z6hUoqmkX7x=Z{S6~U#5mVNH~98ZW5@DmT%t~ESs>%um}yOi zo1?siQDaphO*}?gQ+^exX%x6<r3|6ZaHd3CooVokOv<qhxtf~D-bFK8L|#b<cS11a z*ppxuh;Rp@Z7W+0F)<=S2@&X^(hei&w8N^xX}L%z<hW`Z=3)qxM7iOQB5|0YdYv(f zPkOGRfH@!nH+@;g{4$5wQCcQn-tULU_i~tvbD9VecwOM@I?i0|*vd7*zglNu6fzfA z@52rjVT#JNz?q9T5#@65AB2aQEkcnB4kIjscNz12LF8s_9bY-hK~x?*zM4cB36Bpk zDP%6nLIzeAEdz#fIrwK~A=6D1`Fzt+j*{&7G8MlHG^EyxF9HvR5-wB0VFWwn0w03P z@mx-VM~w;Up=B&^6BpTR!g_d@u^=SB8|W>n%3~2yjx2T<59~&#cdTMC2OhnJz{ZAo zD0Pl{A|q4vXjR2vmXcFIxCq`dO3W&xR*q$`FEwZy9=^1v-7;m8-Y%1EjCLhR!-b-m zbGeEhLy*=8Mf0@Y0MAclX=a!=Kg4U$YSKKRXr3D0SWyXrdLcH-LT%3IA{DJVj~zWf z6wN5d8M_T6+P+@2%?qDzX0dtmL+7Z$DZJYj6N)}TuOlm8we|=CJ2E<88Ik=HVLqjl zV?586qoXGv!lfcZ?GSoQlid?O4}KXu+ntZ)r{F(?{}ldn_^;r#`@ZRUo_Jn({?@}k z1OF2IF?i>c!0D#bXNjkWZTlKLAAEisb_~3Br<<+_8F)@8izkD!-h-#C<_>v9;GIr7 zeYSXNcq;hhzYP9b_#5E0JKc0lf43taUrJrZ|AKouTV}qsY32WR4^3!)%ET#CCSVJQ zJ;^_L&fKXJCeO;4FmL*-DfU;rLi7H3wUC*0e&Gwpj+>PFx1PlpzM3Xmvy<DUSh4)X z7ryV6N()-ig>IMil~oA)TwBXZ5&pmmAiTY-Ff9etJ}Vj2@5@S4(o#~ap~)(7wo07u zNSvQ!CuY{)mrZMz)UKU1G;kV;A1s^J7Rg>zg+5%C+^$_3$fp#!wT#7mplYza%vr?4 zD8ecTSlt3`&qSrH;mOt)c=WLj_geX=!`o%a_^4RA&EOII84TFaDoyUtu5Fl$WQpyq z1<CEYw^Lc2Df6wkKu2qBd7+Ctk3!~)>e<%P#<O5HtGB^ViREHdTJLmy5+=xrGv>@M zP2*oWqI1*u2Ln=SZ_R`W!+PiC*`}Tv>`+o_j7c*up;f0)@jt+>7OgM+WLgYa;`aTv zUWIDkz@8S`Dy_cptdppLLIUc4HEizGdGlvF8b{1$>D@~q16guS)L%h}Emh&txXw_u z_jjn8!dGFqI-~mZ={sVCVe616B&6kGnbJMJ+sKx^gUq=DOmDN&yRm>HCeA9s9zNO| z`|tST7$4zIJy8eVtHkjJo*NTb=DRv#x%V2+3inFuTGw^vfS685@PqAX>1*+4uQvLR zvZci-Ann`|;LC}FZM+9!JswTk>9|}loO*yQ@p$`2y5`_xN;ISg;D|!!LD0AiksOF? zr-M_#&|$`H^%@5E@@uE#iokG|`%-HR+K`Ur@(q<)i1Xle9s~^w`hoxh;&dF>zdPlX z1u*&rkMjKRTHbPmbshwbB*@$0VCdiy#5v`y3LqUG<CMqiM$6lPu+D>^@hTz*sK-62 zaNIhCo$|7i?Bk<64n4KJ?UIMX9=ZhV%V_wWIF`p%C`6s^2`FkV1+5+9=pTZ|=`7Lw z)Fvm^8}S8~>9w1QbeWm}vVL@X;GM^jhvU%g%ozfG9H<V<4Y}=*_hyI+m&Gz2&1K|Z z(c0<gjY`l@JD)(FgQbGbWBMufU+Gy8yBysrHudk=f5ra7_O@O9+MJHws3kJ8O?ZE= zxBn{qWUa3@(zk5n!KI8zWYLpAkHT|IeaabvluesR9f`OOx(UCBV3yzof`bHy30@>P zS&*HU@-G)$B)Cd&t>7lXTLteD<Tb+le-M0H@CCs?3%)MMd0VD`U+`1Gqk^2KXMCby zcR{@$OMUMN@oL8raIwU%BI15oDY%Y^i)gFF-z7BnSyKN02>qDQ&k6ma&}uRt`QH-y zLy12iw6Y0=_&TBS@~q0^w*@FaPH1Ht2y{T`juM|DwEDmW;+2gc$m=8VgC$;l-wE;K zg`Oz!mk3=V^g^MR644I)+yeW_df`_I((X9<zZF{9&_ephg#V)OUlUZee~|tIp=*dp ze}o7=Z$NBkW%CCZLmK=R!f#JR`ZI;EZ2chJ`4Znp__XIuIb(=Of1&WF34e~Dvgrf) zR|$<8sPw!`Fdr@ng}i=A;})h+O?ZG-*9$OJ_~!_oE7(_XfMB5@Ck`lYvf#ynd>)WL zUvQb=3c(u%)pdk)zZUv8f;$8s5PVed3Bf&rFA1va2Xg)@^v8k+1&;`RDfllzPO7k; zRFn|U5KIsZ2%aU_RWL&^Q;?b!=09CqU(+T1EWt9t3c+gxuM=D=c(b6oeo@|SLQ{9c z@_#Q#brtC+1*yy-t*&3-exctNq;`k#Ukd(1kPk@m)%6RE5}N8C^4khFwC$BH{GNj6 z3HBDGzKHop2`bxnplM5$d}aF%c)8Gv1eXY2E68sSF#SeBZR1N_&*0xBd}^SW?hk_0 zI+3mx{EOh*f`<hEF8Gz;F+r-Qm|tDjz=pQGsGwqecR}i@NNXEj+Gf`%;g1(A5~Qw* z>9wt{D}^o>qza4iYXvt6ZWW|bi}CjhJ}meLL8`SFzgLjjEz%zeQolv|YeBwTk#-AG z%SBp!83RaV7wN8o>4H54&lk)Uq&kf0wGA%ye2=i-FUY4OBAG&;V8i=ZGvPP9p9O^9 zK~Ue<GK9_)%ofZM%oFS{I7qNSaHL?7;1t1P!I^?3f~A5B1j__h2(A*W6kI2`L2!%U zHo@(JI|LsPd`R#y!QFz-2<{PlNpPRwJA&^Cekk~<;Aet|1&<2yMNXX`L7!kl8;Z?@ zuhzlfeCqou$8XHvO_1;1q#NE>vxT1{m?u~uI8v}saJ*oVAXQ<MTP!$JaDiZ%puX=e z6MBW<3E!U}Z=1w#7jz3YWBW7?KVPv&;+*tA*qzGu*g2`0uu4XE>OB(6P!3$f^*9k+ zk5i4+I75oZ6yJ_DI2&Xw&bkV$#KC$T-*NMB+hY}V6Ao^O99BIsm>%Sp*M`;k1`e<D zU3hK~D^mRHj-7F|4>(wLG6<_q#@n=ekT1=b?(4Baea+5SjXa3;Nvv;B{Cf>K4fE?# z%*^jD!OVOU-&K4e@};~Xc|-H!4_jtRb^pZduU+T%{Mx0~%&a}u<cqWFT<6AP%?$Xh z$zKhgnW?zyxYE9MU6}s0Yv4`CntgdL*32Z;xh{O{Ygf*LU%Lv-Bd+N638=-9D=MlI z%*>C~ikUxrdN`t_-#^=bjrB5nd9PPZ>^7eSnor>*^F_g+cQ~w<8OXGzZzQ-D*IHWI z4nSi>SS|Ud?Evr<$Kvza8afR@>^SMl==S_8Q1=OU#LbNGc|JiZES+H|4lkV<L#8|8 zVS?#HLL_nf71OQs9X@w2$WlvZK1ZzgUx+ij+!#{sMc2ib+h|$zDlhHWlzFd0+AF<_ z!N<~>xgfA~=5bIFeARQ?)&+cSzAs_v%t{Ymbo^tO>^fyOz?Ee9Cy)$T7x4FC#_K(* zN?bZKo%D^KSmcaS?-xGPoCThBEjael8J}X<OK0qGqcw<h`4u1PrEW-%r87Jpx_m^^ znNOqW#;qCZ!(afR#_dSvksL&lw?wn=pFpy4V>z-g`>}ExNuI^n{v<ghiycaG07<U& ziM^PK^Vt&TlAOfYfvo#jlG!8&u}=K_ZQKa_ahyqK&f>_V$)#X5nTo%rnP4`%3L$nP zNnC(H3A{ObH4>k}#P7qO!3@#hG_6FW%G3=MCV*H1-)MKhn~PKic085gB4*%iU1hjg zWxyJf7Z7N{ljd{t|NrgfCMQ^IqF13bufOV~#YtA3u;XE+Ndj6j<T@dQB?1KMDeaXe zT4Q<gl_omvu&QucPDg}XJVIDBKwz=;SDHkF+g~LZ{|4X#J4m3e7;8orImC_!OF2&W z*||nD7e`6Z?-O`|TgGM1T%*BKE*Ad<@K~<ET<q`&68PA+jLV(5#(=FHFIip=XaU0c zNQ(6-Dhhv$O@;U1s+6S`6(I2~C>HUS#9(;^gY5J85Eg+CTD81_0lWB;@K>i;2wT&! z)`Ir{-j?x!H^M@Amzvf=0FK9{UgGdUHR5IPSh7Q?fOi>lO99F;=_GiUacLQ`!VZVx z*~)X_Q4*m7-i19`0OeSFwz8vcWYsFx2*NS=NSwKKuAB}zXI7C^_gyRi5*9ehF||t_ z=Lq2#?2;};xHT(un<OI(J{O*+pKUT5o{dofzY2aE{9W*O!~YImyC0g5t;H6pgx>;x zKm6nH+MOz1w+&l>hsxyzt>F2l$hSo8PB$IX^D@%zF9`paEDg{GT7LM_faqjvesW4X zD>87t<<GaGO0BWk(1`!hq%!@%MO5|w(WKh{{YBKtSDDn5f3RrU2oSfcMDH(}rXuV_ zc32XDzg1=UND10(a+N?sLDtx0E3<G2(%xWBL;UB9lDniUidCpxl-!|92WMnb8%0%0 zQY(<$(R!#n-$iA;(&UDewDmOhRMKlzJ(Q`r^;PxQJ+4*yN;_|xmQmkfs=vEX)bb}( zEJH}B?EflteW(ej=nm`7>#;*ee!7}^Wy0rM8v9eJ;TzcSVTJ6K6lp!fjsLyAdxg%> z+de2+;_$un@(yjkqXmZa8#So+a4ft2`4~ct#_iFmmk;RMC6IT|+?a%A5m$Scd#-V> zuvWURRX5Zhr<2F^@{3MhH?w{~aaiJm$Ml<W8G;&gx^7@F%=t4qrW>Rq5!UYlL4+M_ zqXNY1_Ui&-!f|{<h*TW=Z(B2aPPREnjvXnF^+K<%CvOxYQXB>7;FJdGXe0ja6O>zo zbk1^pE$q2jmdo;Vx$_X#vJo_r5gA}WoQ|6V+9_`z6oj$hQJx=O%Uh1H&V!&a1oAjg z)lLVOfZ>$)Ddg=yB<1m%(egGRtn(mfT!c*gUW|4+xDE`bybTz`@;E6k242hCjxh72 z!qfGFj)&iW(T;KS_X+BBd!QSm4n{kj?jbPHr%E)x7YaL96F{b;dkJ2LNtYs>vk$U< zbbH{P$FU8^q1(AB;`DLEA*}l{g2r8t_a+14bXi2l;Td@l!Z$v2hwrqBT8e(!SqlSd zc@CBeI{Clo<eM1i#;UD&Z~wMV{sd-rlun*jc7D80J`*yi6YeXRFUS!j`5ZkErwh&& zyi$<YB;!{K-YmFP@YjO(3hokoM38-#`Tr#Nn&4Z4?+J2v%ygd#ej)gcAV(#P?<}Zv zf}r~gt>&mfFA`eKDTCI!yv^j}zF0-X#l-nT%G)LMLqc=ri1E)0y;tZrgnm!xkA(ia z&_{*lJRtL1xWFi%Tk1$R5jsKWc0zMnlJQCxkNRbj#{Ea>;DMthUg_QuKULyOh0mEq z=2tp9q`yvRrF%#EO_KiC!vCEhw}!DCrF%zyI<^n*B}CqDiM-Dc1A-j{y9%ZV_7Xf# zaFAeupz3dsbD_{v1*Z!x5G)g1DtL|HM!{PIw+r4S$a^#Esrn!AF`;)0{#o!<LDm0| z?p>ij5<DRIx!_lVoT;SzNI^coNH-H~E!bADlVCSNPHi$hXNZZMKq2y;K;&E}@mGSy zf-?o@30^L^L~yy_^@6JfHwkVP<m4&ktNspD{T%q5@Lv{G{T+PHkutsN=fE1Fj|kQY z{zH&csZ1X$7%!;$JNTSjWqhjOIfCa3a*CDlLj^h0N_vvubirAIWr7ugoQq}p&4PSa z`!3tad=FsyhW#9mIK___Ob`qR>i*wJ=x&1QJ_~u-LgxtP3HBFkcwLMXexcxa!6Lya zf_#}^eM<!!UN05GUnW>7xK40`;1<Dcg4+dm2tFYAkl<s2y9J*S+#~ps;6A~31m6?< zQ1Da1&jb$(9u?#RlE<fYd>WfEzHvQWU#~Q1?zB6VuJ7#3)J!|=sdarLaleK;sjd&Z z?<;I=-$Bv#eO!O%z27)|YsEV?=8Szc=E5yC#*7U$#=@Spcls}@jqq=&akbh9|9p+% zzoy0nZFSiP?}uJ6y|#VUrM2y{yus&dTv^Z!8rRfVS=fPZVh6q}g1hjOXIBSyt*$Zi zUx8m;V~l$RzO=T(!uhowXRNMq%~(_8TKF`|e7eS5xVpyjzXIR0HlkHYZJ^cC8ds~Q zYphnXH~;+p)sWN$lDa@r79?e%2Kn=9lOWjz$*ysbl)n@u?X9t9K+?jqQ3{S^AtcRM z3VL;o8zs2?t7|;1UV%@o4RpZ~K%N)!yje?Y++Cio@qmuVe+9lrZ4zrc&O8$9aUF?u zFRk&SjEHf8v_N{GhwJ<Yp^5azF3YRPyC5FB_al2$zk_4hhxUWsv=>UAac(d_I3<`9 zjKp64+dngBG}$$Fr#r))x(;b7(WaNzV#ih1-##<_XH>7NF<Vu`r=dnIgSUQWc6qJF z)uj?`JGXXemM;Tsl;ul}YU9c}u-8Z`yk9huNnd}`hxZTF4cz8mfF`F@&qC*H=&*<W zHTGH4%RTf^681w1n7lg%rsXE9XMy5Gs7ui8;S=9dx5WbW&~ryDVZ6_yZo$5Ya%2oE zAh}5k3P`oY$n?EM%I6N)z;F9`Olz3Qi_LogOv5`0|9aE@dW5g?{ucjb-g2b9(mNAL zpn2rWh&9%{3sl5k5e>6>oe}oAd38q8Y+f3;e%c0r*}T4BQuD}LFwExJq|2WTHq7R! z3zwQlu0FDsdX9k|Wsb+cIY3nmA$DZt%(Iaw`c8z+d<FvuMYkt8kR)%4(Z3}*C<o*M zgc{99z#PI-_~>uMHHPL;l24J$#h+O~@({^cD90Shqu}#c?P0LVMs1i-#qfz7b54kC z)IAjB`iDm8A#yay87!~xA~0u=9L3nNByS<f5u`be&Hph-G$0>ijoElUH~;?=G=LXG zt1ahI+;U#;i(1k3_mJzo=TQUHPVtxw!_LPI;f+tCq2qaNsG*z2!!`;CpCQ`T&@sfs z4K#G^RoY<$opxANIBh)*T`QG#IDwMBt)Z({3C5Qql5aiO)g6|^{-|6m{$GKIb}XE* zFr2W^PG%2)UsDOkFyRAA;+SwkzQ`)aUU~z(%c#t?Id;z#UY~|MpYdgG=P&zoZeQh$ zx!aZy)VwYTaNP`abc7G#n`8HT!8(`!n{QenkmRz1i6Jq}k_;~!su{EoU>pyCQe+b~ zgM43vYyuC>GOh@3qGn+{&{OQy)fY9&QO-%L*G;uk_jLAx{@-r>{MJs?dU^`m#1dK~ zwDA*{xacCd@l#E-c)&v=zJ!gRamiLmGPZBV*xH@QLaRl-b$LFwe_o!Pk&%{SMJJb2 z0n<G&I34NoldTrn4HNWBOG`_~w$A*3)gt*sk=8}YN+RSYceRD~OSAmRDcE4CbB<27 zJ~s+;R*mnHz6c5(U#1n6e5Q4?=xst%=k-)JqLEQMCmnk%>+Px3+bK_}s!>}qX^ARS z)(=q8*g7Qct2~9C!ah;|otrO14Jf-VL%S`*njxxXY&D9~$c1fghVp)gipHT*`R)yr zCsM-k4FA8jrBaO-Po}%!jqs<}-LRQ|(cRdO8a|tB_hWQ7&IguT<naNlWs}tbclLhj z?rta0ak(HPZI=2kX@apS((#QD!`IMU{BVSI9wxj51#u2aI~_L#3>{`%7KD85VCY~G z;+*BKXlB0-v0NWKkApZ5Ugts3=n-KU0S3hBxH+Jm@)kf4-;pTK53l7dM_A`U&=>)E zOsAcWTLOkt-U^4jXvArG8xYocC}T3@-R5BE;5x)P<!yjG9v|x$1Fz+6N0@n1;psfc z#D#v^F^>K|L7i?r<~~nHcN2lipN{S(59u;=p+MG;ZV$ZkIJP<31uxj4<7lY6p$VNg zDL|ZV7oy|vjAqD~3T6xN(G`_Eo*$O!)CJ`svNL$vX?dE~=;Z&Ry9w)Vc+dEj?#6!g z`1XEE-m>Am@IS?V%HEWNxhdYGh$95Y2~H54Dad;O(=8NSA$X(UdO<apj&!_+nErmj zhXfxNd`9q(g4Kd=2<{g=AgJc<Ag?LfjB?ux(t;LgH3tsVbKgVA$9-=&5gk|UFF^b> zp)Zkq^Mzh0^fIAW3cXh74MOw2LV0%zy;JB1h2AanvqD!3s@WFg;}i+&dr<Hw5q#dC z$yYia&^}y{q?L{bbPJ)Cjt8{bw}p5$_YIm(&2<XmQdcyuTk->f9R&Z=buCXxJ)aYN zS#Yo5K0(fx@c1}oL;SnoSAxd`O|~!Mwa%rP(D8x|bt{~|Vfq|FPT-IpC&(Eb(z69s zKLUM)(45j?{H=ob3hog6AHhcjpA-C};9kMk1wR&4{RVQ?eL$X@EQgNBX9V$xARg)U z=DPXPJ#*GPWtM18N+58mx$d;I)N^{O$?j9nb#G{i!qCL_GpiH!s-9uc&g^YF+$#c= zfm;GwXB7vkjOhtCxsQC4`C#!k#Vyx*4y~}d-V(nCW-23hA8G4~Ti@p9m+O{Qyj-`S zvhAAYYmM&4;men#1{12zxakgGx|wiGllAUi?!%V*(fEq^*qfTJYq7f7+SZkgg0R1u z(tK-^EkW2|ZE;ic^;oHV_>;x48{;;`Zl<N#{dIX2gNsKNzg4`VcpvQ1?t@)g_nK>t zdsjYl+_SPtm3zyR$BmV-8{#%DN=y%W51XE+kGogG4y+&Z%h5*iMb$Ms<2Jtq8@Gwm z->P#Lz=CVeTXjAImTzNW>o)bd;}J^ITXoSTlw@W-4UQlAG3OqALG{|;z+i6ht-9Ev zcj{tGT<bkILl(kD38Ym*S_Py*st;15AvHSo`QzTCJ;x)HP`@P4R@W`>)HOp~0;MNC z2i{wC%?jSCi$~h{Sf+WWE+L0;j~;PFJ##!F$$un(vIEi2?5zxL3^v=)dUMlF{#|DA z2w0#Ulb98Zz%f2|++6v@&hvH_)VYU(f2EoAJdQf1x@FMaCRmJJKv5SKPc7b!R(cw( z6llZw?ZbN$tJ-dAxix-6n_D^^a~+L57ICCqAGCXGNI5rlOMK;oR@b0DdmDGz)MP_0 zq_$jtW}7Z+dLQiLYqcJx#Sgbw9JdwcWB2~LoJvn+Y|l6AjMUL}vE!E>_n8BXms$*M znRn=;lBZjE*G8<}ap;rs{Rh0}oWn-%3UvSYCnAEuy4bQeVR@M604>JWDp_*emvo`g z^pM*>^x)sF9C+x%D~BFDG~ahq<W2hz_>z!2@6kgC%a<H<yL?X!559!lZ`Sz&cCI&D zSgT!Y_aAU2HHq(Y=)KbSTKFrYD)%4ov(&y19QvTV;-Cj5yO7U^e9^I<EsIg!IHS77 zD=l*leKPN_EuwF3bo2fL(W;)iR1VhF6^!j!l=u>CAx9pGjbu;oR9>@pe_cUk>#C+( zF~@dzdBs@t*j~k`N#9G1cUp{#&pY(~ynQX2Zj7mHTsbIl|A83uyddh)&z2gYq}~>U z6=th1?GSgHQvLQ$%IHKHNf#MMTl9pC_bxx)B4%x4990aDKIeZ9eT<_wT=7pd4?c3l z^ds*j{i>e{jtLG4b}Jr@v$yzov^mgd6@0rzY|B1}KD_kh16Y&0W$3~87d&w2z4D=l z4IW$03dmS-(6nBL+?dVArf$LbCt3ybP(IFxF{`-Zcy!WO<HZ)P)s||}VUYFF+@S|Q zSlE$Ak$3;0_sg$6==Q}w(L3n6;ME}N(b{{&9r@^9NW7=n)-!HNZF5Otv&u8p##Fc3 z+Txbj^>H7^Rk5c``y_62>;}}s2OqiN<3Lry#;%*LDsES09$Mml+?TL!YW4oQl8SwG zurpJ%4;GcD<KGv!8~@R%rHQ%0Lq!3$n+aRgR&06#v^~v=6N-ivk1bkPyrpPwacugV zMX)oS*}S?w|IMOi(-S7bj<h*q`oN-f(^nO}Ha(E;%EX<)da3Bsy$PFonw2f@X_-*j zZc8)ld23mnu)*x*F7^ju?RlU{OVK+!^BQYwW`WZ>vO2H0Ww1A`LKpi|#~1Oc`f_i3 zvHIMuD&dyLeH-_74gTemEWB{r@8)jxi6oORV0@VEQ1{yKACyLMd`B>SIbcF*#3zaM z0spk^=Zg_xEhb0Xex|0~`htJj_A`|d7M?4Hb$L1E_&jR0i!Wj*QiWAXxuBp*x`AN& zo*?+#>RIb{V<j0W&SQGA7`Dwgd(^AfEVC3Wubc0I5zSnlSPnFNuTemRGFlAnkgu_l z5Ubt=lia>TjExl17ddOb;qu3-7s;e(Un<i^sU2Rfq*%i<lZhL}^1Z;X7AV|rs%>%5 zQ9+rsz;Nv{`OaxXn_Ka34h9!bd3l1G>1ggo_#D#^2D&3AhA&FyP*!CU$umh7ki4EG zUlYw?B!5e?9sbPWBtL?0{A<RJU_RGscPx*}9K}fL{$hE|=4eK8okJ`S(p1}Y#*pku zvXF_lf{ep4n`3#%V^OcTk_FHdG43WsO)|_2NsYk6KlTd*%<&ZaKFJ{=@<kkD+{DTF z;Lxww0J(UBh?{Dd7g6dG5V37gkU4?mUqLo$&YVRgo4muyOjN;VLc!P+1}Cv3pS*|| z=46uRgKWwdsBB22GoR&_%w~Kiw<EaHBAyX{JXk;CL6AJ7=ASGanj;4-NcU&ND+!^9 zGgw9@$Rb9(XQxKrBC9$3)el#hHMbR3%6781u@MDD7W!*bGb)Pam1%3)*C>=E(~q$+ zNHM)k(w}TLG|n6a6<NqQbF|YQb3IL3BT1%JXZ00pPFu|=rlGB7=-F6=<Za?qyES$0 zlj8w2;|@CPL3HxbZYR;sp-138bX9=JtHm;|x{ECwa(vN*Mvjo6f};tEDmaF~T(H7h zh+xQ#MhN@E2s7X<<5G832GV82gC9zVfa(>-aDrX_>W6rP3ONpJpkyL&>|`0MA1i0T z509;K1j+YUy?knsk+E7`gd9|1mlq+3iwCt;I0Rv^333pjQhAY_sY)J2;6ZqBjNT+= z#F&FORAfUr1Fe+s(F75mL$rmDCX9zy$r+dej|$`vOW@HQgoQS{Kd}Pdg1zJ_2A11| zuZn>!HW6LLz;<}3C=UbN_BrU!HqL~Xx-w`t`6z-MBriARc#z}Zu|JMrpQ#0A6$6yy zg>7YTlIb{eBefVEg_O#14ny6NjM0RP;L&La6l7P&#~|k{aoPy1j>I6Hayj@P504X0 zSO|}X+fmNIwTeHQz(!Sk-MWyd+g9<}xNJ#m91J(;d}o8sw;Obmk~BZMiUGSpN9hJd zCD^Xb;VolcnQ8{MDEI_cAM#Nh<v2LXhsQ=tLin(w8SJSNk0FE)n`3$9czE04RVQKK zF8By`Fm$*o5<9{SUloaG!i?xD61DIuKcC&(;nDuF=#zHeA4%w<dg|CFxQ(`ghiaGi z+)j3oa1lJTyk%9B5SXcnaBm)|+glZc0+n-AcuT_ylVMkQ57Oe0$cU~YAr;<I&I)rH z2JK4VNLsZw1Dt*Vv7m~TW^JLdC(sNNTAIMRI?e;zat%B>HNmF@tlp+dv8AovuCCj3 z)fxo^3dbRRwkTXm0ZXCr7Vx*1hnt{4Hv#0c%guyW7X$XpGW-BMdL#SLS2_xtW*Pp6 ziW)}XXDo43G^-eZ;e8Nu%_;`$-Zs}&#V*FH#Dl%DkgYEm$i`7AmxKS|@HlwF40zmF z)D0>XWuW5smNSqEkCwl;+?$qAMS@3z#wPF(R6+(yY(D#|-Ooo6c*#>P#4D#etKZn_ z)4c5n?1uLmg=^ho*Sd8hIn(I0yin}ArdIJrZg<cz`sR$<7+zMbzpBj`0>vr5uB9Sa zPrGudRa!WE{C3qL3JE-X^qBDJ3q7T>r53mdSQ*N~K^AD4VC!2Q*;@Sh2#~;2gCMy^ zhI0*f<Ql1S@qvkY!J#_hco)!)uT#13LHOZzLKVfgsa5cm@ar6WzDzv>zX$#$2me!q zzk)vo|1Srh?@hc?3gAb=m%;O^7dODKfqx4AIru-qzYFg}HJiXUhi?TRfNu})bk6$E zM;@2)H$<OkAxCif%+jfIr_a7PIDhu!(&=+%&!d*!{tcKtdFK2nQ;q-I#T{y?hMqiA zAMSLl-9S5rR(0SwaY3q<wO%#M^Ap>(Pwap_y4Jja)g*v59qn7gj5n58Sd#--+>rwF z*6o3vQ#x4wfHgjUI96U1!CPnLTQS+zTqMfR!Rid_@<2w{j5bMK(-2C_ZDaLLw$9GB z8f9CLmm`#)GkEY|tPDxZ=xSY-Y&8l9d&sS1=clDv3lXP6{Uk}UB(WM{^+zDB-vwRM z`XzNudKR|E(~>$`^8**A+2!`=WyPZAfzGtXeMKNCoriOMdA3z!1Ym4C+4{=JPwke9 zy}d_F+9bbm5%(b<QQL=aTr_P+N_skKMho7bnWczdw`hq9bF1;XMahE)g8J0tuHX%e zXwCdnm2(4PtU1Y6T!1-0RFb!-_zzY5mPIg)p3OErj?foIzST4z<5Clq8=Ru-g}<Tl z+`VWk^1q?-+>H&&IbANOAI&1e(dk_-XxElCdPCI+IULbj=jp7h?cIxLhdrDX#9Jo! zN#DIF(7mg5Q+dAioH1?inbsra*_~QjUmKOhW6wL!dSy|z^*b}r+WHFuzcI5@Q?PvJ zl|=zE75>Hykk{3E9S3%=$yGp%1{+zJESY(et%uC~;>7m-Q@geA*1p2})JV2gqR8V& zay61JOm=Z!^~@2))2Effe0gclj0vSMcwRcO6c^K+sncYcM@irRkG(g6ucAoT|GQ70 zEQF98AV7c+4vPrL5+EWXYS@ATD!ZsCVPAy^K@m|RAO^Py5ZNKPj4LYOGU%XY9NflH z(NV{7)L|S49S{Z-HHxGE=c#_`oK8eVufKcm-2e0^m2bVZbyf9px~t!}YSt{La0p~7 z>NtfnXBYWdzGrH4qb^d#hWIEevf}dn_I2GcI0!v1cvby;^PLxsDdha?KWW<YIey#y zZt6W}7Rss8&bXpXmEcRdc0uRbwoRD<{ihk@CUB&kJ>R7>d;Xj`MbK}VH=*eA!U+LW zY%1K0oj!Biw4sP|#!a76INFpp)8sZvICyg(JvlI8IVKk$Hl}d4!|>_x>B|dy<ET!Y zZ34_LO=VfW@u)w7DmG)L7fv4YZ*A+`GVp`L-<zY%LEbZsZ>vAa-dQ*N|LDuadKo=w zw&U@ik9Owg4&)PCaI@*!A&`EK9dKj1;B$d}Wax!(n}_L&a4b)=g$LsJbfr(8Oy+FP z_Md(Dp3281mdARX4HqnL8v?rr0<5>7TDp%=coD}ywb^uh)eP>pM>9OlqD=0W`?LF< z3BSz)uTubhiYPtWY}_=+!ScRpgApBsvAh&GTi!zWZ60`?Vw6`wk2V{3735%fsco@e zM6x`-L)!An;J10;b@J-qyX8^(xfF51^4ei6l<j1BX>hi@P4Kh495`-|*25g>=IO_m z8Ao@oXw$t71(QqsB<8<O_Yfphr_e^7g`vWu>B~Ha1k7W%5~S;91(5aQwi7Pcj$E`O zf-trNBVe(1pj%_-GQ3U&%6pw2ZFXN|pn1+n7zA**@rU$fN^qVwvxR}Syg-og2E%_2 zeHjis$2Z$6e#n2v{Np&i0{UI-@%VNu^N-_nGJVB+MoeEO{v00Hm9e*Kr02cn=g^Zm zo#oW>%}L0?k}nd+i9A^uKS$&>OL?idO57;^Qrs*)C_W}WDZU`?5kD2JK1?&5EZh$* zM95Rc3&gP``ptZN0jE;N#V}vw=OE_0UUI4AwUWyv-!1um$=fAAF8Kw~=qsRnqwj)p z-&Z<X6mdWN*u?!;O2+f4k@-T-@NBW2*oj0wW7CQFJjwkPf1Wr|oThl1`mnrtlCKf@ zj?D6mO(*zErMpMr4@tK34gZF6O~-kIME|`d`Ja+Mk(`Vh7UNS%q;DqqILSC{CZ1QH zNhk6?L>adlLo)^dxxM60BJXQV$MFcVx7bhQZym!q!b6S~CyEz~yx%gOqcbFbGsqjo zW#Vday?CFvRopJ_5T6#G7k7!TiGLUWAs!OV`HcO4C7BmG>%;LlGF3EsUyvI}<|j19 zpCB4NFv$FF#_*nEUy<LQ7;f~zKz<FRe4#i&oFW#AMdFpBxn7WuAO4vCMzKuf$Rxuz zi5y|2e4l9a#UMW>`3dn^(OgG}e^v4u;=3Y0=(4>1;vvyoPYC}?viYn5*<4qk(H{dF z>%65dGRtW#=89&n0EC||xwpuVl1zVrNCQ5~=DGu|4fQ1o=a>}Zi^Xe2GnW9u`Bjtg zw~BX*_lX?4V*F#Gxeg&eEt%7TGX7QZPvSe`9&xXDNc>dfxEJ$>be+}|)5T1Y!@Eq^ zLOfpVAa)i{5l<KM#r`6{+p?Ss#qr`~akhAwc$K(N<d<INUnAZs-XT6H{z}{_J}15| z{z-gK+#~K64~doHSE7d-7x$kerimQ?V|YWciP%bPD|Qi2606TE&TrQ&=UkCrW++b< zx%?&N%f%()b>a$fmAFy7RlHYxK-@0w5PvH^E50PYD)M7G_y2eCBk`d4h4?R#6ZSHF zidb8$CuWPy#WrGlkzatApX2#tPqD8!P#h$V5(~sh;xzFx@d|OFxJ3MgxI$bnZW8Yn z?-RF+J49+1u%0iAZ-{S+?}>ZFe~O=od|+e#uvk+}7c<2yv4wcN*g@<pQoVuY<%=W4 z^TmncRFN7GOut0DNn9?j5jTi;i1&zF#fQZw#HYj;#Fs^?Sa5%T6ZeV-#81U9M5<UY zeX3YjY#=rjTZnDN4kC3jn7@~Jrg)Y(Ts%)K5XXzC#*do&qWbepRKM>n#T=1i0jyW` z=bJMWK2RJa4igK+@#180x>zU{iF3vIVzIbbED>)IOT{vAwP>G*Hc7r++#)_C9uzZ3 zynxyMu)~9xp|Zm<>ECufDYsjc+YjsCen`V~41wet#Px4iZpkRk*x;1RoZN0o`l?#X zcOFVlN?-eMWopIimC0MuR@Bbzn_ai8>G~Hd`&5MX_4VrATxV6y71W>Ix$xTL^tJC) z_SlrP>R&Jp@|@(-p$$v2Ywk-(N-eFiBC;x_?8VB|EiYC^Hsw@?&Z)U3X+yKh(16s^ zn#-uU``Xpv)!y2qlDZ}DRHhaWFUc=iToPWr9h!ODD-)v?CD7wbfvfrKkwy0=l|OUj zntQLv-g)Hedp&5TLIZVO#gT>g_R3H0lHA#8bJnh>x1yAa2P>V@9hJ$&=axKJ8LHS= za&yVsCA&(VKT>k<?~h!2FUw$h_vD>Nitp{Ri>d3EWG#&>eX%m5G;w99%q>k=pST)% zOSe^qiXW^DSF9>|x8(H_rnjYp*1JfNf)pu8ky^28Da&PwcbC4t)TWAbiF6K~%$OHm zsZkzTmkjONQ%ky_{e!bp@}c$TJbh&Gy^n6~w)LdS&}f7=cDp}~)=|55d)8GYohn0D zTu{=d<Qdd^Cwk!8tn0I=U0ZiUowaG@wboN(x$T#sgEhZQIOO(rKS}pELw+vi!p~lv zw(1{^uG<!^44n{OlT==-tj>|-PqIsY`^n2)Fh72>)6qGYakaNTtt9u0<eKcYTKV;l zc!!*Qe?2Mblk`x_%H(#FOE9B;WXBib!W~~E7DSGCAG`bB%W*zQcQ;ptBKMTkIpQ5m z+P9nO5fYB<FG*Tk4`JtKC+^$b=~QYDC!$VC;We8p6APQ?CwB@TO6H5p!Rv}IeK4&g z=ZoZa*yrb=eIIm9#6F|g=T;oChrS3EKJ-Pn;Gr)PqghA1uafqC#C;@9D*i=D1Ef#% z(<j|o{8EX{pWG>wpPb{KigFV76rZ;%m8H+mIO2Vlv~N#t7`ajU{DCFgz6e!pLyNYd zMTk9|y6=6)hKCj3P(nFr-}~HGxUBeP?(1;kzV}(Tq(2s?E=7sckmmEyq2w^?&^d&C zq15STFGY<}>o97a7)6afOWn7Jxe_N9-?Wr6>cCPH?<jtGX>tzA{VdWcbZThd9#aN- zCA6pbm#@@V6Iq+Q+G*Wm7mxe4FPuSrvY9rTpMd<(N)4logyuN9BOjc;RcZ2&;#0R< zc;DYmy%9SgYgcMMau?z}m{yNRHMalb5OPk*V7BbDw)vmdP5AQa&u_^Jbz_T}^SxJU ztV>zFHmhfLjpZrJQg`91ElyqCe2=$w+XvpdaJju2*X~I#Z@S@~%3&2r`}&46%G1k6 z?_D3ha<X&qx5eqJ`|fpI9F5LPlM)Ukd-GnoxmUL*SNFQ~4|V?0%fZSMS#Gm}?Bve3 z^qNsXBNuAgHr&;1D^{Dx!g>^`Oc839vb)YddO98)!lx;GTBs9rV^g_K#Vx%Kypq29 zJ!f&|y_xA{^{7)D>bWa@Lz^b)8#0?*k3C+~EB8sKrQ5PM^s?QyW$?2HBL}_o4EfL5 zJH+XUNus9ia@tJZ)oyaJ(?0XMURed{H+y}4vo92GxGR12nCx&#N<LPXi0SC!E(RT4 zgiuGfq+veqX1HhNj4I}}`ROb5rK6i(-o2!4@f9W0ino{CRs3binC#^Iy~W*m)kC{D z*~1;H^@d&NZLM9>uy}CEdBw|0))c=|lHB=E#mUewF5OkXB-@0qEZK<gKNZ(sntio* zDA^slbU^XSr8gD7u@v_f=ocq@FBO0I%G?ilG}1TlI4n4P>H{a_7k!YCzY%L){F2Y- z=6)YGYOd}S2=(w1_?!uh|HE<=X6z@NWL|mQ#4Nr6Y2}Ocd}};$5K=>Nm_s<8dB5yB z)`TQcZNf8evgZ^rB%F|h%-+|`HE4+mN#X#4$C0|wW#+e%8Y_653n%c~6I6xGi?5qZ ztz;+%rxD?B8>UYV^CdOmWca;tZeJjQqZ!_0)0PCjaeEiLEI%>K;f16O@1^v92u-Fj zmA5PXTm({Wm_L8sE9v`<7*76-v9C%rYQx?i(pf+VYQue)_O<jYj0m;iYnk}<^iLqC znE83!{s@7dgJ}lFA2*<*YeF-406pyylggn%cOdu13Z(K^*FB3O#{g4l@Z+9M@n(u0 z@y|VnB1iOURWNpt+556^8Y}J&VPq|Yr?FY?P)6bca?;oicNk;&vYs}W;&6)NA*NF^ zc!X)yvVjpQ2A|8Q6H&=XArym0QVNBUFNyiiW2rkSj)lh;A4L-*MltyOzap?D9`zzn z48DMcE`^ZB-MXVF{tja84_MHJuS2Z;a?G2?4+8E*Q}CaUoORfH?r4gkWy8{1GIAob z@OiQ>PlA`=-e!9^65`&+dL6*eNA2D*(c!a`6Glt?G|w@OTLYp=W5f)IPDX3|YZpuR zJEnUqmd=PTnshwDso3I|_j{&04j)?l@{HJx-(52JusAoC?j@!xLxf*9BRcgqA<!@9 z&y2q_R*n%LV>viqZ$l~dd9MDCsv^gy?Wv+_Y&DXlE?j*MpMd5u8y8n54&f|F52zX= zmWs#$&Ewkf#5fn?LI_Fg)^u45qk>%19iB)}J$len$Dn-73lhr5mF(ZxmI@#A7zv&W z&~zi16k1s$;f-xm;e#sebBN+AYBeR}MkdzG3*_$yO2o0O@R)1d8A_M|Zs_NdG|q#T zA)$Td1#=xhIO^e$VO~qlAfhEMLk5$r;SfxygjfOmdXfo;9T03-$e9x+{l*L-%*~FP zp!E2qjU>9lK?`p60(u6*K@*sm0f(LU;k|(Rg!GRDFOr`e3x`EQLgn;K^o4LaJ@b4a zv78=G4UNjNOJ6eHA%x$%!wEA6fbvPUE}(_U#__5|+X<FoRfgk-Xb=lwMjM5cW^0cB zeji*wFozU{<C!n8nX9P~cSnX{a8Ph0I3A9EddR2{uQuU>3HG50x1EVI#&)F%x1EVb z*}g=Ptx=WkaL`G;s@(P~lEk}pPOw{{a?Ea>YjVs<ET_ls*5Pc4->pLl_94oNcjZu2 z(rj$OJ#gk|)3XIm%E2L{3;aktAY4x2nRq~AIfaklOnzF?EQG^x{TRUM0q<afj}177 zi0*LEKM$4DGu;=$<@C%m0?s<B4z7knW?}~%^jWVekDv2{ZO5AZVpnFf^*6<{A*`;^ zb*JY!Ur03i!EZr8g^1n8JBm<C$`-ICmztIgC2oQ<2ZbIE+8Y((MyM}GzR_J}P&|fK zEd+jIQb4$z0$ZklMAOWh5P)iznPxs@n#u1kX5-<w2@VH~_!<sLZ#VVv_q*&abFtPz zA?Rk}IlsKyO?j`HzCxmSU!80F3Wf3{><?#^is_#Z2Yq9nhrhE?P%5UM*EqCMd0w`I zGfKtubTtB$is|vsSSS_qj4gvhVLa(xg-dYeQ@@yA{~R0^KL=xt?v7USN<=<_gT!d` zmId_GhePjeG3vz>*e;wG1RG-#(lf{p=ZVj~p)7)zF>X?b^EdV{&yt|(Fy*J<5}or` zgfCbTrk^K5FwILi<{!Z&VOo>Vb3SiVZu>Nt2zktwga2$u$jK?^7Z`rcM3ss9I9BLT z)}CYC@Up^wE#rE|ym%redMLrv4XL<X7UD`l%Le+)uJQMlH4YyaxGIL)j`*I`eii+p zvtAHz5)rHhI%<%u4uV-L-ai>^b3rgaMh&rY66-SC{LenURnc6(Qq9ZxBgN~yN%bVW zNV;X&WK5D{k`-1h$-kCtGA7}TqABKL^uuDiteTLC2Ac9_9i_amEssggH_7H4C7F7- zc{!8JgKOcNNhrMPu49RLkGc!4A(ZK>bjmDMxp_IGd8s$XZLC@|;)$4m_qwAcQm*)v zefEsR&xSoXJh|Gz;jFSj$9TN>to{SXi%HmdP4S{qtp!yNEEBQonwhTq-lVpc{EV0n zhl|7p{fN_JIdcBs>0!&|Zbnw!Rd<M-IkgFv^Qv<A%n24dbC~3QIGqv-uX;+v65HKP zM}~!PxSVXz793%IXT#mt2K|qmKv~#3YK9HB7j3-U?Z%%Lo>5ESu7i6Y?n5}*76<j~ zc!lx+-T`+HTnO=ra3kSH!P%`B;@JC-z&!>>Ynb|Q{FXUAknSSHjfHy&?p3(vh-(GM zZ<`AP={Cd9zNB5jOK=~;eFPUqbCTmtGP@79i*5K(_5}a4<^$idW{Bwu^J9RqCx}@P zpk)Eu0`vubfDwjY$z6^MjsGZA^P5r?zX*VYb-<=a+noR-0<R|HsH{IJ>Sc8Bih3Z~ zaoz>}TYGbRc&$H<85DRmdkk#fv02lOC(k+6o86!DL+*qw{|@N#ckO}5NvC!^0b1ve zQ|`cmXLUf?zaDTZLfdr&I<>17o7Mrls$xTs3mbx29WKBmB8^+*oJf;_8PVfTpz%Nl z?;02n{L6`+)_uT$({kDl7;xSIZ*GxSqlfnu)Y=!jQNtrn|ABJ`3`G2?=bh^P>#C97 z5vK>sxvWR?IVK;%&+{(t;VoJ)62*1sXakWLdhkxPbw?FQ>j~@^gCR5zIQ^0q1M)kK zYtb>kMfsUGw#@fdqn$58Q{Q`ZK?f-6e~J~n-@0lu6z!jb;(e%%>+Nv+dpl9)6K?Wz z-cwMpf5Po?Q%v*z5H#<vUeNzk^w=EsllL-G+~H2_L&bjjx1vRUShTBA?0*%_e84F7 zD;WD@GOXwp^}fN5u6Cn+y}w)~75<qsCPm}A+{doaucgqAS*`yEH1^{QcvaDTKZ@#q z;7iy44z>Q#qk9hN*U9;rwfnK83Pw1qtcF%q%YXFfA$^AiwfiTJnPs&0|D(+xYVNkg z12Ttgg7aUt<c&@n@ApU5=bwuNKV6^yKWmrS4hC@u%yvBfC&QVa8!*>|#{b!L?GQvi zM(oVSbh`u38}=u=7s72GCd@#FyKUitxYOY0_^jQ&YL0Oiq^pkr7R&NjFMbsWmiIU^ zwF?ATZ$Y(m|46|aXVA&Cn@u+g0m1#YY=svYq~(6OKfB-R`uv$l5JkM*Y<bfV5G-#P zULPX}V|gexR^CGRZ60`?Zb<MZJ=$y>bu)wIjYoNd5Xtg*&Di>t!Ef`x>qHvh`N=#j zP>RQu!XGT}p-z4~SssV)ZF!sEXL;D2*}lTOULC5H$M}2Ug6Z1gLG%(oi}}wux`*I! zI15c6{;fm0Q>*|o4L1(!SU=@Ak**s(+E_nsJK=)u*nxIL5XN?3Fgeza+VBTXH_UKy zZ2J6nH9Iza{x@-+B57<3);$&oPcZyH`uue;E1cgayth}?=dZSInbGI}dDblB$Q1Ry zdy4rYU%44RQk)=~Q6Gd~CV9TNROFbR(IXe{7PpAM5}y#C7JnzcA-*R<yT+84ij#%q zHWs^yXNbeaQ6&1WKpaovqTm=Oc?F4bu91A5WTU5y_%)JmQ#{S%n0}jNGe05ZrxngG zeJuAi$wnU;^4}zz@5PWg;Kg!`9x`O3cMKkf`v>#4B@u7*jUk^b+2|QV9-?%k#qo-t zA$hjstHh<^3dOIM%y(GsXN$rgCedGyiccy2_loBPMNDt>hw)pnNAf|%e=7MK$qBfz zP|rV&M7~TC^=~5C=m|q^uXJ4%o~LwuC7&aCIEnHuQaInGS>DBxX`jV-qZf?*l_>s3 z#jlc#)5x@w_e!>xzY`?yk7TBZTdR@Vi@1dvnfF_!>ml|Q`-vR<VEhH*SaG5_N4#7t z78i>*i)+M9;_c#Q(OgH^kGYP(Un~3t@n!Lk;-AI$#698x@ni7|(OhpRmml2Op4wuj zm?iT0l<}=aPI^VTi`YZV6Zx%|@dLzR;z)6{I8K}<&J-^b&Gn0N7D>KVH1;_NH`g(^ zLE*QE_lWn44~vhA72;0u1<_pJDDRJw|19nm4~U<NUx*x)V0&wb5ivvLU?bz(iCx5# zM2;mfp5sa6S>j;PT>l84EP1AA?gI#)FS%H(Zf8)YaC2Wk`f|y)iJL`ppFsStB>!48 z_X&hQBl%_V58_+myW$7pKJl<vDZ0E)QJ%SffT@xrVgs?U$T2gf=eQY3#SZdhv6q-H z4i(Q8$BX8Ef_!r%oBIi5j>ECMC8D{XKwc)91DcFqFH)g_@|_~b?I?4^n|xgSjmR-Q zhI3qxd|f<NI|Qn(FkhmWF4h&Z#HiRtY%k`DoX>{koG$hj`T3UN{OCkl`-2N4j~6G4 zmx$ILVWDJek5JtX;daH}C2kcT7I%uzi7$(P5Z@Mei~kV!i-*NZ(M22Bjs!7HtRprQ zn}{vN)}ooJ2L5i6PZxWO=ZHha^TmtA>UIaT;bwjKMVq`@yhgl1EEU&@<>DRUJtCEr zSkB|(PSJezg8voCuZ!=Ad&K?XA@NJ`YcV0wFTaKu5&7=Q{Zc!MY%O*Zdx&R<1I1Bd zfq1caiAV)2mUp9gvuN!NHc7r+yjOfcd_;Uqq=pvDdr5p<{F7KIekF3QPo_^2t-U~J z$tR1aihV_EKQKu0FwxozOqP7Dc)fVPxJ`Ur{FC^#NZVc3>o~EEI8YoUUM^lKt`|3n zzY(7nsbj`+KNhJ^Mwx3okW?rmGsTm{Q$(%{&iJw7wc_<6_0Aaogh<6R%CCrr#Y&O- zXN+$nQUQ%}4{@|OPNW_h<5!E+JEMHBNX0YCPl)e`e--%*$@oM|J`bVK?eif-6OY@8 zA-c)1`g3H4!mB?|wpMsMF-PPW5%*jD`7%%8eZ)cHFma?fN-Pk^i<8Ca;#|?ZuVH`1 zk{635;tgV{SSD@~Zx`<pH;Y@uhs5pT4)Hnh_u@e@gACY#bnMinQ%*F##pYo@DH`>| z{r{bEa=Lcyih0^|yB+&H?9H(=oYJ`laXXN^wuI+5D{WSuP?9(Ki772Gzj}1V*sPt0 zntCnPZ^!)Ti;KUR++<|}*2e6#u}*1t-_mfaRc}^iIWJbOFKxN}xE0xDoUgjhq6d<@ zyY*MKSXZaK;mQ^p*Jmai^b*3I9?NWSbKPZ`WzCn@URf8~<8v!8BYRepbptWqvD32N zii|SI^D(b`#XJAV=v#aQ!y=hI_qlGg(FFW&ysS~#wV1Q~oqsf%v>bDAdkOW|EN|F% zUs7V$$|ftZ%*er)7s4K5(>s-CV4ifR5OX{ia29sV@{BprQ=^=Toin;~re`lUt9$)& z&&=vxdv%@fnbp0{&o--jcxB{A&FUW682&HL>Yll}`ML%_)2!|-%a2<VT}{pZ!V1jk z{&DLs-NU{1h7<EJQ#g*@DLI&hdvR&BbbaG~n7KM<RqCh3mpL7q-u$P^j7Z)mUtImG zFR%UNt!=}zlfF#&{Mk<u3chYIxH9V8i5cl%O?QqYb~)#>Z!YPzx3~8!_`61%j)dIe z^t`=ShyKvu!F{(Za1Oq4_45s`KIr8AruUsk+!pSU#FO4gN1j>vd;2lZtX++6L0QYv zd+uH2zTDtf`)*s{?RvMtl7mjKS9-TvxA=(H;<far4`nCx+1uMGMhQ<JiYEQ?P&CoQ z{PfMgOgP+gZ`kSmW#Y$?y{(*XPe$^4f0^*fgP7UeIaqpi{Wb42n29;oui2ZF%(Lx= ztLtEf`ZF*?eV@|EGBZPc9%rauj2Y_tJAY^}gtMAo@?3+&(xlR@nC)FN)c3XX#AAl~ zc9;)62Q$=TbpU^cddzN*8RP5hb1_5xdzhjAubiR2D7magnP#Z(?axr}1!t&_`18!a z-XP)T@bY*55%y=O$Ncbt8S4A^GsB02Gt>{d<~!!6k8D6YtK^7uom^#x`iPmKzM!=E z>IP<p`bB1j`lQ$l^>1Nz{tqtuSA(>h({E15=lt|V*(fu=5Od7Gw}5lr-^y9m9e;NE zal76$v(vXRv(t}uzG`q4XSlztvH|C}*X;B?{n_c=Dznq)2WO{0Wf5klKg0QL11B~& zJ!htO*KEZR#NPAH!Tj{cIyZfISpw#!54RXxZEkwZOJ9TY(`P<;W|g_=cbK{9Yj3Q( zA#+W`^_VNa<_S$wnoP>Zoo+?u@`kGx9A0u?i;bN&G+URr@0#$1lUtOn&VL7Y%_il~ z;r=;#@0!HtDl-e`?rO2xo!q9N*W{@Mizja`cxv+O?7amo)@J6z-p!44rmalo&o(E0 z3(QGhhB@h5lpVjJo|%&#d;igM(zlkqOZ_#)`A(Y!hl}p7vzph$p*^+pPuMfB*S0Sg zes*wM-|X<7I_ql3=H`F+?=5f_SzJ8-gA?+f{Gb58!+8D07IqKgznc!}dU$d$h!EUh zqml~QlhKHqcs`^sRY<~Z&xF@bH6KdgFl6`&#&#Xch)~i64B@C{*xIPfV)SPWu{J8F zQkcu)tc}V*W)3I4?qfFMv5#+v<gj^U2#2@&(tIF+8s3=BehQMKP4HK-ONoYNMkXOe zvKbt`Bx1(OV3+bDbIpqUl^HOdeOq$2M1MN_qR8_mGBvprwF}MBO!$%HZWJ$7+Kl8! znd&kn&a5#v#|h1ql;tFJX6)sW4}cUr-aFpPkjrmt-bPfQCij34c3LV&O}*8|@1&Hl zdYC2OB=|LiT4t7f_KA~X#%04Hm)X1%kge8~8F-KjF>$T&SV=RK7-GX}ngN?6<4?m9 zpO~WG-_>fCE<Y6tLp4o!+7#<cHA6S4er3|#O-S$ZD;LIg*a&Ryh3M=EY;OkB!x78c zA2N6dcYHa;6cp?ZrO4;D+A~<jFp50g>Ria!;S@v5oH|tgbVsl~n3TURkBvK$aXsOy z%VXo7N3jJm)jfx?#wuny#0;9(T);?<?q(En-hE2%QlcG>v5}b`Mn;|i?uFb5C;F|s zA0GE2)AeXU-4-#C=I?cRRNc|+?_OwehB3t{pm+gAnBt6KZ?B^;Ucx>KmpSfO#_-)N z1Bb<zE~JDr!yRXGL8@DUMD7HNyC_bKiGQQWQ_h{p*v}x=TT5{g#d_~C;bi0Gc&qQN zdkGUvVPk40Bl}c}Qz`a@Sf3}*NCa_npL_<bZ}2{XE<;>{H{tmsJ?!;w;K__4RYUw# zI2~%h^A$XNp=y|n0$!kp!-%!;>e4V9p26@m;x)=aK{Fs230=t@@FT}OL^ie&4VNM0 zMub3tl_&ptWH<vts7;6yL^RogFejTujYLP9cl3;qDP|Lkv4N(T-<V?1G8V*P{>jMe zKJz#3nB~02coR7SktK}$(^s*bn242#*u;o;IsJpbcN4J-5qyJi-|J8{!a)JM7_p~c zETSnZFa!~Nr*IGZ#b98Jx11j~FKUTJ3{I=*q0_@!+`z19knsO#rGqrClfXq2U>E1F zgh0VAZeU_)Q50JcA^kfSM2J*f5CIcu*tNuIhlFvhn1};WS9_C5Q-*dSv0gkDhb*}8 z0gaFva6tr?ndw_2HO!UVh$(5aG_vM-X6=W@z6ldfu0R<k2E%GxR3ST<13ImNWO(m3 z8;&D>6tCogHi{H>aRuJ=VBf@X`uG-s7bY@9YvVnam|?sli9+Opc6T50QaBhy5G;(r zND<q{!Uy$Sf;nLcFu$DH=9+ASW9i^&1P2vlVic|*Xz1@)Ko4(*P<kaeuZ9Vyhl5-Q zC;VnqGoQ*f<qahUA}?x|NBYGLvc)l&rCnr78$_rbdB|uszD+lWL!S^`jdvKqCWWvj z0?BuF%!5wQpaIofynvqZa0n-6+3@-Bn6XG_*+7!xkua?o$cgpor!|BxCzsQIS4>VV zr+*6^Dn9TlFoGJ>p9ivM&5rGnVdZ#e*xfKm>VqB7s}}Nk#BBU6?*WGv5^7d5$&&n> z$$>(XStv_U6cU;(AOA<e!Hj~q0S@)qzJQ+kILR>WIl=auaN7|$j<z2>n485q0Ybb7 zAjF%G9JcjPWsjgL&EbrF4SQm&5wK@^SaIx`@Xxzpwi8eaYGyW8k>Bl1|Izaq985?S zo7Dp-d>s!+E~iijO;L)}atb}*O#Zjw;m2uoXcTwdJUA2H4i_Ll5@05G+FbPVy+je5 zS><3ZJRIjYLLXFl2%O1mn_>j)-fV%ImQ=4P1N@q@(@|3fq?S`qO-mNAroKhtP~vMi z(~0!(2@1m8a#ox5MJEy$8Sfy1wGDJ)H`M8DIOwetI+0SVo=B<j197fB5dL|TYMO+9 zET;etMH9@A{&34}LDn{pCC!3EI)bH{bhcQV4*AXY1pa>rhg%Xc!n78aW9$XLwO9)x zilfDuw$RV37toUjha3cZ0^!9A;$1R`UBU-1gs)$~GrAZq0nduCV4}AI4tD^at|3&= z)Cq=C^e4liWP)S<#!!l$Y$L!>ik{}a5GuE418=AZ^H1x+wyl9h81m6JzRe(r@DIZ> zbDPq=>2`B(;tdIhDsbz#eL?)-j6hbiZNh){ToM$nj1`j!RUl8mpfwZaG<2t3b-~s& zn8xPkVfHJRQ_frchj6%c5V}`7iL9J10Vk0j7KlW)p0t+wuJZWUhPwm7^1}Q{F$MCD z?@RRgB@X?e5|0+oyM}pma?T~V7aZRk79{3ovEnS*%C;i{HXVJCr3?<Y2_Bacb2tVO z%#53N7Wc{fEK(qy*$$#Lv{OeP*r44A!pVc6*e@!BK7zwd(~b2{FC=3*_?abGIDR?? z*@hw5o=glz3bV16+!cC~P_EbkKyV;etWE{kc?lfqMD#%QLeAwGBzwuf;4|3HL8cm) zXOKL=ilUcChq>ZMDb_%i?|1jdzd)I$$NcX0Wdxax>1aEGZYS6$NzVM@&>W{_dHi(Z z+q2o`A%3MvK8T>@P8#&GYV2uQ<Ll!(x4b+fu{pzjZ;}k&4XER7b*raVxv@1f(8<e@ zi!TZA5*y(XacRwVvdSyq-)W*oPziv?I&kO<A+iz4sL>g%l230`Zkv><Ci$VZF7b4> z7Hl5t&#Q)H142%xa+19naypmun6L!;ZArnajE_$2M%;95H1E^M;a^CxpH#jeF>&CG z=cVWe4YgI`u^kB)Mx&aR)8`j6#3mRO3yR&5_-2#`m*@;z5gxiCY^!W#n>7m_>=7I8 z&)Rr7*^Tdx=ff4i?S^A}xOck+<JtK|-;s`ok=?l~5YKkmjrEPfQNf}eTrb4&Zf-Ze z%+ctn0B$;*-S{qd2i!ey_XooHD#w9<_u%#f!r9CexKucrfM&pD!r6^4bQ9s0!)=12 z!T^;8UW0oR?p?T#;j(Zlw1wjbdfMs+w_yL(L)wt@C8Gb$7g?Dx6H66i@q`XXS!re5 z%vlpgkGuFxtg$ew!(^UMG0Q2_^|F<E!*RVjJz#U>)r)$UV6}~mD9ogqdUZN9JJFjA z^Qb5n7m2_;3QMtE6!kKr-ZU;Y(iv;7G&`Pau?+8D;LVM$_KuHwsUu-*1w*Tov5pDQ z@!Zo^BdXx^MFZOpIJx6#O}$58LG-lKqnG!v@$^4^z<>cKbj)errj-pjt9-zKezcy- z!T&Q6lWSuJ!6d1Q<&;@{rNfj?IW16^md#Gbx*{)!xLC^OE2GCr@Vpz$iYAX-!SzWh zyl87<ST!%2dtUpFr+YUn7}?DGr?W67Aoq<|6`gfLC!{{;Cij56{;K{E-gmiT$$D5< zeU0TvzQT2}7)`j=)rv0fm^D;xGb_5hWA=Dkl@(pyG%LE?Wma@~)5PDU6<uC4>!)ls zE4I95Vm2#gmnjpwjO~7lS!HFHNd><*y$6raUicQm@~U37bzPn^`JcFIV}5Kc7aPR2 zT%Iz;Jb|TNVr#iPWon5a6V1jWx7Kob%GC3TtBUdw`Hbm}C(QaSsXbtd)q|#3)+Ecw zYuwGSYis7!>OiZwv<}T__w_3z+=+xc4E-fteg%`R=MhFC&R^2yF|_GfWBH|EWMMKa z>9PY+f2gvgO9>3Z?yPc@u&hguR;Jr}c<(wL!k)Lp)Yv}_c6vOPhvxIVZtP7P>8)`4 zhqwrgzdXt4(Y*(rA6R*$iWS$`>C?Dqid{p*T5y>wvC4WT@fA=wyHjvAk(o0l^t!z7 zj0v+QUGDFN3FcS}sh_kQ%LKo)3A1L+oHY`|te1|NK4*d~sH#*S1E*LD#jIeX<zM=p zJv`bwn%m)|4qc+1b2@eI)H&y*XzN)MrcW3%dqSJ&1wDtH)p5ebV<(IsKVf|P+|ehs zA3F<cwoH!BnsDiq*;s-q+UexnE;%P&=r^Ux&VsAM9L4&rsts6h?Um}QyA(~hxNyXn z=`mwad*9R2Jm@;Jj!SIkW;GZs;p6X^U2K<w@fYlguO-8-H~CGrDKo}TnCma}^Zj;y zwi3Ac&YCc8O5w0EW2d9faYr_yS(XOt1;t{_0z%wZH>`4_<%VQvhd{IH5YLicQ;KGz zz}a)A<1C9UGGrDaa{Be|-96fxM{L;4nbT)SC(fJ|#qo*u)$xfAnl*FWgxRxa&YIok z=QyN2yW=-<%!Dyxr#Nm7ya~N$&MKNPxBXeUPGYU3vucD=66=IB+<I;UFVk)4HgX$# zS#GvW*1p$fjX%dnwOOmcOW04gS+j@a-+v*R#^`PI1RfRrEmyR_&$-!};Xl*ar>W}c z7{~2+xMa8=O4kl)s_!@W=!7RHv-MLtiOv>Ol!JwKv-|Ch0DPo~Z4aR$e8j7d5GH4N ztQViFZ60`?3=FE=7YMN43Dwg5273Nq>FWdY*>q#z5AJv833y{encOe;XZKqa$OEtQ zc&6h->CtA(D})>@F9U-B5rnZk?%tMnE&MhQyv{o)FE0>ay^9bREUzWX+l@$;hib;k zTLZt%1FzGh5kB;AXWDGs&5(oTg>mJwoh&a6&erdj@G}puW^P@xeSL3V^5Yo41umHG z_2|*<*7#ix=M=lgZ28TOZnyR#Vp*Qu79$;+9NTP@o<~Tq9jR!C?Pq*6h_$0O{PtXC zAv;jsTl8qN`y%bN!l;q0j?HeZ1m`JEnb>B_iv`FN4F5Uo)-tN>!1jtC_}{S(O#|$T z{q1k;@%VNu>(JozG{>3uj4F0(_STGF%c_gf*a-h#_fyuR!6{<wi#XXN?TiMByr)ne zDe~Syd8&AsIA2^U-XyLQZx!zrd2O(qUx^%Kr2Mq_JMj(ikjRc<x^$6txs*>4`-_vs zB5{ehTr3xNh<_LVB^o;ul-m?1KFe<}=8_4x?!+D>F1EA9p(N5%7l7eZDxu7g56b+g zP5BDR#gcClIh?@wI~0GfWMfx`{EtcIND<3>RopEe5I-SN&c7swaG_H+b|A=CTe7kL zfE<<FmV|8VK0ssd0UCP`ut4!M#QEaYB+9>Da;fCClFKF2E}P|ZNQ3Dg6*<2R<(EZc zw}EsV>R|YXBxJj8#HW<;jWdkPo8h&@`eI`e@hv4AI}N1ch&|IA`wZ|5$%9E8*Wuy> zg-?}i<}pP4WeTrikAeNHSGrB&W~Hlchw+5MUr;(@hk^VYeqp^nRQN|E!mHR>KyHLO zu%6i@<Svp=k~~oIAj!CFOnMY&$lpcg=lzMqPphFBE`!`&awn0$FHF}%>@D)yh2dw5 z9I~T)zIc&1L7XC9E?y}v7E8osB7cin-tFRD;sfG?;tsJwd{%rxd`)~){EPTE@vvAa zej|Fk4`BZ_MRUJ_%r9sRZzMJsTZ!$(P9n8^n7*$#P^9J&!_O6|Rz}&{>rvT)GF6Po zS>hGq0@2*p5O3^m!4(Q$C7Syj!f%uOfcT*Jr1)F$W$_Q<pT)n3ABg+J!(ye#2L;wQ zEK&uJa$S+?PLz)qJBXdd?&4|UnWDAV8!q`g(cD*29*1aI?q#C6zd~LtnI9q;{|j-2 zXzsTN-z51?(cE_t{*dJD;*VV)=zZn;P&_0WJ71LlwPbUDhFpX9HL#YLA#xbm^qY8s zm@9S@jlD1OpDCHc%glGaI7yr)7KwAk1>z#{2GQKlQI4_y1=lJ3R*^&1+|L7|T_<RV z<O=b5@kP<x-;s~Q+06e>@e`2`s|+{yd9b!*GyDuWTXJ);x?P^R-y>aj#any4ev;1? zIXuq&oF`r=P8SPBbN@%WD<m%xuN67G&T>|Y8^l{gel2GFcJWE^x8m=`U1D{+yblz< zPdqGEim51@<wry)8yUH=*ivjQb`nn%PZN8IXNqTuBSdSDH%9UVafWEVD8YZFWNVMd zFPy9&bw0?|;(GBm@lMg`yTJdD<j2L|h`$p#eJIPb_IQ7iyjy%<q#`8KeJ)yiybzwX z8BT>(GEHnCHWr(Ut;CLE7m=TLm_J{nY9-~-;!JV2xIkPaQu~tW%f&lIYmc{0@+0Dt z;%~*@i@U@>ihmZV(8==uDSj><5ox2v_(U-xW{BBhbFq!sUbOamJtbTFJ-hx7wMJR~ z7}47AO_w}ZoG+G$H;C)Ra`6uF9&xMqu=u$68<C2s-2ZDLHB%{nAW}7z@|Pl2PbsI1 z^~FYFbFr1!UhE`NSC#quiq-83M=E@jI8K}-QlFLiuMiiCOT?SR<>ChM7V&QJK5@Ia zL)<AoCsJ*e`}?!_9*MsAK=MA(*fk=Y+O<sY;n|f;5!1xRVpEa&w@lYrJXt(d94rnO zM~MaEBypNZHC~oy?IM>;UMX%6ZxQbkw~E`v9U`@XS>DUyJK|r(PsGnfDh)GzZLy)) zM67Oi*-7Ciil>S_Md}{2yy4;~u|S+8P7|q)%=A}@R7R$}Or)MM<=e&k#cd+Bjv4>7 zNG)T^Z;J1WABwn5{Fm%Fqk6t>DYh2di8*4fSpEIu427HV3Dk3t<YD4Sag;b&oGuoM zMdDm>zE~pOAeM?{;wJHS@h)+*xLvINUb0i+yTsSTH^sNb-QxS=LGiFyDSjoI@euSw zSkK?dqM6?Z;StFhVy2iSMnyaSNRH%OF-gqe{;JxQcJVDoecRF>v@7j;^2uFI(jQ)5 ztm1g=3`5(w264O6m0Pa4B2pTLRcT~ndTH8<^mV^l`p6d<6>ng5v4hvuT0SxR=|kzE z+9%dqnQ^nXFF&E_#yYEBtZZFTcX{f~v?#5&=+5Nyb^a=2UpnD~UO3cgLuSo=p`_%p z#AP*ZuDz<}$`?@<RvO!sxHffT=gQE)ly$AJG7o%NN$XQrMvgcKZ`-%~#M(#P&l9kA zQ_6C#UUug~Z%t@zI#wS$yJR?5Agj2cWLe3M%0%bYDl3n11+S!Xt~+)`Hdh=At!+q) zti*A#mB*gJ%45k`3Ct<oZWyXKx8zt>9&4bL$0DT(tK2fDG`v3HdsZIX@L_Gou3=Vn z^)aqG7F?gK%F1IKYnzqFa=G$YO|3kZ#MF;&J$-A}YAcU5&EJxR)yM{1T5>^2U#vW~ z_}-_n^4OBBUz?T3GS<{xo3^nQjzwODzw(&-c~Wn#AI08A`4^<D%`Q({*`{&HwqZ@M z8d=R&+nf&Bt7@%yyE0Ok_sNG>Kl0_0k6+nV`{cwg-OnD`_RJ><E$gg1sWPLm!I8vI z`+o9r=chjXrVrK{JGdbClx^OTFziHsabmqAiJ#>w?u2a%a&36UCoktDt&bc@`0O`_ z(%gKM$aTb4Y~!)5yqd@J`25iN9hJ@?u5yO8$v$(q=GF$R+~s10v#cGJA?IPNarW>R z;b>?B_v&KhGOStVOfKF5yUd5bNGzz0(9pi!T&c^w8=;AV+|#+rS=BYp#=x2{xuQAN zIOAGp|1ImBC4FGmIh)Q^$*>|BS28mzlKrn-=PZfqoPA){IjbFC=WH6+IqR2=Rmu)` z!#Zd0cg3o4NjQpH=WHg|Ib)hUr1+fcoPBU&0$PdH%BKBS);aUmC9bWpv1U21h-Fz1 zXU)&{R`5<zvuwfPckfGI7unc!ZP8?JUoSU(*+co8E9*P;R;QF-le`&c>M6U@H}=_^ z=yo=1oS{`--od5CWmt1;W3P&Xi;LsyoK40$XLt9SXx2Fk|D<)!?l$Y3?RBonygf7h z=6bZ#^svs^#?DRBH#Td+ecr@%&Z2IVHF8hDI%iSmpzGB3*Euu(bM_8}MY7X+&Mv3z z>|HsNmpYebuILplNMD)S=c#?3gLTf<^vX^yshi&ty%9R-h8AsY%YILHPhm)nU1yXu z&qt5fEGY?hDkv_?3Y`*P=WKSb2ftkO=|6Bq*V_|X=WT?Qty!`0>A!OYwB}d=Z5&oW z+k_R+reFoM_p|~UdyOlgm44?6Xp4U23TV?l2(9(jyt*eHt0LxA9QQ$H{)!LGyQcXq z3d1-DW3ul-xCX8@gy1H-QW$#Dj4f_n%0bfbM2z%=+YW*krk}f!Gu(~ACyr%ED1j4R zh37D&Ymo^_x||_2WecCPgdyRCiHzn<ci};+O^9!ex`-i{-NTUNggiguPUORWqKJZt z=~X5$Y$oGM4sStR!b}E@bE*AmR#}6&DQ)ZU$bj&RjpA@(_%#SgnV~x)S0RgUuX=Z6 z3RA$`)J)ZNPsBWtSaZ{RCHm&3n<FfM%b=}eJ?@M2HDX$Fe~R}jZ6w(Y=02dr8OGdn zi=_HaLJkvejeL!~F>_NE<Z;fin!lxQW#~pAwG1g?Zc4wC@;mmxNg=bKo5yJZjYVm< z5Ub={l%5=7-D{d5#qP%MTa=#amu5Z<$#13=Jk5mDqSW`LUeB7H?(Z+%U5OpK=ir~O zw@tcFpg(C*I*1;Qt=Fc-pgWj5<|Axvn!36}DDq2M?Hd?7l;X1#$1rvnMb0BvrxwNG zY#vQY+5hgjjO2Ofo0N{Ehzr-Ldog3rqu36)j7jPFl=uP2n3Ng`*R4~JCZ!jcBeiT$ z-EWw06!&pk7{ze>+r5w?SElwYN{#p|8dR4D&b??i{<p-7fp1Yd`Xh*|D8iz&fW<b$ zdm=4Ljc_pqSd@-o%&#cWqSQz<8Z;KAV;R>EQr*YkamQ2S3vgY2UGPP|zt-hh<xXJi zTNHmuapG=>|AbhdkJRoYiuIq5dF#$$qRBkfU&kv=gZUJVk!fhz$V^(0eh9}=#=4!E z=?ONyCO)*(pTU$ybQ<!^b0BkbpMMdl8@-F5Y<{456`pbQJPXe{cpB#*RTd|>dmB>L zXYibz3J<j9oCWlx!SgmfneaqwK|z6|`4I>iaG~Czjo3l<dW1E#fmwG!8iAz9z{Kz2 zXqc&|`h^-X;S@wQwSgw_btW-B_^=(b;J%2p@eenmm<@=pYuaeUUo&~L0{-KHHhu9P z)4d-{XT;A<x)MZiq|i_IKGPLJW5O@bh}S}Nnr*?qOJeEvFx}r`>5TZ9N%tlq*2L0% z$aF*S^5K_f#0e0w><OCc@AFfpSsP1Z#QT^AhO4}J{l{?i%(`Y(ytrv9hdhsBxN4Wz z!_`qgo*0)(Twp;kUbV~XH4K`a(!v!VDSZo97_f4^y)0|t+9YVN%9Po@9cxo-=o$?s zzzJg)?&E<pdMn>!%F4T2eB*fp`w4=26tHSJBOdANGr&G&B*F^e%wl1MNJo2?fGrKP zw1tDc29b>G2DV;17SO}16&5fAN107HJ-qA?PH@jAoaNY!>O_bqcrQTB@(fLJBY0O( zh4X9<l6yo_!&H;>TtZ1>DeJ)391cw<<{9r$;vQV@s7u)bdLDs89f+MaoKpjS28X@$ z;b-KvaP+fHU&5Kp^iZLWCTBp{G!RSo(L-A{tm79hrzgu763gk~XgN$lrUL9_Q&POQ zV@5;)JGLlx!vo_w7Z6Mg<DfHO)fmrr0S^{CKru*XwmkedzZA}JVhJ4fxP1XVcfnyd z1lw!EZNp(!W!nuezdSr;nq72NIs4!vBcL354#J@vLVdG+LA-B<#rvix*f&Lf-!wv< zJ*OzKoF2b#h6Va&d%zNJxNT#==8Nsv31_;Ip6B3T<LNIcN8zP-K)9U3?s!0AIfX== zc_u$UAoCXkjVZ$Uv;@u%hi4O9tXv8^;sN1u3J2o>iRBdd9n<8mkKT>K;bJ2Cz?qAT zofkWs0*x+PfLfVtC;qENrLbBJnbr5yA_n*^dJm~kHwGk@Q&5Xa7qCU8rbR;u{!+q} z^{R4u?)QcH<^1lu9Zp4r$|<}Q55P_!sCoWQDAf0xSGs`B>j8%wgQ+zBb%PrLha*Ms zJheus^v{Ar6v1=WMj@ryR^vZ^9(m>g9H&D+-fmjs*BVRo@dz!1Ln7ie<2{!c<X7x= zQ?c_+XCpn&?3duo&R~;Df7qP4!+E9;fiqFCNu__Si5ki?pWoX&XdRT(!-rRNp<7Om ze@)B|mD?kU%J6`8HSHU24=F<9r|wYGMEuKx^DpZWgziZXnS0U>R1Uca-IE?#!0S$D z%R>un(dd_l0+$Fo+ia)dzhC$$;wCsG-Lillb}vlTh+B<!2*D<pB=NQk;qG|6OgKH4 znud)cc;HMp@|un1?Su=OxKidbsa+k=_;EOcY50)&6<m^2<lEI2;23g-lg4NPmlT_0 z{%4<liAyP~)#oQ+2|-)SU`g1K*}CCBTTOF4{#Mk7!?j0rGu}b$%MalYO0Y2eO0b1I zP(d@l>Sgh8_%^flKIlvKfcVl6mBf>m_Kz}yT#Z8U6T~v6!y%MlAqYKMSxeBmm8ONW zt|D10oV}YCBzDfS=ZTeV&8&>%X0xY=|BF$d=bsvfo2T8WB5fWXn(O>7B$z(9TH>HG zv&N!bThZ=0V7oaAxp-g2`4^9knMyL2N8VU~+kG-Qch(SV=T%u@F1~6aqs!o$R8PWt zVtmy^CfNjs#r4oczpk@&a<!_Ol*#TlCHm)Jm1HeKe%|KBc$D}47W&0GN}2J*O!#|K z<|S1dV(#3r)$f>us*Ec0TTA7c`@XFJ6TWN8tkyaHuYpa*B>Xv2nMZ4Ti`a^c{Ef;n zNA}XH^^7mJ$Y|d3kG9xiY}rO8=<^+kzO^DF&qn@y;M^y8{^5ZuU|t<G${uFV4SVmv zz0wBpNw75>p0S9l*m7rngyiY!pU@F|@dlp6m?~HZQztqfFGaVRhg#kwtZdI+D+lvs zo1G6M&M@8)1W)TUvlMHK1fIp0!0<X=iEq`Ow;)zO4=xGgA<R-vp$}X=EDl=ZGn!&| zYB@iW*xWzL<&5IRlBBC3Rz3@}HI79FtDJ*eOhod1ILS%*X2C2NV%r)9?}+SRHjZJo zk+otqG8MHA&Z^qrlyAOi<k#Dz`mVy+4i--GjzG(7k_Uv=*ui|ZSqu-hC{tyS?0Vej znQbo|izfY>{$QIc1F4ug@D#%we02$<bxq6Z^Yg@h!a2;~2gPn-?7roGF|3<z=XNIr zh+%aae8*2)tPQJ?=yp>~tb#x9eUNv9W4I66ia&z7>@@WmWP>(AJjPpOqxh{yx6bdl zpW3o{4}9C~F4p`Xarf*R-`)4$J?-ueVpl216fn_e5FaFu>5gg3>4r0u+H?4IvqAqO zH`6Ta9reeC%4p;BT5LmP7><{6bGVjpxp3X!c%|7Z?5C#Z4=vB&G<0AcI2!X8!aW7| z44mDzAq~&04hZ8EGuOf01h*UReK@;4hcrATPD5BPIBL>wggXd#7|w3*A<Z5*YI;)3 z(@q$Wh;B%UcZHdJ$R4W^2&YD45!?-MrEtM5*l)L@%>P4%{&oq}z_O?4ykj)<f8CLx zf2+pLnl|+sMZIZJ*gtz0ci<AJQ=<%-8|`?!cX<?M{T;k9j6I>XZ{cqiMm_B@udu(D z+MhQ5Sez8=siK6`=zs#OggPLneaDk0oqDSG0IcGF3zLk;v2^KgF@H*Ik<=|FqS`8{ z?Q=S|GpnU~Rim*?YR#&~{;_3JyEJLsvU3yIb{{de{?}aDA)Chjm5@B|Pq6iNy@!pl z|6v&W_l9(2KF!&$@uIl{ARRW+4PFPd6B7#b8<3OT9Kj#Y?~f@Dyi1}}ywo12c?V#h zzTAy2Zi;nPvj&`+gGy@U)em5OzQ&DuKCxhGaPid-F+D&j)}B4u;;RP`w;UGjvBg(E z#QLSBE*D>omBoH_zGLxKte47}2Nq)AUn8f_9^Q>Gv&WH`9pw_Y{NKS?%D?2k?`<<{ zvc7|v0d7Nvzi>^~TVO>0m*ASL*J2WYkXPpRN9X+kgC4f?DvgQ!4w%TN!$iK+9ZBo` z*&Vo(2aKKmL@%WW*KMWr3hLyCMsentm0P!=n_f2d`!<61`>()IA9c*{(8^nf(_#2e zwYvA$3x(CRSyS{!TH5=oz5ch_+aHS|xw*Lici7~c=>e+DY=Az)6bADq6kT38!8i2R zGzHc&f8z9+V~T>t@BW&v|4+>FtJEw$=K}T^u*3gO%X=+x`~L$2e0-Ms&NXBCD|uA= z`?<*UU$no+f#`twBRY(qFm}$Q(Nktjoax9vX6#r@8xf41K66}99D}(fCQX<f4ElD+ z^eHnY*b*_hjniS=%!@CcfC(NtU@n9XV~UDqO&L3<sA?$_XN|ddLhv!+2mJqz_V-WY z?Z$2xpEFx;{D-=4Y<s(%Z@*C=AxzGPr(`(3BH29fV$Mp(c`^`Sz3fBlXS&=pJdws; z!{c#d5EtBUStsllX}MqS&+fMf{$P0{vK=RiFuU2fLIecM8<>Z$%m`z7_@Wmp?^^h6 z9(bKgQC_z|fb}jyT(G=Rd3ZWSB+KJ<W9zpDewzng=l-Vt)K>I!vvD`WA1rTRFTb5E z56zC1_e<r;0l5upfu~+P#MzDI(QOfJy04ErHv9XTt&Yw9elyZ>sMK!uA^Ld)1lutT z?XdlfZ=SJs)P^4q*Rkz=l=oIliuViK5@?=Ne8REW-*3Trilnj4_H!&io?!TY?C<Mn zI%0pc|0wqNM_D}9x4*|d8-ryyR=7BM{?l%m$C#`qHYA}2f>Y56ArTIdiSHuz6!S&i zQ<#6G$a@Fnsp7HP-)~a-`^1ODUyDzPJa<|CF7b8oui}0&87B?XH4s~gy~QEo7;%Ak zy|_WVTYN<Pi}<<d;l$^D8j8kl6mkd333!7RyOOx*I0{D^dr_o2S2Ex5S<Xbs(<D<J zi0L^yBI6fJ=E?<>SBbYM{0_-mBtI<qNy#sYe-`&E{&UG+NlwIt&;8aUv7dU9Ich|? zrQ|MRo_LnXH+|+e>n(zpO1^?b{%a(2bb)f2<TaAb`ijVRm*j1V|CMBpS}>on(**yh z__r0lmqh;`5I<MAi<=<p#nA`m=XX-3YeeF_H};u`@2qsEh<S=XQ!+=CSdLjA5gaf1 zV)0TE<<1kY7k?r0>k{)*iH+&*6dzRhcFFwO$oQuf&M%~tUlspMq8)!%{9eh%?h@sH zNg3_(kca6o^Ss$_9m$+dk@aX!BHalJH};kY?=HEI()Cxmp$g|p6wE(X@^s0wNR)Sl z!p%B|NOzs&GNoIqbY`7H)bDPE->-DrCF8O-?M8D9&HW2;{M}-IWQt~#4RU+QcqB3L z{2gSv9^yc8kVrjK#$O<g7blD6K8AQI<}uwuaf$c~afP^6+$i2D-Yaes9}%AwUl3mv z-w@vw-xL2K?icMkzAo=es9z1SmRQ}srnSP&{3J-<Me<4FX<{#NfJpsOmOnx~UmPb+ z5@(9D#p?3{lqj4Er7UlSxJuk4-Y#;UD5l#gJ|;dPJ}o{kQp=I)-w@vs|0?o1knwhY zfFqKrUdr$k-Uq=nv7Tt|^9XMznO|p^?j-RPv5#o(_lQ47@=(#-?-5=gd6H=E`v~VJ z3zmDSxIm;XHN$TZOGWcLfN-P84BoEryTk{?2gMy?h4{Q^ejkwk4askbe--~O9uPkk zzYxuQF33+cUe+@rHWbae;0SLknWM~1cZztrI8fwpKjY66FBHd%=Jy5hmq?x?E)<uD zD?~d#!0nRF?+fy8mHe>yL-PW>p>%JFR8(d??fd{=N#?^e!)u9let_!p0-T`uj$&7_ zyVzT_^8yT#JWL!Tnt5Tczgd#)@6aO2b{+tZsIy*WV)fsl`xU-Td_sImd|sq(G0UO$ zHEDi_Kr=rKcu?Wg=4Jf9#6<Whr-)e2#K>G2hT-OS35-f^BeoYg^)J(z-zP9%viW_2 zf3W1?;uz7+17Loq5LT%0%SAgMz!J&k_X_?Ml2?fv#al)5y9NJ!lI?r|=JyL>R7Yoh zUl3mv-w^*I{!QE~9uVz(07oQKpONKJm65D1))QNbt;Oo|0Q69Jp4d+uAac5CmODbU z^8<{N%<rg-pCMi*ULh_NmxxrjXZm%boiE@4$-frud;u>@{)4z%d|ymR^2@6sQiq@A zA17LS|4x#-i9N*LVn6Y0afo=HXy*f<SpdtwM7&(QQmnqd@68HdBi<(7Dblil<^5Xx zt@y0?lK84<*9Cq@^84b4;y=YtMA|B_{3OxN4^UTfQ?Z5EQS2hpx`Fuzh$F=F#qr`~ z(c1qnkZkS$uajKe&fnViS1A5j@dc3v6Wss1A}uB;e<Iewvn1vEVs$(H@d}?TTKoH7 zNUm;I{}+Y-O-#jr6U&c?UBr{b0&%>!NW50OOWZ8dn1kj0N!%@3`}L0`9~3_qkBBt! zVE%NGrW}-;i*3aA;)&wPVjuAg@f>leNQ)4bH%Xj9;#`_7`7-e;g)fqPt$35dX;8v) z%Eddxd&I5c!{YPeiy}=>n18qUq4<&bh4?Qq6E6ZxpCz^t+lwcPCyTUfVfrEB`Qk<5 zG;yX#0~n@XBwjE6LcCSHLwrvBy-2$m(;o3d@gwoDSSdPq2V(lLm?}m@n%^+KrPxl) z5l<3N5qpdMMB3yq|M?;faVTFbUM5~4(kzGZH;FXEp?r&Ym$+G^T@K@)6lsn_`9qQR zIFyfwjWBRZIa};0_7!QS!}z%(?Q|$#FWxV16KSr)_zy(d>rnnuYyz7b%FRTY?NA;e z(r$<H6p<!7lsAgB*`d5u+$Fvyeky(;;^*qWG#^Fv_u8o5_gacMVy;;IJvUF`eZ(`w zfueo?9VWRz94}55r;CLm$Mo3`bH({$iFkupDwc_>#r5JQ@pf^G_>j0=+#yzoJH_Y3 z--~aGZ;QLd_r(vzkHmxGVUYv#Y*$z`<A{)tb-s$~@73QkKgEeZW`2s?6T9X{&Gy6d zQ*f>rY_a(%8sdvb;lu8s;=bAW3G2f7le3@BytSZN@jbW<a`M0V{OfNvRAxrQtD6_) zeT)JBW)=89v0!(>xG}ek`Rka%$IUyfDKhMC23?FJJ03cy`WA8%4<XnqVCS_x1<^3^ zy_^Uo+{=i^8PRo^2}$B-7jH5{&Y8%NaKay%<|T#<GUrt|=~_l4C(zUw#>-~{VJ(Uq zPU^wHa8e<VfR$N|%`$9>zcOWo$C;dA&YPMz8-DDGV>?OV3j9xwdNU&&0<J+zN$--# znFxf<u<1B&R>Yi5Ey6XPVeD*)$tf^I^@<`a05-!lrhwj@NRAOBH99fvrAnIt9S?|? zDRE{B79#cLO3HE)mof3>5svcIbn!Fmxcv~~4^NTq7Z6inn0p32QH0cDP2DqD(bFih zYHoj4bQ(oC#~nbCS6CWNW(QWlhm~H_uVvD+E;dp)oz-^FHnm=s9La{%4Dar>-662< z?%cArJJ`*s?G6fEiio5z`>0L@yqqVo?mBo*cQTp);hZdlxNbcTnACSaMUZ<d|G5o_ z1~>8_(<7+1dt6%GnqFGtwBd=^j~n4Lyw>5wZP^R1!x@gR&zX>J3|kX}D|03>j4Nm+ z*L7>w<l36F(yLL+v1@B~!}C%KL@lUEvF3L!shL`JNllE6#@5h871IK-C?-7+3o){E zp^KJrmCM@KEp)NA@3LM9uH(9A)@7aQHgnFb+YwJGG-2c_ps4ogsXbCLl->$4=rq4t z$ETiIH`jzWNHF21&(OE{q;{qGtk%Q#M@)qNq1$k$GS-8P4yal)L8b{BOz>ERV8lT( zW!U+|9URkWMS%Gl3jNyp@G5a~F!7KoiP1vrY$)OHcLaQ9%ff%wn!B(YlLWV*Yz7+r z+pK#etrkuk4qc*f$33bhjWe$MsKQw@C*d1^bmElh6J|$S&+XQ=&8SH;=0uCkLJ3eA ziP}XhMq#ZBv(m*SxueETnK24QPM<Qi<D_xpIwD#78Cag9J(oP_Xx7B&i0If~)%Ynh z#!a6y9;yg7#hD7Ix=zKE8B>bli}b`6;X#K`k>%nsMU$~S4~B#&QIlcjjL}70N@BJj zj60a=JMSgOg&JN5xM=GWnzXtg*PBNTwoXmFc^z6{3vmS#EqcMSre8dJwE4JaE5JR( z*P|HRrIVv&L(qWyDbtH4%$hP|QvRG7<BG7X$82`Sx69}-PHS$&m!|NS)2O=khEd6i z1wm2EIm-GUKikS97f-l&_JktmC#{?kP#LOH-?&m)m0-Jm2byGbo2n{BnM`bLd~uO# zy*RrFi>FL5YlD1yVUn0mnq6In0cJO`g3S^oMpA2o3(NeQuMfg|lHGVa=$RH-9KOa| z61oXj{*Tc~qVf@zDJkkut@HisFsNMgZ>gNr7=6S0A>SXk<@$*I_iwe1(+nX@hkJ|J zs;6Tdx8vcG;eIF`p9`w*H+b8&H}`f(XP%ZoZhi2+!(-b3j%nz)zd>-e4)7Wiz|(Ez z191c450*Cy<rO0%%VQtd@<s*n!0Yh4MowS{);ptGy1$^|k1&Han{F!P;C|o6&uj(4 zxL=0b{ay*b%>%D<Ga{n&XtQyA+6|Vs9tsxx<z{(!7>Jcu3ct+*uk$d<V>-LpxEl}< zEN^q5JU;Z<`rQh@&BHRDM#L$B0PE%V$Y6OpP#%vH>xV9nm3Ke<%##CWHXMY1*+LLN zFWsY}P4_Q6%wB>pyV-P4ApnP<(1y>zPEWA{Xp4R$;Aiw^q~p0}H@24>pPYm3*cs@T z48+-fL2&Gxhu3)%<^7EwZFaw8;IwpZN9PVgAkXPKa4e6<hx@eG5WLP|Jc*q}k2YJL zl`VteKZg!eLtC(+?G-=Ji`_M>%p)A%yed7%_VCP%Z=U};5ex9lnGq9_zP6JEk^SLs z?D6>KIn`zMV0_~mBT%t3$DH>T>M-$@(rzp_c+WBWIqGd5Pbx=QXe9P5q-O?Xt@=oW z@*BaW;3>Qn*b&v`IhF(RUh`A*qdGAk&KbU&k$uJf;<+O4UyPq3@;*iR3UQ&hR9q$U zx@EdMMP8qj9~O6rzY*US_laMM4R9hdpVfytQSwl+K(ycSr%}fEhxwk5lmBYU;1Z=P zm3*`0a>?eqJ@&(?0hqo*@#gIw;crt$xe;7+tdE&@ALM4nU&K_A=K#Z_VoUJ^v7>1E zAL)8YK2tnP94?+GP7`N}mx}X5b39Pq63MH@_2O-!IW9<N&L7+ZZG914r9HLPZeAhP z<|{qvL^HnQ|NEi&N--YP%}@Em<3SbZe{A0x4|1xF2jRCcFdp>y7ZK;y*})N^O;@)V zbIZcNE-ZZ9c``UA^b86&V?s^Pxayl76Pm|{+cBXPNCoQ_gq&y&OekRs1gutxkglT< z844vmNQ4u3qF^aV`0bd`EsRJ`Fd8GtNmM+Ej|myIlyDNKoHAoV9CgB&P<<jCo?>$P zV?yKL_r|$APc$Z!>D}p%2~oGiyE`(BsWB#0pDFK&jS0QL*n6vt32ly4n6#-icp7>4 zX-p`s#sH?hUuh%$n9u`CoMFa<wn(b)B=XV0+o~}k_%Zl{?EWpqh;~fq%-EO^YwGrA zMS0%WV%7XXAfDE>SaEmY@A02!tr-(Ks{%fb3Eje^XJ2fja5}5)o|9vw>SIEkj(tq1 zW0f(XbnfC-mi(VFp`Uw9$lT_fE6pz>df04u62VWZ853gkv5g5a<uQ*5*~H&DCgksT zgsBexWx<7v5Pv{nlHeAU|1XXSS$oc(J|^_?x5tD!d}~bTD33=__l}Pg1s;G9{$t04 zOe1`oI^PH^J~C8o$9C)kJBf{{#K$vYLH{>Li;Sh>4~`a@?)XUqL;pV*6XNaQ|H+sT zPRM_EgSG!nV?ud>d$_&1^Bu~6)bu0INI$kQp&}$dmNB6Mlvj+03XWCqIh*_Co9!q# z1jjb&xE{-x(BJSP|41xXyo~B&Lc8%ZTY+@kFWYDLTYXGu4I-k5x0|gKAMAqtvMDfD zQ4?{tyi)jW9+vSaB7(p0Htq(*1<TtKD37mDwmkFf#e%d^XY*Lbgep)T`<3lQm&eNE zJ16Uq1ILXzh{rM}<f5=k{4C}_%b<G-4u_!71mfQ;q&t=|p)E+qbIopSFSqJrLeB;I zh3{LoUl3en4Cif>_cs=x&Gs|tKfd@8)O9(QF`-I4iSf0@ZniutTL#1bGbR)t6XI{c zPaPBbF5@?UOb9;$M;#MFI5s;b)Ea({33UK}&M~3hD34<ugG4hH0eP(CDdG(AKVw3E zf1x|7jG?4T=DqR%_Lxv^PR@@R6YA2X>#>drh5a9pYGXp}_lK_c^@I`wdO|t*PP2QQ zEeG<hqppzCZ1H~Yx@Kd>jG0*Q_(!4Z3m@ki0RDY4>|Tgt0u>TW&<RiV4P!ySWLh`5 zEux?l)D@D)QI1g8Gfha687~bda9Gi!-b!eYxjMp0-58&oz(ECQ0{s(GeAMSX#)Xp- z5obnyjyH+I_nBa5lrT$p4FVHs?&QsKIeM2Az6bx4GrdzH*$AzX$EZ^yy!pg5fliC? z`5**MpaqOQU81iElow$E)C9tKpX2qE7%}5Iy(DEgiCdYqcVq_=)pYUGV8?aXB5v+> zB%rR(ne_07trqLz_GeYtAGNr1cL1w$8AUjZ>rlKME|t1IXR#_z!KHBw&OQ5Fcsb0| z3Z#itMCv^es`o@<>OE|DZTAi&a`{l<x^;*;+)JHn@DD-%#>zX=y5Vf#>2YnHNI+Ys zc6A*bm_<@I$80>Mtqu;Jbm*t%k};`=Khq@AKYrlA*bBkKT5arw;~<#qjyMjE`Zy$0 zetYaC8==t0A(S{dn7HcLi#eT~p@hHR5%9$}KYwYS%^z|~`P*YQR)#I~AB&8~6yf{6 zEjPuf5_0w<BJ_VnUuR;M&iH_Yc76BQOi(eWPe3upo7<x+=5cD$sfBl06w_FRJX|~) zZPDOLwSf`nC18J2tci_-{F@Dp#OlrQ8#5YV{#6M+w($=$jO1(AOrANbD9Qq7%(-~j zn8MjkOhx8cb(Z`C`P~XN-!*Ph?GS0~iihdnO3Q}NL*HP765{+c7z{-HtJnPJT_G@@ z(km@9VGwU(KbNizJL^B=DyFM>Co$Xc_>Wi0*gIyf9~}E{)8!z9eserPrsMIln|-h4 zBSG+ee==_OET8Y^OhZSR_jX%0yv}quem=9C^$tWpu)IQ)HxiL7k9D!-je_6if!EAk z@i{%(Y}^dU*3T%~@bZz&ZZ>Wz0)qQ}4ucB^5yt&8-0rvfxJolbL=kT{TVC~XmF*FK zyoBX_-?&Ool!v6T&6alqLaNj+P#zz;Z2fM9-{xT<gAvgp5MaF<5f?1)O_XPk+xLyD z6eYk;)E1(BQG8VX9FW`Tc<wxwag{AdcP!&7A0b`vTxEN?RUcR3co_c7amxU0zf>Pr ziK4N`GOj{fs5~?<6J_xUisi9<_8m^8*wzl`X^)sx^%w+#s~nsEjH|@QRd{bX+PKP5 zRGIx>)bG-*;Z0xTD9uqO^=NqC_$hibU6>CSS9kGrk@qNu4;4p>6U6D_Z1HNbL|i7W z7OBO~^6n7t6`v7b7vC3MoR3Uz9(zGEPX^e9;kZ7{r%I6Hsnl;dOQgXB^No@`M)EYt zg_4ar1oD+A{6>YZBT;UJWK`#S$3A$?Fui%42ir;JJ;Kye<n>RP?~~-&Vs(9(F$$j` zUM8BcDCAoxd5L(FxLmwlyi0sQd{BH!H2se9UXsjv4C|Fh;y%RdpE5U+_XN`DF@e1A zRMnr!?fhf(XHM>-<$j|-tUoii9%~WTpUK2*S?&LEFui2UmP%(+Vrkyw#0?3hDTlu4 znzV9Ve$wiMb>8yY8xz+)fR#0i6PEAy&R@PiJoVN63G+f`o!^cN&)K`y%W~aA;pEz@ z6V|*_nNi`ErX2KAx;OmG{$2h5vj3&?-F@L2;pHQ+uItFk@TfkO;R})ud@yz7es^A| zEa||VQ!#Pc!Ni5@_dD}e?RQcR9vDBh-vMXp+xy*=Rr}pW2M<h~mv`Xmd2jCzHCnYl z)VAk=h46cASMB$@pNI7+-GgpIuLFzbr5=ielMX=#tIeQY?`(Z-ztery{&4@^2NusO zLrKfAx4Z*M^IqE@LhVB-`3E*3+-vkITqHNr`HbwI2b#_6va4*r)Am)kUI&^pU-wtx zdLL*3f2jX*<m+?5K^fju^u)aU1J}YIM%m#;tl?|>6B;ewpI~bE+Wy40%l9XCXU$&Q zpVWQ%{-plV+-T=eH`eHdSHf!t=684ydrSHFBX{bY>^Auc2VE!cK&yGLZ_V2p&PDxG zwteKzb5G90ULw09`KV2!gCDt#SWmR3En3nx)Fe4KbaF5B$bnb(A+@(QvL3m*&pt4z zd)EWU^>1<Dnw0k;d&?tb6$ire*wbfU{q}xm>K{>lzXNxq^vI9o_CXua)AQD24@qbT zd-+<(?D3=nx3v8udiz?0t>5o;?{{EP+mYD|^D+5g!m|iRue*`lq(hk9zV+-~CqDQJ z`aJ{vo^;^0{$cQs`xB-vL;v?XP&_q~`z)ka(2J-)O5TLt3e8&x|0_5O*weg7r!9xv zl!XXQI@B^WVAnDniDCQ0ZTlTq*!H-5)Uz$h!ZC4J5A><q|0(#Fp~R#E#r=6)QCfeL zhBN4nl-BuAA!HejPASeUwk&dD!v65QWjIE!A{3=Ia&gu?1wW2kclKz~|Hs~$z*kk= z?f;y6Zjws~N!WM121M47AOxgQkR?C>*%6RRSOSS61PFqP5^;-)8Uzs$3GQnxD79*B z#eFL_xb(GFEn4dWYQZ%~YyZzP=b3v?LQv}K+xOqT%;(Pi&TsZJXP-0kn@?{>{)zoo zLdNltKlIa!`bD#!fKRmNBl|+h35fr|&hU*R^EN$jE!vR#30so9s9nQ6ti$Tu_OYAX z^MNtgg9vSf(9@GXy}gxtJp5a=s)8Sm25$RJ=XA>3j6KFOul>Yjxm^<SxHl2A%kG`w zUCv`TPt7BYd*kWt{)V^_oMZL&h3mQ3toUNeeTkbAZfJOY3C_z45)vwsusZIh$V!}> zPvG1vtm<5pu-+{=y=Y*;Jw+E5ENr*CDB*@k-WvrtTio>Huoa;e4@}wGu&8Ch*rF)~ ztBbBL*jbd`^A82-$GLr*RW&JUWBk_^ZG`_H3YrwRnK7Yw+6)hSdrk2b1+NzKNJiUv zzb*K1XTpXYcTLNmwM<%{zSnbGR=LM_D@xAm#52<!R2?3%a%<<UW2(bP;Y_Lyx2{(; zxTs~`N$|g@D3Ud;pnls>zb|)2w*30Ty=f&wPsp2{H}-?+pPYD3Ke*0(KjFsJA0*tc z^8=$Z$XvR@?gY^N0)KpntZgtf4wqbX>?E2Icy8oIN}+@ca1-*(IJ=xN#wY0{BAh^N zOr9CyIi}e7B=sO73DXgP?pbz1#=B=f@S~Wqd2VE>i4wlwBnUqUDS;Pr^vZSy62rW6 zB(Ybv4T2(9;19jBLl_%tx&n@OV)`~Ch9esp+Fzns)72Z0Zf-qT(-n7N_R9KVI#+G= z21;t;Byb=qdSxF$q-2**pDs5FU8=m(z_`mpc#A-<?0s}}hfhj-djFhd&!V`TiMCMW zO)!O>-tJb4f23%-@$RR%AGX1n41M4<II%QpD&V-kU?86#(zqG!gB0CUQhQPOC54@E zrI##22Qj6ep&IEWGbK?kQbYFqK5VwHB0rTa<vzj^9zj?tA8_1XQLLueDJD__9p>91 z^id|Qf|$N|$(fG(Yl_s@la7T^jgX5QZ8{c4HNs^SurTUx7>#Oc($S*6bR6#5>0Bn& zgi%!t_9J)S5AQw>PWnu=lRlGzlRmM3gOffv2Q)D0Gx?B{KI;djb)u%618O^pAqMAw zqTe_*K6#VNQKkh%gr-BZ%TXe<VL(KvF+7^siA*92-BFT<ndh9h9nQGnaiI}>8xfAR zb|#aXVbLQ@Fd4n$Y5aA1(Ywqi<|0_nS%&4~V#&q^qjrFRoQU_ZdyvyeG7pCqya}$h zKyUIISa=gEmu19^Il`Us1cR{>Vk`)b_x=10zl9rJ;)L>#t?B@8s-jZ<u?+Yc$VXbV z2EpyZB0-a}xE`HP;fQvfX)goRzlD`Oh__)qXGyq{j(2?_Qc1^GzK~K$$G5OZF$|>^ zm}*aCo!DT=GTv_EZF2|K4e6_@z>C#b4vV%LM!o=xZ6R1KdfV#3J;Bxw>wQh&3W2tY zzU<fXGo<t7luEi;QKpU8bUZ6O9~O(<Ew8k@h-GmfZ7`cKf#7D)o8;oWs4&4zqPN{N z=8g&*!;QEZ7L7pM4(mC~!<BUKS`8smNe4F(LP{kayI_%G8TKqE@Sz2E17UMKO(R;s zntbT!<O`A5DoQ4w0q~s$i+pmh$-J^5pTJH*8OGd<f4^Q>!!us5rGCBmCV|yGQ)25$ zV&NFpi^I%u6cgrl;Vi=G0pVs?tRT3oGF~WOhK$L?Kfk27&QyZUh&?kcvAr8Ov!>c+ zv_U2*{>osJO{wEq0TzVSE7>sA+K1`WERl-q;LC#~71_hd{d}@52`e7bJ<bG;C8oie zHQ4Al-3VCzkPiQdSQIix1nY_-Y~7HrtycW#oy4QpXuiYpsic2Gjk9fz<6<!LVJ3kL zdLq?Xu=tELl81|b(pJPS3T5!)K1wvlobTptLi|zWGroH?xyyGC=Yh+jY#MkQ!#%)S zT7O=q#-R0(*HDr*_ORS+V*D(q7;5Wm6Zu*B137AEW)wI&6?vpG8yU<j?{G8Ye)Ze3 zVzh0`#;n;_;m%*?cW(FP$j)Dm=$z3yaK2WIK2+v8sPZ|m*i4fdw~lVcS96cHTV>B! zhO^o4MU#YZ@h7=Oa4(Cu&a&lf>UGMGWS)#~^J`<urGx+(mKiibd5zf}A0qfa;ftW( z<Ar^B7#{;4aNU8Jkbm^iM|n-7-s!nsGyG?I(WqA#ZR<6OdJDL6PLG~kS7*xP0(>|0 z`sI7aW_pz?G6#DZQ7>h3S8pj7TFL2u;qV?k=6dH$9_alFtEKeM?>~3$TsZT+{#ZZ- zll~#js_E4cA0FFJ?B6weV*9S`pKjk3rDSKc>v3FOw~P)bw!c@gVve`hiS{q<nmwv( zwpThC%iVaFBKJ?6$^9>018+$AkWi6KuQb0sy%=+8KE{=!Vr#rBk;UguX10yHA8|`_ zy#|x<^zUN*m-Y)${z8=hca(q2ic;?btQU1X5`Bv`pcX~F)F}RYV4<3f6MCG``55o_ zSk>k>H#du`$^0IR#N6#h4R3R!^y}KgdmW3(+=aztthe_^6D_kf9GTuWH+O2gk=?So zWpv9ZL(Mb2XU@;>=#9$s&f@Ad-tbKCIyW<@9l;-`u5YpNuZ<-`7A?ZC_(iiYegBL> z_A6%1518hIWDTz$O^ZP+SM%XlE5ZCAod&U-_~rC4K9u^$_aVO7`d_XGEm?%TYJ3!B zPjAWSB~a~9V__JR4GWIzKrkDG1zF}u3q+kCbpOwOqBZ*VgWqU71g@&QsMfho%K$|B zXLKSQtPg?v@8A9NEEY87V+fxGeA7#`z<tP=qw&vpxc3=TJ08Pmj)jfDeqX$9h*P`V z;0@5;8;2?$V9e_Xpq^%HY`KHrfiC};+13g#=Ll>DAG}YS+b-+HZyq)coX)997-vm~ zj14P<Y~2i+jKtKZYK;w>0gqt0_u@`pg*;g<%j0&CrLZ;)oDP&NIZ--fY*-28V1COx z;8hrY%r6<%=65OFHVvH4vB;0{tg&Gi!Xud9sz81z2($TJ54TOjJo+F%>b<tchFuGf zV165sAGeS7<14n!?>4xZCJUD4C49jA&}IUePTDP^jrU;Vy1%=!YYoR#31G~zP3r#c zx&xtsVw~BC$A@!kSU;NQVT0RoE4CvYe%uaJA+{a0zq@Wkes3V$8e0|_XfCI3OFUD< zli$mc#N@~PS*ATV;B?AyoOY!{#^z^b%b@>{@!gemikq;h=lWR1!lurf19Chr>(4VP zZafDEe870-h=_Z5=Ex1oJo4hkGe=HPPB-;od-;ZYByOnVAZVyFA!s~&iAA%T<AB$c zgV%BKZ`gHwg5@>cTnjm{k+tM^Fy>D3Qx%C_YraDsL*k(4wT%A9iT%Wr#5{4lI8`*0 zv5{_`<i+ASV!3#=xK1=Z$Vhjq<h#VD#8<?3#eazGIc7b(h}k4|rO{IW9#0vAJ4T2+ zei?tNWYYl+xmfaih4V$6>CTgE#uh_1>klCQ2Kk##ZTR0Mnf=que>(|(qrU)rQ}U+@ z=XIRnf0vwu6OD2TiS*4Rx0Za2WUlbacqfS`i>Hdk;!+XKRO5T7+5c!49*1nF7NU8X zgUpU=`e%#0&QQ)32aChR(V{sH5O0>`x#E0rk$A4SLcB`6M&vb{<(cCFyiKw>9w2X# zyiI&m+%7&Vz9{}t{FC^;xLe#W@_xy36SzOXdSbfRL}V{D!=s`ZIu5z3Wb=I=a(~Hr zV!k+0oFW#8v&Az+yB>x)4w2t-`ClwvCSD`16K@o667Ll66(10PDRQtkx916w-w-Ij zCYs|G@-E4|-!c4S@pJKO@gJhc;}7xbi_`~6*&NT{QIflgJw&4?0^ywB$M{3V5#l&; zk~m$QCEE2c7D%@1VVL!hkl#xAUm{*9t`@HsZxs0zh4t7fJ|sRWJ}uhyFkY5y*TeXe z<UfnMMY|rxmy+#z7`&0Pys+3nY$&!8+lj}DUB#Xvy9}An3F1kjT_0nDWV=4b49Ta9 z^Tnm2S%-=3C)uu(ai!$d;s$Y(c!zk8_=xx$@oDjS@ip-e;x6$$ksqhHU3R?;5BEaK zNh143DK`>ZifzRXVkgnApK+Yz{^B4pUu5Sh^F2j0>o`H4ExAZsC@v9~i)Er+&uNWh z_P#S;cDIwai+77#Me6%v_^-vsMShN>|EuDg;x3Wj=NN9*cLMpCLpdzsbC!|Q#TKGn zPosn6P9i_?G5r8>q&P;LEKU>c`WeNN7m7<nekEjnSBlq*H;T83cZv6l4~oALpAhZ( z7;i{^U$pCEd?xuTF%9oOEWfeXT0BbRr%HzR5&MgSM7#dQXvzGT$@q5Ni!&rI5Noe{ zaiRP#6|WZ8h<5#pO38p3KW6XW5KVd5&4yo!d=?JjA<y35dt~?ORp)r8oM<#FO5^`$ zXJzH&z^_-&p1q9sVT^ZriZ4qzvU(YG!n5S}>5mRypSWR8!8-*R&=&1H_Ac)kCT-ZA z0lM$w?;+z+^A7_K%zev^WFi0q4$eYK&kTvP^TAF=fImZQG(Rt5?7_{9!^vEsF=rZ| zB=am2NietIND}Yp@v#SHzF0VkkBMe#*g__ZgtwZMVbhwC@ICNM?8K6a+%Z5>_!sz( zH1QJBTO+7m8UDPanp49f>5nrHObuJj(0UU6sbR_K%z#tF_;u8)pFY!w=}scY4|)yK z`B)>x&wP@=^Dh5jT;8}j8Ega{QTQ}q>F&rA;iPTA;@nY>!+8cpSjRn?;(A!4<Y%-g z9J9aXGO9m;Zgn_~RdL6fnn>~Ua|l0#;%9DmBlm75xsHEsW1{g&{#D=)UjN23!|X?U zyg(hMTIbpm#Tp-Cq8RrhCyJRS(qAfD%U8_9V0_#RhVmH1=X;_ptX0;`m<LY|<EuYD zW)g~Qlr`JPH7AE9o5)64vtRBcco<{e4vdS}8Q(BU&;;d%I2uCEhY0>R|I}ku10MFg zuboZ}h0Le5heGDM)iB$v|HE`AfA^;ayU}RR8XqJM@twhbTZk{{4h7ZtQgC=`mdz)I z|HF!N_^u!PhQLdPH9T7b^Su7K?*<3yQntJG$hQOAzPJDyb1eS(c!bv$WBg|%34o24 zB{!e%Xc&)uaMsxCF8zXiyiwdNm_Peu8Hbkg2w0mpoK68Ohgex-okQUf%&!yjD?lLg zV_j^1r@(E~z-fknasIqD)>#Y>>t-0&Wqi;^3WOEH9W1w;eP-}ux%9W?E`vLmUkCwF z_*rAaO5qXAZy4^Vys0ujbeF~Qy8>>T22Ljf`2}yjHtbS_1@oI6$dAuZwtnoVvT5Kn z>i{<mcvvU<wSxH-AwRp{u<5b<?t+_XvS4Y3;$7lNn+aq(X}5_sUUE|1-xz+4c-RFc zfHCJH-cPIm+N^g5+zfsQ@#;GMb|5Uc9VOTf{7gGH0d2eB)gyMy!|8DSxwq+%vE`Ef zv%ep3FD_aG;K^%MBUt9g{8^?whv0NR#!f)DcFfrPVjgk?{r@MvF$Bx|SLjjZ@yzz{ z4O={J4o8o&{f5BnKffV#jVJf>jo)%(D^%3{rVzW<YerXG4-T&v{}Xe%#xh^*@6*It zVu`p^JYQTTt`Rqiw}^L(=A{n#^7_R5c@B`f#J`FuIEd(P`hLOAlCwyhpB#Zr_9bzk zn!a9ewBnf;O~|K7E>b*>@n*hDC7V87$k)l==srQdFGxmpYP{>?R53D-2bLcdJBZx3 z^zR{_C=L{di=)Je;uP@=ae;W2XujnjU%uQi|4YR6qG@mVoAw6pkiR)Ta2>Gqg||u1 zbDa5nDe|c1HxOO}O#QQa<@~GrE)K2h7<!J=*?V!*1?;_em-`0w#FfgOf&atoyYRax zdYe5_qZ?xa@_;g9c4Pd=eHZPRrTQ+|vQTE+2Z;4uyp$I2yQoSt$A(?tYG>NxOl<ou zUY6+hUA&TJ`!0Cgd9S9;G;wX;#cOHLLaxzwVec!4?7Lv$JXn8t-vz63sJ@GX6ciun zyQsM&)#3JCa6f)W-vxsYukV5}54-QeMm}WUg<tM>_FXVa&;;fGu)d4$*C&jgPICqG zYWH1ae^1{9wBXgP<2UwdZ{EPoyM4@y<NW@AUH9p5`Yud+*4UcE?z=#HVe5}5{q5x> zHb@#(FO;0vDBQ$t>NfXUxGmjQZfneOZWqdMJK&z0g46R*eHT1if9$>s?3*Lw9(74X z^BZ$4{*Uxss4)QBE`@O8aXw~_^j-MJ$z5m>wo#T^=1AYgk-iIil5jlZkJWd<>;GZ& zUDTo4*YCT)wf;x%yXcEL@m+q1m@l3po+cjYyFfePU7z=N()I;JCI1KZUG)9u`Yw9) zJ=}2@kMibx(720(b@EaZ@682Am-%{msgsu)d95~H=doYmqHX&yn!{}tzUD{h+6Ln< z>NBnzc>+Nge{ne^uYg{5{6#eb!U=B!-fMLG!wJGk7g2~{j}uAjfcT&bGaw_J#4(Cy z&_$Jr6#jq+`)YY3;bMd)ehROe`gqg5jcG1|>ao+n+myzB1M1^_fMR8u>Dxoc#Um6q zOY}P~Zb~y9f$dYA#3jhUyE*MqM5^!J0KpxCzjzO`@#83qJO=Xm(}2afLs=S6^#;t} z9mdkw8`*%RyTd8o3TssE=Cd@mTPpiA-4W)>AeFl}A=g8w+~u}4bl2klh{|2F?DgTO z+~t=6^h~guD`xmL0i!Ai2G{DDh#If4#4)f|<t}3$+%u5@UyQ0C6gfiL$TfQ=cp_nf zHQ|>#39gv&)B7rS8H~xfV{Bj>BU1`nuNvPx=*cwJn6b+LF{3X0`3yMj4`YJui;f%9 zwu{-b$F=QvTGtLC>e!9HoU`L$P`_z|MvWgn-v6IIzOZyjiF^i+A2WSq@yu!C7c5yc zt8m)TL4#_JP_W8o<1-i!qqo4{hJ!mm;<c*Llc2Sd#+S}0Ep%dYALj>`b2dFH2QLAw zDWUOst95j-1he_^CaBsT4YNtAM*JI6Kt*nRAVz#i1+$j8c_`x~mDrroe=BXe2lrJ( z?Fk9;{0#I}{7CwAV@-CX&q8gD>x41?oj!|R%7d_1T;A)0@A@rp9k<`@8Hbkg2v}PO zIE^lf#{wSKITT^R{5XDtgH8Ev#P4G^KRzAUG;lh{h8*W>I%I5EF=Xpz5a$KFt2l%W zD}*~(ZVA4x?S&u9rN1qA8QeAvoF*V@9R8mTD}@-$uOQujmS=wTVQqd_z-`mO=|mBL zs4-*1E`?7pzu>q6yjI2Xt3BQ#3;8v%nIN8Z)*f$BhC=Or!=}gbyGv=ZK$^h`SR>bF z0+~+QZK930A3JLy{H(F@YLB-#J?ZeqTil6wbsc{@P(ZL<%CQ~znf=xTwC&swZtVJ) zc@z2ZA>10fNhA)Xn7I`%VgumGN2Nxv%#ZoA%wT@+VJ8HiHEe!}R>K7SkBqly9GkPr zw)_4*3;%hcj`0?}J;wSh_}uxQs6#h|gNJ=6W(+>qj55y0R$@C62c{W!0rpmWGuICC zV96&d-gwDBm2BqLAzq36&nJ=pEs}X4Q|389*6yR=IYNK)Tn_TyK$+JBvX98|Rg_I1 z5O}iW+T$x`$iGlrDxNE@6z%wmt0Z3|-YVWH{#@kCC(D0Ad`5gpd|BlE=DT#~9%?*> zeZ1q*x}Zli8e4lh$Ic?jGF=#UE=k|xd-@)SF_$El7qvj2MqGF94S9(bi5s2r!Xjwp zymoNAq_qh*B;D9><L|2@+poz>+~DoGI1w6SPY<obj@jFE<%N;9uCvz*HQWd-oomC# zr|d~c{L?2dPxV$idlKq}*OldYH-^?vgwD(X(3t668>*n@)-R~J^_=yvdp|9NhSOtD zUk}Zy)RXJ$$c-W_)QVbLsUvp%Ctero$^B`&ZqzNCNR74;=<kHaR!pTGQ}y;%Xjkp` z<|kpJ-xhjR^Q&M7f7${1QA1NJJ_(&O1p0EROSR$?FF8FsJ!@XOyid!ZBNsYelc7=7 z=)BztZKf5_UrMdK(Cpd;>5R@>r0a)tM*r?k=z*>HBs_KSrxznm0`f~Rx^q{5l9*ft zJK)nO%1mli1slzdW`%NDf9S~FG%BwPwXAme3OZ58`nrC@+>V`F2X77cMo2$|^mCz8 zw>@;^_R51M)%-6$_P1i)C+^hOJ_()Pv2CPxsBa$1_I2bw0!^yvoBDh@H~DtxYAyIQ z@tpK^Y~6tuypHXH7Gk5BwlL4=if#2ewhwx7p`Z08=)Vp1TLt&)*iPz+Me9Ni^+QV= zJ+YJ9B~dSK__y#!OFQYkQ}*Hh-(z7_w+E^|aq^p@4U#^+bt-hwPDNXvz7g#J?Xb{F zy9zF}TryfS8JcpTvo_S~ak#5KF?w-ZrDwVQFMwalz7E(;X!|Y`r4=_bZwOr21O2d9 zj8<Ik$;aWY`XrQ(((<`CFMuENIvu4=?Ujd|uz$nJYd@h@+_H1ha}qxZqx^(c*i$I~ zf@Ei3dcxyyQ&TS55G`_3mz~gPd;#QZv31k}9L;(hKGEz@|3t*zwljSFsJzpS{$K1D z(;k!BW#uKJJ>J1SN_=1f_897zkI<<@KfO5L?F;|>Ce-np{2E#VbxTgkyA}HcC8A^( zb=!Q-(Rti^H({Uc-sxUHs$EP6?zJnvIP<>54GEhXu20%Hz9<pesc*+Z>Zez^yLgg9 z|Lw-33yO*g3hpktxnME$-?qr}ppUkF-n-CoYxUpO)N}ja)_=RP$$wk_?Tox3d8b1E zZT2~H;9Bv1(#C`vZ;xEJGvS8ceUOWXBm7{(?%DWv@f=m-L5e{%=nLg@V!SVulc&71 z>1F#u=P+70VKW2Fm_FMVI)MR^1oknaFSI2P?+Z194Z}&f409vq))fi=m&qyI)uapG zg|LKdxX}~30gw({_JmR`LS!Mr<2|8#>Sa&pHULAoB10%{mgwuiy(!JyLeLYMu##zS zPGfgwvimp$*MAzG$D1OIO9cc}bNe72-Qkn6gRzVP+KVX8q-ZqI@_v*u0DtboEPMw= z-W%OVDDHu6z+1HYt5e{Nsk!|%1G~dNjWu<DL(!d<%A3CX7=<_BGHPzOQ@RJ$Flug% zbTXb<jhfrX%@$RROl4!ZPq2iC5SCiS+@7TP6~$38@m_48uh;e|CQZQolX`89FqQ)J z+8SXK1?aVXn$b9|6z$&+m1xAa|KYRT{sR=)HtHB(42_2idSK17lzGmO9$Owq-=)X4 z8QajAKy)$ANd&vu(cwxIAOq-|oJ?}kHM|MtOK-%8nLM~KQ;wiNlwpP|<H^_yA2S`{ zLQVv8f*#LU5FD*c=y<{pJvA8m4301q?U1E75TF5*7~|K-aFWS$5}}I5GH3!9A1I-6 zmFR1n;|XqU$XOI7S$`?CrE?XIUDugRaH2icwE{Q88ilrW-0lmJN;){}9w8}}bUXoz z6e*|-XT!tEs<L5_CBZrE=&>gJ&E<ouF?`bHQ!O@;D1yb74<q@l1KsPy`6z(iB<ltD zgn0c*!<F&+mHPF25V1U`G^LV`?XWlm2v(J;<8>X+D))pnDs5SDHY!Ua{f!tC--t=v z2>&pd7&uHOpq9q4=9j}_%LzVVLmzUul8z0&5UHf&eqTs2Ds7*Gz_!8;=L}@DAou+) z<D5)<0c-N1gKBLdL@McM;|nR3bo7NqKB?HsPG+Nndje$`6R#Jv>&EK^?YgWN+uLZx zrNgh+v;q`*IxO}QaS^QNoVC1?4)ev%Icr&EywKQ*kclh?!eTcPY(=Z8mTtcp$Jl1H zL5OJ#oANVQ967823&I)2hAA?eIi4jBFq=7!N5)mKCXb%SRMJh&wx}_8HI+A*AZWFv zyBRD_WFiV{wA#{<X#{AsrNcicpw%{hG@C<s4#E<ks|>}^=^xRP{5t#ZZakxKz!9aW z1P-Rbq<@l4B&bD--ZpEx*(5Vzk&<Auz#IR*u}7BGEo*lpKJbj<Uc3*MnpjEhH@HXG zL)fN)w=vu?tey3L6pd;W|2P!`NLJ4%w~e0<6$9)pvx)qy&}g+Xdk~ooKqjcb$)vxn z=PVE8b@Jin#l7vPuNWOjKl)JV>-xgQ9TYS{*=Tn$YefB<&nxyjdeB;j@p0={uAAHA znAT7p3&o}N^1b3{w_~t`q_-r~tDi{)tOfaAw+e4U<~Xl4G^wr(sECD{(`;Wu?C^Xq zyQYHJm``2$VY80vk=+&L^@j@DIo><9mBX%sa@e<H%3;?*Iqch14hx;Hbt#A4h%DZd za#+UQE#<KJcsh2eoHnQ&_TCjy?@!RzdJ`h<bLMP@KG@dYU!e|mog4LbL&xiCsfDFG z?q}7)ehlTW*HSI4A2o`kj0Ux^e?`dV8fszRK{5YDwXi2=cJ1Wtg7h^aUxsqdsi7M7 zyFc{#yVC!37ytg|>y4!*RQnz8KS_V=A--P*)xyR;=EdXk3vB%Jsri!meF|W0S5s^P zI6FcQ{`?vI_!#`mX_GURQR4e=^<|O$kHIm}9Rim{UKIzXMlXt7oODUzr3vNX%e>36 z7WYZ10}$y4>wslD{o8+1{QpY-Yw+%6Z)^jxi*V_Wnav2`o!1&0#s`0NSI10AOXy)m zU^Dpey_5MxU{8j%Y2b8rC9?N|F5g&Z0YvL&2<PN>L0oHW*fe+q%N@`f4}*xya#@}& zcLCfs4V+GDs^diIkg;KBKn~`&IRkId@MC_-ur|MyaN9I+I+@6i@vO07=ffkI-<Cjr zJZEfvYvHzOn8%68k9y&(v0)YP2<EpP`Efg0KO~9ew;67x;ad>R&rrFSZ6=WEq`gP9 z@m4gd`&%KqFYx460vNLw@z_6Njm?^mht|!oZjI~K|N0cdg4^+2pk0t8wjH&<70yN= z$Ld*Q%OV5K<@~LA{983&TiMQN0#hcoBW6b8I6XQh)vSBKyT&&C7~cx{T{mvR=6mMa zSjEB)!})aT8`eH<{uTOPv3mo@Gxtc`9FG3irijjc!fQ$m{jdHbNX^fNyp+T6^!_pQ zzV>H6Xuy%;c#*Fq^e+_WiHpSx#B%X!ah=HT`AmPSc$fH;_=@<h$Od5i1|q-x^IKO7 z(ah1Vk81~IkovP2{{+bcC6AOmR`RKm3ncT!nduiuK2NNz2ljgTn{SASzg6it_Jiqn zD*TU<cS+tY`LB}qOOD`#W4fkdhG_I-!k>oQ%cGa%^^W9uXxc$EZ&vVU4=w%KT}<{B zbHzd8Q1N7OoH#}NsaPl$i@bO--%{}+(d=*doBa*0k^e?<v-orI0r3&>HzGTYng34l zb@5GckN8*dYw>_+z9}PpBHI^C6&s1{e5XIY6&kYRnR2#h=JZ20{qf)+`41IGi{@K1 z!p$6ikiFo{hohFsGsRNT>UF(9veoN)m1L{emE(<>zEZqhyjwKK8^X=E2#{R^jK5uc zR(w%p=Q+dQ7XKoCB<>Tx6#pjjM!<CD_ymofVz7z)xm**&j~0!-V#t}2dy2L7yK)UN zrpp({iB`YsPbJR~PZ#Hlwe`DRApeWSRbqum{qHRA29ci+DF0l1P<%vuQhZjd5?>YH z65kO&6h9U}7rz$26+^fWvE2G%n%F{YBOW7m7PG`&;!i}bq0anH7RQOFiqpj+ah|wD zJX<UiFA}d1uNF=34$9dm`8M$`@geb1@k#Mn@fGpE#J9zFMShjxc6=kcxX@8f5L3i- zvANh<q&^?U?<lfYkaCWAg2*-9=|4*3n(UM(i_^qHu~=Lro+YjjFBC5quM)2pZxrtp zw}`(Ke<eOCJ}bT?zAU~WzAe5l?iTlnUyA&W#qFvmHWgcnM~laZ-NkJ2c<}_0pTd~m zL~({#D4r==J+J3TK3}{<yj<khH0F1+c%NwXygnk?>Un)e@(be2qSgD#4|2@!Q}G+| zTQLz2L<~<B8;Q+C;5+rJ?$v#T&%ZVGtM<<79gUms8xxbAlbzGoMF0Nvq{AIh7*2s+ z2Awcg&tFgank|uv$R?+}-s*;HlCMdxSXjg{7Uy0ts9j~m!^oG>yOUa^Tr)T?WqrdN z>R)$ETdW^l?-FNt%N^Cd%63#YsYqI#x-M}|WMe|*tJRURQ?PC{){gG_R&|HX?-xz2 z4*%rh10i?x?y7Tlz$Z6v#O{+)R(*5J{*?#nwTFI?yce1e*geR*@SBUj9Ey~as>Ai` z-w<AR@d4+a9Uq16VNGtl;4Qe)`wYq3n75-k7bT>x>QYpWT(_qU*nN_F!8doA++I!_ zXmTq5JgaDEb$V`b(W}+rGPlCrP=3Hg+$Ror_aHvXx@&)N(FLY_lpR|6P5I{wi)IzL ztM5dLNEzefTQ6d7Ph%aF=JUIX+%*>*@Y;{w{qi~ay9YUC-<0pisG%)SRYxjNL-)1n zl(Kh=Rvw77fAK&)_hqJaStIxQ3%|K(e>wa{<e3^fZ!~|WD7|;tHy7;XmhCIrRq!~r zH$4ZdTlaZ%pPRx`uh{ukb+0Yq%D1q^NgI>))K46q_xj-Qx|AE(CYP3VEPB1#iC%(M zcsA{)?YJWK&_G-KmucCdradnC>aFVZ&2LmYB^?V`-m?c1P1}Y$I^CyMIh~xnAC}EQ zZef#K%{DzKpDll^4m)#9KHHH`q@#NRYnxLQuAESvxP5YUVssVi^kmwgKy9k9pV-c; zK7V-1En~wukE3lB+gWwMY5#JXdxF33?%H3bc6tNH1^4Z$eGg5!32EFDwjXfs`Rz`Y zHarhoRF+y9*^^X%M|Jv^_gaQGWfeVD?NmJ5+&K{X%sr5lfi0c88T<W`mJJ7=v?s~= zJT190;rc<l2ZV5(bxm5IxFPwv)V5yRQ`#l0OInk7-HI<Z-50rjc+p$c1Iik1n!bB| zlDp@U#6DHMic$(niiQ<DP;^DXvUZ;ph4Wr0z{nwoD=K3&O{mQSleeZ9wI~=<G`XOn zXnjEy)@FX4YcuCIt7=-**7#prbR+y<FKAlamg_P*<BJCsTw8o`!E0QbITs^%yr;1? zbAR{wmS43*`4ug3)?sbte!Yqs=VfuN>JZmpzHn<7uFc%T{RyP5T$?#LZ=lv@9$C<^ zZRjUo?rfyBnYo|CmAya6&3pfSb8R)}eAwlCjr$M$@jDV<f`SHvNZx?#g%jAt8VV<w zr)D?NJp8$lP6)$fy_HB6UWGp|=QQJ!#P7Oc&gk<-o9Fm&!u^cH@g?4vm5dWkI)QOu z9dGGc`a}{65sZMwgc~t0Mv?G^3=4<NYeyvfD}*Ivz$;wj76J+1(tW0T8ITy}vwc!} zxOG|vqD5ZEU$|}Bcz9yoUL?Yp?b3d4#Bk&(ibqL|L>5zwO2lxLcbWL;G`<bgW0zOx z^pLw0g2zfGFDS{T4?W=SY2EeBOTs+k@6_X4b!euNZHy(G8A#^4{baNKWGU{oi0bCy z4;^WESfO#J;Lg$jgJXu$!B?-;R~Ty;w`MZM`HVH3Vg<#;49#c#o`Fp(!k;^m&GQ$E zqhli9F4EFsB0t%s?Td+g)=i%=YZlZzzW~n+)Fv&5p(iuv&e(ft%VS~zM2w!vcHGgd z#-Dw$r^F1@JH6x#Nk22vS(19--k8R{<&I&#PgCUHa>p{G_b5I@aU8|b=r3%@m+-S7 zoI~%$T;iY+-@ETcSfc@OyouzEZG<M1;ZwgJqB;$^_sZc|#e_?^ra_|%;nf+Tjh4c3 zHXMy@geF(R=S@E$OXMN*921tG!GtWaBf1$)i7&#@*hVlVz6~Fh_%a-<yL<Vqw#0o* z$P#n#?%mi%FeT1_kGAm(II5X&-CMTARR}dDX5$ULv5jC#9L#rie;awoMqu6b{I+ej z@f3ub5;roTjbKWA)+B7oZR`X~<K8xc3Av4*F(F&^>;~w%Y=J;i+A2sYEf;}|;NEeO zE$v=}n$nu0qqDJ%U`iXnu1|j-&PF13h`8Ih{D3L#41}7}b~2%jU`pI)5;o;NTn3wk zT-*mgvn7Uc7@HD@!_jOQ0!?YfJh~mTZS3MMfZ)DxERH`@+Hxi`RZmAE?s!vDZ%CL5 z!IF3(*uq3FnpPZ-5L3$aOz=7r@F;o8B)}zTI&yBo%g^@@*y`ZauYY2FFM<n=#%R?a z-WZp(e}2GLrt2?2er(F{#?ksNGD+6j;t9;Lz7`8NLdqf6BX3Z1J@V9=>yZan9LE`1 zd&Tj#Zg%5_ZX<Vc{VApbm`t19m@Abxt`Gg}>=bFzOFm5*cbq#h(Z+379|D>?=#N%B zj6q+#K4Q?<hiLA&;lYfNCPY8uoJ9ChS3k4@?wDx?7sr19C9oLk@aPIUHX8q_gwj1) zBV7j*Zk8`M@7>Po0c04}!D7z@lVQ}x07Q(Lc5q=33!&g?HjIVx=tD5E88*zyB+~U9 ztm{nSg<t?05>B2oyraTN@W94q5U={ae*-uW2oYXaZ4dz&#7sV1At$Snm(Xpnh{<xy z)yA2Uh4ei4I9dx}A!wY9D{7T?ctvpIR+%Cu68FM}oTbZ2mW(OU%O3*Yg~gyHVz2RD zT0*iT7T!b$Si}yKV|;I-!1s=j6}~%#ywi6te-wNl7K4C@WV8#XVY6X&ncY-sXnG1z z7t-H9OL=!QhV{M=79+9<TWwy{c?m`{5xnAJ%SuRINa0NkFy5tM(p>HQ9a!dj<MqPs z%rUIqx3H)wVfwi0s3~iQnxI5u*uI-#lbuZw;~|-?m*`Ag6FzlKm~J*3_TuIhbo7O_ zfpizxKsx4|z%gsWW7mY~=AvXKB^^8lY)ZO$teHSM2B2|mAl<`YO&}d31A$xMel8%> z%{7cnWbT?bVQo%y?}9afbg%_%pxxvqa0E^<{{UY-&7PQ8Vtqwr80i|p;w-hvHp9XF ziJir*VLfr;4vQkzR6pi5zy?^19Gjeokz;go*da!t5nO8<nY)#A_yZ0XyJo=QBPL{V zs4{-2tsZ8pkMe94xC41MpjP&zz~C>|hKDYkOvA$xPJ46)wxFVBa_(wGjPG#_jN~3K zfW=tINF^OSKg_^LI{e*Ry1bH(J7HBicPO0PEo?Nz4_EH`&Z=-r7Qy2DijWt<qS+o= zk=Q;$x8Kl{3ID1#(Oz`S_)=bdvQQ|5NF^Q1eIcci4mJo5dxE{pxG1nP{>d;IDUD$b z*23ZxA~wUS0x5L+jWa3UIA;YL=PbW*u0rk@*qBmDhu=6D<w(c9rrb%a0viX%<DDyP z^CVG7Z0|RbU#m$d(U>Oq&xFN&iC`!WvRI{g1IjhQV+kI*s&qJts2yRkJwz8+R4rUd zN2V`CD(M*L3n`U!<ijEbkKB8GC$cn#JJQc@Y&<`VUykRu(9iEgM8tr|luA1M{Km%f z8_R6{<9p%qN}D+w|9sdc&JySAtgkySGXL#Hf8Dv`*L^A(!V76Dh5Cm@Vj^SOI1J}G z&>A-g6Hr$qdy=%q)%uW;c#Lslk8)PuyCShyn?pvlC+GJ@+=bkD`h91y_Wb<L4M5e5 zVSAOs;#xqky8dmSb>czdOC~P<0{3dx#XrVkcXfMywbJ?KH|*Ipc7aV+GtR;qacpVK zkGlh>oZTz6Z`-zwaj=>;woPee+hV-M+lFBtwg~21$8Gg+vh_DUb`N|6IsF#4iL<PZ zBab2P!Zuat5~s#N#~@x{n&IAU0&0ab?3x<kel&Z~GVEqQyueRiGaT6)!%F&>J%0T( z#$NvL_!ac>H^o1FYVJXX@G{vHCy^<uW^gbQZk8UXSVKOU*qXv!V58Q0i21Ilylf^T zE8EI74;&MM&{!*nYqT<-;QUrD^#hjF3}+bcM$K@W@msO9MtX+v=7exRU`fqzyFIKV zTPV<^6bB$_EiZpl{EevDj0|A{w6b59n!&ax<{NlEWexd!YHJF2sf`*z7#{}pO!!?< z?c;5%9V0c`VGMFnJNf}jYK8~eQQ^yKq_?$0JNf}jYKGeyvl_J;Eel?={f!{*hs7;$ zW9;3?hNId1q8Hb=vM>#6*W3mpX^pBekeMQaU#Hp;Y~5JU7+4(ML<KA#IY=HNa4)k_ zxe2GkV&4<r6}3R&O)+D{)XTq?*wdUzZ-+&&f7_`U%pe_~e((|>Ho2`3OZl!wWG&eU zfrFLp!tCvNSMz9O2os>y{Ss>i+j8x3fySxvdSt`PlntIytk-sUy$;(1y=@2I&l&PQ zY*U3Ut9eyrP%0iBah&-9wZiSq;!xqiN>m}Qns2zwS+AcH;G78?Xs}liKk#zO`%vKh zmrsuj<q2l?bIk^`6^jMeyv*5pF&Azlp0kLK$Pi6i<F(R8WjJ5x5S6Iwz0O8$0|#<~ z37dcZ@m^;QuOZ!-2W%FsHHY$FhB)WIa_^S~;vdSNEzQ>BxC^$?X|QbEt6;BzwdQc+ zvHy&l-vw#8-Sc2eU^m0w3Tw^b#$)_odvrtFgq&~TdzdpfFzDX@4WB=2-jdmc&a8gZ zN)|1cyJ*Il(K*HQ3KvH^E$f@pdD`6hOQNL<7R*~5#bEDf;h8fFXU{I2J*`(}pJ>ml z?4ApIO`BOfe;TryS3I-F+*z}FAX@kN3+5MgFPt&6xCi289;cH0@@j?`t8|A$$%~5@ zO`kWTw6u6u;q-;aW&4Z5&04UiaQdt>dre<leCF)TA|4g7Ngdc|raN;+X^|g-enZok z-V2VK%SjyPL|c1}qu!a(V>)*7ib}oik<4}(-Lkv|nAwr(Esc5&Gra}*-t0`Tdo&#K z4tSZEGI8nhOt|-XrEsrao|%>7EsGX-LneDYqTbl3mlO4pGqVumeQyq8Y&5ao^d`f7 z$MVdK-fc0z!Q`Hce?)uTWO}!W_L52R3q)(@B}dzOXJ-Q5S@_TN&SJiZ|GbI+u!;Yy z7e%%YFK6W*Gijefg}RyOlY@yKF^QgAo{NZEOwD(l%S4;Q%;1&fnJ2dMuEeyGpX7Rd zGreJ%-qX$_BQp@;R&Ns`yk$ze!6f`(dFF_tyt7NE`oV04I)W!3i^^xQ8E!SHY!o&F zayYts=d9`8*{s5?rfN2v*)be?<d}n({z!J$m%NoLR(juJ-pa}qxn704vhy*WkIU$R zsVT>JuV8M<oo;?sj~+eXj(R_HqlS07{+tx=_n3Hc52mE}!SME8H_<RBg^q{Z+@f|P zyJdCD=$29D{Q+lRGW6ErLtaj=>6ks@D}$RmYssQT&h+VHPZ~T}3kKo<M0iYpoS9B> z$T=o+<`*ujQ}6y9lX1!5#VG2mK?@4!%vtP|&L|m#?}$?7_Rs0e3r+zkIYSx7D#_22 z@%bP%yyu!4E-GBSWL~MWFy}aI8#Z_R#DNE|_xGbIuZvfuj;SeeeRZ5~Vzl<xaSiw< zCZm`MC{QDaJvz5=@j(}q*c2D+SO=G)yyAJKg^P;k&&^vhe^zPng87Si>8j%z^dB>) zh4+hrb<Jrh!foRR&1tE1!9Fs#g|}s6aH}`wSo}v|?W0RC-#hki<8^}%-S_}x4C4jw z@AfH(ePT8ZBep;Q$A(#B!}8!^KG`auQ)fJtBQCqx7@hgCUhJB)Y2b9uL!w~;59{P1 zV%?1Qbh6|8HJvWs*s$3UgXLCr$1@JUM8cot*>d@X+oplj*@ihVQ95L7*kZ`R{7Nuh zg2ggFR3Vn%<#5|Ha5}Fdzx05IbzXw7V18xDuL6P0F9p`tZzJ3`4V=z<cp&B2P-|@1 zdUyo$OYiS*C-X~%wfWr%H`8Rn(lm)WPS?P5AH!%L5N*8v8FkNXc@*(bof5zp&e5rB zZc7zHZCx4hW5nxgQuu$Y9}W9cg4@vo+mQ}GZbxHSyB!VT4m5+)p}ph01wU(SS!7`I zoTqRiWWlpJ{2ReCKjzOegZX{Y$sbD(Z)0qJR<;cK{}^*ySg*LTt>XLqE9S1WLQ!lF z->}8w=5Xe&v_*8b39lKkxhu6U&v>4W&ta(*#?pB0`625I_G3B>bT~;ICXN;-h%>}F zBF}B6V;=>1vB-0o@^#`S@iy^Zk>?8Iu|JV~Ongy%U;I)Gqk$ODjI09NOYR{~5$A}! zE-?Nk@ir0{PmbRre@5Z}Hgi$Hrxfoc`EzU%)4wH|?{<_wmTcw%Kt3RuCk(@rN#vI% zxuxWGk~>N6Bj(EAjP*yl5t1h=e73k)G-LP??`p|wNtC-u@-32oCi#BJk4k=;#P&Zg zzAk?=Mj!dUFMoa!VmvcOAO7D;4&fN3e?t=ft;D0`-(EEDv<UAb*^b5MR~V)nFHTnY zG_g?rC6cLZg5_N(|5f5@`ClvYD<<=`W9@$?e>2t|{!c6X1^K@unz8l>XV*T<d0+gJ zg#Wjqhsz4XlSDJd9^rOu{ZaJCxF%}bV7fkHuKb5crsfCcGeMjsf6iNDx`pyzBAze* z%OqbZZj}FR;@$GUPc&oak>3-NpCM7d*GTxAG4deCxY7S(`F~BKeh1`_Q8*@kW3dH^ z_-1T8(s!c_n<f9BknpFjSaP`h$4SQNY~mw(L*BQUp4U&Zg=qSaAa|FXE%N@yc)8*r zai}<1oF>i^=ZYmFJGGh5xgz_2DOZSV#T&#*@ec7G@qY0^@fq<2ai{p2_!sdbaj*D= zXnKKAj}WgbU_H^y>4VI!diu8zj}p!G5dK{xvu};@dW*-41H{21M^7@|DdKc-mdKu5 zhR+w5h-Zss;zi<>;%afdxKX@CyhG%0P3HfI_=Nb3xI^42{y{X?Wu*IyWIIP|ujDVp zzlrAhjQB~qj@1_%i_JxIoklz}w-98XAM0oOJHZ^u)M`fmfufzOHA?bWaf)c?Y86T@ z78i<3M2=TwzL$x1ZkD;u!+)dvH;Z?OcCOX~l7A`wMtnkiL3~MkP5gss=W6{$vYo57 zSMt~50ny|25%o$E?Od(Kk~2hpsAs$!v7eYHn)?F6&3yqpMgFtIxnk}6LYe$860a2P z{ozK*H;H$P_lf-e&wBkvv~#gulx*i>{ZaA<;vVq}v04o4`WX=$icLk1IA(d>#a?1x zaez2jtUU*-K>oAEGsFerQt@1IrFe;Wjkr#<bFXfaT<3iR^|f=bo>IK$#47Ps(cDiE z{{zXNiX7w0?cOi`T@1sI{#^ZrOcTxh2JQ^W9mU#nu=>hBR~#am`wraZz5`B@KL@w6 zoLS;Lu|!-do-3{t?cA)ZC9e@Th<2_PhlMl$t>VMtuf^xZ9pbCv>*AlpKZ_rWd&T|Y z-^JQ<wi@C^faNz8+lWz-1JxORoY+r1QOp-l7CEYw@u!G0#W~^vak02uEE6viuN2pc z>&2VI+r<0D2StvgXZg>IRpP56ht@OvL-DWT=i)y^FUgOWET)Rh#nxg6v6GlB_7-!+ z0V2opv;6VmRPi)%u6Tx6DlQW*5HA*2i522?;te9_2e7;?;tS$S;-}(gVq<jNFn)8f zub3;&5DUf2#jC`hiw}sLmcaaWi64l2#4pADA}1{{ewx@!Y$bBq0>itDqr|ZyXEQLI zeOu&3V!2o$t`#?mo5g#@E#jl%cJVp!w<70Cu$*1u2jU*_bMb4@Lq`_lCy9;4<|1cT zFuaR6SR5wK66cB+ikFJFh<Avbq`~}tCvu7g<#$C+%AowEn1*L{%8f<N)u7x@JV_iP zjuOX;oXNrXh2lK1L|i5=7df|s@hil;#rwqP#U0{D;wNG~ybv&b1CdiiDEAgQL4@)! zkyAn_pDHd9&lWjTgyEaTJH&g$2gF~BoJYd=FN!aVzZc&Y-xWEtgz>);8{%D@a#Jx| z>@AKJCyJbq!uaQjoRUJhLcC7ALFA+qhHnvH6n`gvEbbLKcZKm=icztH*iFn5IkScF z2a6-b(c&rMsUqjPF#ZDZa`7thF7am~r^GP+YvP;YE|C*t82+WmDKV5IVusjJ<V+cc zj}j+}Q$)_4VfdLMXUkA76E}#P#D~RSi+>Q`5?#EgGJS%WBBqPY#nxhm*iq!P9Hu{B z93T!BIXQ>nr-+Nhvqa9>Vfc;Wt>T^H7IB-%Sv`#ZocKHO74eVapG4d)zjNIKT-Hr~ zINS|uzpqE--$Be0dx^E*--pS6q&P;LAQp(T#UgQ@SR$5+%f#hkxwuNK5Z8+9#f{=- z@m6t*xJ`UWd{o>nJ|#XU{#JZld{f*dz9)Vtek|@4zYy6m%>8HjKS8s`B-n)EI3`;t zUXSeFJ^RL|*njW36WP6cY2k_QpJQL0fkJS|_cDmjv0uBT@tTy?jc;_z>sK_mrqSv_ zMd+^Cd-VnR?XHW2_Il3f-OonSD)REuHl$v+wT-tglzfVFYKtA1i@c*cx;c4G!|Uo@ zTmQyL<(-(HoVBG-btv~I`$K2ERoxBYSz9j3d%ZekOm20kYoi00UwnV-`)}X#PS4Qi zX^Dvkuy)0sUD@vEY0k0Lp_JbHLtS@Nx7nU}ZR(AfDgF6|yyNpm@BZ_-tWCno#Ek`c zkv{k4^{I~JhRTuGmyM9qUvgUCpJ?(5edg_Xw|BzlX`yTHKejqDZA*2iY(#k)=E1i( z;C*)co_Aw0>s5PuK4cBN4fp4lZQJi;ZQCEJcyf2WL22JyT;1sYiFwcM4h?)g?}-CX zPTo=--h6raj_Pn(s{^3}Y2RGF|Moq5avG`3detF6r{tp$a#MA<;>GeOShoYL+n!j7 zrfxl*_RboV8hPs@r~Ogilvm$WomjT5I=p3Db>ilMyAwjFYjxT;SA3cF&1L&h(t+o9 zM}|kTBH58%Pw%cjWPRTA2cGUUdaskb19SOzR7W;*9(Bab$<N;%N?!5BqMs*SpI(`G zL-P6;TE5jX>6*lA)2??qJ9{rHd#k!lnOFW$wKE`bLld-IT3(0y@89pF2iq_Fh1=iT z^B&tJA>n|#uhkwm85$AxymM~SUN<SBEY#lVc3Rb6w<cXbsC;yJ;)dqP=l=bn=<zl7 zg1gV#^G=^6lSk;YR(nFpBlf&|Zn(XhJEW|C)sBzC_as4UK#T2<PyJ?U?tX7eqXVw? zV}sa!yuMAso_AS~&=cbZO+63s+_I(zy#1jA^}o4&&qq0F-(0x=t&hU(x!=3rpLihQ z%SL;WL-qFjg?k@$k5muZvwLx)J(1)=dp<n(iZ-F#A>&x`Q#%tY8*X^GMdIqR7Kt}R zDj(SIj7cm@IFR^Nt38P+Blf(1?&#fb=H%@jF|ffVl<p+oP;W!W>QMcM+uYdZly;HT z$=5bmR}XXXFT1b)^&@bs4=Zb2iMjZR-kzZeIaNb;d*RJV3Hu_hw=WV}vh&)3eIL7G z;KEnNR!3466b;0`8!c_OW#IIJ@NOrJl_L-@J=DCa+t!93HFOvriYD)B_)&!uf<>xx z$5w|&!vEY*7G~0q#BtDb%fL@}e&yWI@@JTdKWuX=%)~#Ts!iL@ZLdICw+-y|80J-V z7zFhoZkM%ihp}cx`d%-siQJ=ikK^~DZUt3N=hLdX6_q)c4{TGAF!=F3p|Cf&Mb(UU zoywE*+GC4dtZ=b%Yv--Kt3zpSKl;?GDk^W8cQjmgmWO*!E<>yK`*LR!&FJq|-lnX$ zd`j8f<r~UM+I>_W&ig|d^$@Tn*dk81TdF2+jg+UC4J#j0R$g9F_FQ=+yQ(bG)6HF1 zl~&%|_+MRq9sH}x(k^R$L1<sZ$-iu1+0~a_TK2ok(zB_FAQF1E?8BYsf6zDYn)jRJ z-SNSAz7v{jS=e2O>#NI;Fni#U4k2iuJAnPCaoqvE5sJkv`0*Fh`_F(Bo{R{g-ls4i zl)&D}Fo#Ema*B;l(t5@zpwDR2)f`S}hQ#3p%wf!xj1x{OV;p|O3@tU?%>GKi;W6}C z)|vm|q#|bFMqVHyVRQEgho>_v5<Uu<C2;J$H_IIfBoxwJ<d)Dq4k^4d-L-HhhF`^h zQo5I(#_r$9?-<l5?KF7eB#j&edX3YnjTnw_gr?U-VkE+(UQ>zCF2Ig&uUQ)VtLwRc zMqGC&{xIDu%zua@(8|e}?>~%=?(nJ4Z(i<j=0A$!?-(ne;)N8qGmjA~;d~f2g&no- z_+4=Rnc_A0^TpE;FQsElWXEaBNTxNB`TZzmAVVjx##f*=DZ^vpHi};}@<bLy#X${> za)3#^|MHtg%Gr#33PazZ$nWF6=wUM&807$yS?BJ)2;~4qoMA*L2QbpJMuKtxBe8EF zg^le_G5JztW4lwC(SC|ihMr3CoCu0p0Nu#$G;Y8r6p_(ScR}D6_XcM{J;8JawKEbb zV5EFVsmtKaC!Yki0=v}R^I75&gr(dAhcB*y=%ikRzjD~_vA7p7?rnH+Ofzwf=%hXc z&*xyL#Nu7YcuyjppQjOj57A#igjKsZ7Iy>VwyJOA8nHV>C-qbOeFnQV7WX#BofL~} z#9|Zo8wBu3qY43kyY6J%t737Dc$10CFDI$699`qbeTZ@SqUqPyh<~Hlk}YIJzE1kz z@JGjzJ<DWk5#T2?B3~c<WJcU>lC?lQewXv(y%I}ivNz%%5HAgHG>&V;v~Dq{liC-R z$c5dC9Ma4_dy_f%`@lGzRGzLJ*~71Qew;tW;~1xt%8SfwSoW;?+r67{K4#JPuskC= zsU?V03VRF=Z$Gb37|*Y~asI<>6)!)hAWlP5r}{1<4aZw$Ba@jgH!_>(yP+EcF|OiC zV^aK`38o=|pR*AcP&8?bcsWETy#jw#EXZWsJXTOc6VaEMPb1TSzTC*Ppzn6l??et% zX60A)Sd+tpNa5$`%g>v#st~gSPEJ2&XA_e<#*gXC87!wU&qY60Zxf3rq94nbM==&I zsbi5_6YigXt+s^zDMnvH{bN(8nqWZUbpum^N)w=lftm~I*HnOzA}tCEZ2&RSul`RY zruu3Qm`y-a0(KB}8_>65TnPg+Zxk2Vzho33G&u~72fRZ-<H26GU(9rX3$r!|KOC9^ zVu5g&eeaAVX2Mc00eLH|>zqn38NK0*nRaktiZj6hU<e*e^5YZcF%U{MIF<wsj+??9 zCt{d!P9zj_8l1+kcwTFf5y2`Xqxi(Np#dERbgZQOgde;M_!zb^CYdaA7`oW}x9i`r zO(idZIXHZf%_<-iGERPW<TP&h@}Bh&ES!jH%sl*;!ZO1}wKHS@?*p9D;s-80->;J? z&)y<hurd+VwnhwY4e#zK@FCzguyq#10B#`*DslWG0vq-mg1FVl=51^kKg_~Od;`lI zOKVr10c?Qg2;^+cgYt|Zu<s$81%;U%Mx78vaI+<n9KTAH6t=+DbL_@eQsjo#a~7(F zD)|}zDcD#t3cFyDY?)u3N{XMt#^O-mzC%7C#i4ks?-e^BAXfQawzQ8Sa^ag;P89fs zxn8V6EA8$NR1(D*Q;z@pU~%5q#%>-<c$S0RZR?z24zsTimlJ|`1e#!5-Hb{GwL)!> zUYk%W0s}k3;%wr!-R#HJw)t@-WK=Sc8;{JirL`h3@a{SyD0W6A19{M3Iuv&~ADLSQ zjtG;TJ>)Vf8GIrvX8E$U9yBM*7;KR-zsLWFu&6oF%w#)}8^N&&feBY|8&$YC^%LRb zNDHJfdEjptE*2b&#Ipj}4vQ(mMwtTLAyeo$sw(PaoD=eZGUJ@Y)0dZzKz1{c<*l$m zg%^}Nuo6;u!8&d+^0+nO@oU0-_-}{pwBa4#o*9tmz`Z3P)6MVqM&$$@)qz0cM#Y<% zDgXXqi+1G{xi6DDXT**>TNvtQOcVS!gROaij9*pxCS(k^#=neA<gR4paQz)=kLQ5$ zk0Qk6xd?p__LRg-Hzwi<f}=a0CN@)iRn0VSnB-##KL26Tf2fiUHlbPDj1C@l5E3it z;Hjumc(JX!6xM8!$$AXID`N;(O?$mZ!`{0hzMa#!xzG90iO*m?oKWWE^J`KPuB3x! z2tpFgsq%YMlQG<09_%L5_!dt?CdRNX6|iVHg2kdPDYkedyK@CAz|Drn3&P)EXuP1~ zc37ytNUWs8Ke;9lJc>+W9ud4HN(n?Lb_keI<ZKN0e@|Gn9ihA8WD|xz9vb~%ad;5J zU~!s23sCzo&!8e$Yyi(7|BRW)(<C1jzQoC}P@NH~q+^^fge&Qo;|qyqrK%DL%m?sK ztcfVd7;b@I%J_IG<L!BgmC??xj2AgRUL;hU#EV?)7dg`uxj3<s4!_9p@gm3DBHN%y z|B+&h_2LT%-@MTbyq3LToUuCEeZ#qycGtiiwtEI{9%9A>;)WP^o5^Y_!M%s5=i8`E z!y^EfsQB?S$%g*VKQ!><g$4@y2vqme1tYPNbw#wdVe_EENNm+(<2jn+$Ud{I-m)UG zXB+>*WfItJ{@$FCu`%WN*Zq@eYuz_^2J897kB-MMIDY8kkj>*&I6j08+zV_;hrD^) zw4cGDXGQC^3l7$@&Sy&->03B>OT*D<y_nTku;1}Kz>*I6JYe$)9O%}IM=VRLhojry zJ(Uz06BQtMoCiWF@>qtKeZ~srmFx%EM=OdwVR7dsl$Q++<aLe;w|NB~VXPM`!v}&S zXreGr?ZE&(03_)Vy3z(P6P?)Bix+hNdKx>|ZiW|6*6(}*;vJ}VgxC|1&74;cKl7M! zjXEwUfvsL%YpWT=i$xMtub54=R}QqceGavS@%A$e78elfwHHahf&F36>o%9b6Poq1 zWqrqUosDWARoc}3sM6n8&0}M?fdktBgL7Jj_Xuma&$(;4-?=xf3Hn9h-x0P8>^#^K z*izVKu;sAa<<`($0lOBKPm~<#x*67*+F{%w+=bTA{RHeYurCDs`7Xdl%7;A}b`@*| z?4z*TVXbKdH`{j->{Qqdu$y3Cf_)j*np9j%o58k#Z3i1P!S;CwX+owu{4i&u|L;yd z_a~;~-_C_UX!7X7?B1Es|LVl2o?{CGQ_oFXB$$@+UUXcqO|CaP>a~e_3!~oRsMjD9 zi}$tnrk8p-k!ZUNZ$Z>c%k&odv&1tw2Yp#IXHrIwtPxpRm=~SHRR=LQePFJ4B9<h? zJoVhx-q5J`TP*T7t3%d^6LY$@H}q1G(Ht)&*DH;BDVc-2w$7pd$m1C7&CT~(WqNZm z@t=iUdU#VaIrn=}rk85}AI0412QgC~@xDI)5v<KeW_oMgO(%EDIIi{R&fVJgn3Cl! z%}0$!V4C`Xb{WUCKCW}W_B}?xx75sCj}~Pd(|Ho&Wn(MgJg#%M-d(z9WOwa>C>4lu zl(!VyIA>JbBJY9oS9&|#D3}lCf>G~XcQU7Ydw)MK>a7Yr;(c*ms1s(@hjP95&YSFQ z3PtYq-bK)+P-a=iFiqorA4<P2Uy+X);%3XE-oEp4y$eIAW-jN6??e2nL-dWpmrV%Y zuE;slyE4R?;x>R~ULDG0CVxLKAN>ZdTXWL#^yw#!8+zRIVVosh*MxBsIx;>@oD-eR zRO@UX{%U$AC5|CXy7m_`{Bb6io62P$bg;%}I%oHGe!QvYrZleeS30A#aL|%P*wWYx z^mwDyG3nf&ZEh!dgU9tmL&O#^{L!YHPoF++$oSeTAjT(z$0wX0G|wCDw77IGC*{ZH zqWcr~4}U2{GyD87r<I$F$-mXKax*vfP-`Xf%3tTa@)F!1{`>RFv2%{BnP~5{_U3*p zJR-36Cfv(L5N*6}km*KWyD^Lxyi?nUntb@%G>o_x0jJnRfv`Nd`RUJ^Hl3gv37<`x z!2>YQORN_k{(|`p<+rkchjkX!iZ?z5^QltdDP!Z!h8!&Si|%-$LR^;1^0*!3QdpY? zPUodojuWLr#)d719L#SvdK%K<$Ncyi(&l$L+%^rI&Zo>T;9;GYAS{?)De~KbK<0;P z#`4<;w@m}5lZr=8K8;yp!`8zim|urnfBTppo5$AgPPmyS3zp`EcIdjOl^??&fDOj$ zdGz7UE58Nt4rgBZF2w803dpd2G{1!nZbvt4hi&J^2(#N!dtP~qjKiB({!qumn^*oJ zP8iPrvxaR^mkIhGnOEM(vGdA#&8T}`xw)AgVqQ5e-7vh2{Fw8~M=?Kqik&J>7w3r! zMRsa2-i2a?c&&J|c!#)6{FV5G_`Fypz9zmSej;M5ktwga$hSY1Z{|;eLnQOvpZ-%w zTr__w&LDBJEEf5u$apIyUn<$mi$uJ2k~b^d%uh%BpG)4R@ZU&&QnHy3iS#c^epBJ^ zNZuoPuVlWvvtC>th4rb2>kH);Vp|ga$4c%dIY;skkqYXVj^7)|64A_CMEVtyFCrmd zC3%hH8ztW>J}f>fnt6!G_YKNu*SEzFNaVLq@xGGGn<wj$NFsi+XyzRvep`i``G=6H zUYPNEie^4K{D&x>nSTg*g5;@+cbaJCA0m9A!p|Zhmr1@v@h%tFDBR3HL_Keod@l+4 ze#N7*ZPx2Cg+H%wGd~^qzb^T0#k2F&&HO`z+j;8WD7~3~i2Uo}oM-(SlaO1IxQ}PZ zznlECCI3Y70LknaXSy*Y(oK=Sov&Ubd6D9od50)(rTniVv0c}QH^~2X@qYQU)75Oh z{9h1%FaI}0Gp`W&e?l4c`b_b@RXFpY#fS&9-Oa=(iTGyzAhx52{QJqjzvL0(WD@br z`a&r048=3^10kOyd4=L%CfUpjM7s6zzeWCcN`64{Lz15ocaliQ!DifFe<4v{vsN4A zFC`yPyf7}vjF(0tUK7bjNj`=|eq6hn@p{YO%=3dhL^3XWroGU7hJ4Op`|<uxwh-}% zW9054@52n|^8$%S0>e?_SaGsAO`I+AnUU!hh<ui#e4%)$c$IjKxIx?`-X`89a?Bv} z`L+0z_?*bWh75m2{ImFh_^HT#amEYrdI=_qcK&@s$?O?qc&7M$^Xx|`-e~bOai(~# zxI)|@ZW13Aw~Nn;FN*JpABvu?pBzTXdZvo(Eu`F1G}nK~=6VnIlm98=siL{=Biww( z1<iFIJX_%xh!>03i0j1L#Jfb(%ZT)RerA217k7wnio3*5L~|cNd~^K=!@4fo`R2_f z+xg~QB=-?(=pjM+ljYBGqpU}<SRz{eBX&Ny)i<(5;oHQW;%g$8dtiRM#J`Jn&CYhZ zZkg*b;`Nt2NHo`9)=%=8;zDtmxLo8wSC)6RxK7+4+WFnLN#+MH#^>iu@-gvA@nz9o zhu@O?j%cpKNViXNwfHyDLm2Z-60sDIk+G<bq0t`#H%B2dUT^VuvGzP}yQbz8g%^s& z;u7&}@nX?jpW(hn@;dQm@pkbE@fp!vkKuk>@?XS{L^~h*3&|WEY_?ZS73~_Dtt7V- zIeeJ$v&Ft*t~f{>D%$zj<0Q`z3&nY2iMUieSG-6p7gvkdikrln#k<6xiQB}7M2>J~ z{a+Mc7Jo0kExs%65$*i!uOxpXx=DV138I~!-AJ;XpM8{MJ3qUd<m1FX;s9~5Xy<32 zBH7N*o+<fsalW`zJXc&PULsyCt`Rqio5efCd&F(xL*moo^Wq!g+u~ouk3^0VXM6u$ z45Pz@GS>ton}{vMqr~=N7co=pEgmlp6!XN<;&^eISRl?7&k#9mo%J|hyjZ+UtPt0V zH;OljcZ&ClzYrf5pAerBe<!{oz9GIXa=0$*gXtiK?8u-T7HiK-=U{q<XNX<IOfg67 zCvvzw<DV=}5~qqY#6pon@EPCEXD^d%=d*K6KEtmQ?R@oZl7A<@BJLBv6kDO=i|N~m zgT$d?sklt!Fn`9sOWY!E6FIz};m?X3-%t4s@pJKOkwg4V`69>oQ_dDSte^5!u~<A) z<WPQwUn6oXKjquR?c!77N1~lK&hh+=&kj2>O>8W-65EO0MLWOT&L<x!e><Oiy5u?H z>7t!qex78`6JWXP#XCeh&zv&_82*&V83B~v5;;tt@?Mc+@F{zEW+$U!2azN3=|4*3 z0C~y<B1hU&UMzB`J>{!JJD<E#GKb4E{1K7E<tgtF-xqg_95m1H#v%vJQ|>5ocsyl} z*d}qA|54`^chYluH!(|OA34jb{eCh){)5Fa;smkw`$~cQXNx6bsklsBE|!TGi522n zalN=vyi>ea+#+rh9}*uGw~J4SRpP7S>*AZ@F7Z9_L-Av=TKt>H4raDnSWFi=DuVtt zeiO#8Iq$f4c3tz1dq<;L#^3+X&dSQk$%#gL^~~<+NB{nL$Gj)N#O58hM2~#QFT!~V z>%%wRT#(n|=(0Z-bjov%zTf%B*Wdo5u%P7E&SU1i$RrE9jZt?#S2$N0kD7m&#>bHt zm{T_x0hqgYHYD#tdgTl^K1s6y&%6kZK9xS<glidx13p4y&NMzr<A_MYH2Ou7_CN~9 zRvLcVkCKeAgfI#!HIc%X8t-sllQPT~yu=gX#)Q2wKvMV@_>ZJ}t<(6<S?@vod2Q1e z1C#V{wTIX)?QtW9>+$gLj*=Lu$1f;eRAP#g$hSrB=(K0yo$S7hf44t;aF^g8jC%*f z`k16QfQ~49QdpjQ5{sivVFB*I$MJs#Me~Vf5XJSdxE3IEFvZYnr@`q=I%KAi!m0S! zVzg|gx#PlTIXye1xntd|G<QsB2?CPB+_-eN3~u*2{<#f_hAa8UlLuZ6-D6T4*Y{Fe zr%p_4=#F#K`K+iVM{!y4f=iBu<4chyq+wN3G-_}W(j@wEA<;-<w|;%hc}d`emU;~w zf7Q|9jr-z0mVC%{MLCZqFjFR_=Cm5FI-06^G{`3PvX$5xED(yj(B&&#CzQN;AiUH4 z+_}JMuasO|-Ww)3tdTKzyTzcJ^I-j*19!R~;{WsVi6P1a_Z`Of`u_euPfCo(C0fFA zSSiVvVdryqY;5!zJj_=u3_j$?C`FD0Baf>QnIRao7mP4I7NHFSSz(r94a*FgpxhL@ zJmfEo=^jXJfPL=52l+d0ogd%-mzTBp{*gt+^NUO4i(SN4wm_R4VtCQhjvMu`vc(cC zY2hu6c5HvD8MdN@4C-uzgB05b3O)3A6f>ry#&8aQ1Vs(6*rEn!7M{7d@P{sHQ0GDh zwMS{#N*`*4ga5gKCGpWM9Nsf?-hx?Yj4wV1OQsafSmeZqmBhx59R8@0_~?{_w{~&q zjQRdTD9*q4SdkWilbPr8NvY`<g)jCl30;NL_MaIavZ!!gW+@ih$UL~Q{FCV4f1pTf z|6*2Syhfn;bgjfZbHziKpfSBf3tkjyj)mnT(D%j5LL8>y-GPSrMd^^SS7$yen+FK_ zH^EJm`8S7U99qibVQn4YH1`_zGh1Vwqu~+EuMP4mKp^vDU2J~*#%t5S=}=$6hjhr; zFn;^BZU!Y`!yi^0!iMqDD_AadI&Fs^%cZ|9w+wEZ22L|)D@uoq4O<R5m|re#y}bJ} zKRigp@>>J9O#`Rt+YR2OZP?Wa3+6X6kRKnJZ2fM7+opjNN^NjU4tQASO$ZC-Hx2pO z{f14C<+l}Xrpbb(sfXuw>dCc+PFnL!2ikb=V`s7Lt+Da={E8}-Sbz4N)is{v4~P&b z##xJaJl3q?_R{d%dvH5uV>|FO?aXHv+b#{^w#Pi2&UWPYJ{>Z)EYg4e@B=FFP&ELa zyk<3mWq!<`Wd`%B!cG_nKWl7$R<;cK{}|&*_`DQ1wpDx|&zv#wP|xh)pd4&DZV!*l zxbggl@tB9_@W_Z8&uNG$H-3BgzHITh@r*{Ppv)GG8?IxJ0g-LO`vAw21dj!_a~*?O zW6wu*4>E~87U&5?W4Q2C%<IPw8Fz9V(_#N&2$x}hailm_JWZS>mWWHm^Tkyn&wb{* zQM^UGTjaUN@CU`6;x6&8;<qAaAuxVxF@wbUYQ~*_=KCUcc`p6IlN8T<M}#~^@>GQz zz1_&KSn_;@FBdN-k*^tFf_OCMeP6_>V`T0}`bWhMVpp+;c%sPji1CMuqr{2g6!8pk zfq0g9j<{01MC9uw^EK@an)U|okiR)Ta9yzVg|~^%vx@2dF7ha^)nC!)c)tU}{AVAR z)3Z<SURlTg3;Qb;eP4eCH?p>=*<ayzOY}CaU!&_{0@8&-N#_xD^;ekvaEShjw@sp? z+Wi%qjd$4W@rd7F(HCCcEVmC3>#s=n`zv0-fd-{Q=6JCE6^&#46}$yNsnFxhvVEjp z1;wWE{tDCC(M<gn>{|K${tA}op2Xr<!4wt{=&xV_?hom&$gaD;;(F$H9siE>R~+fD zsI>;&ze#_^KQSHZ$Lp^!g$8HQ#Cjski+J5Hg&2bRzul1$?>>lCnEeQ_X4S(Ve<Do0 zz#qH6;@~#6y%cEYcxT3u{)(B47yF$N-_c+3pD?lINPmTMq`v~sGFQXml_F-2^jG*z zaiqT@c1XbSf2P0UJ0`{W{S~<O|LFY{e4}E2zz{KCJViWBJkno*cEbDp|DFDdoUA_o zOn*go-@bnI?;l^Wo}I}5<oF6R4&5XRyEFLeJq-f-{SPweub7B%413stFpRHw43c*@ zz3ljkffT|CA0gb^#Ykgj@;{t(CE;s9#-Iv*Z;lVD$n~RCA*~ry@kbLk+`{A)Hr;QL z@B@$%pG1ha$Yl>wQkea87*x?QErg(YN8!)w6dP3WC}Va`Gl|=W>!mTYi^NDh_APi_ zC1Oy;XOz38F;TMH8F6cOQf!2u8B{?>6wy*xUSLoK3vdUrICjVQ`iTcoWUp5PX6z0& zmBFA2(+e`hTn1MAgDP%D>O%~w;Krr9&og^=T%wbLXt<Pr7vm3JM+Q}xcHkfT5RVM1 zh;~}mH>dNox$~DqOBXDdw>XOT#AxA}GYe<WE}T8BS7x7R&#dg83wuqQSv-FlhA7M{ zp4nsWtXUkF(0%@b`GwsJXUr__fq0q6^@t6bfTL!3@%&lymdq}6VlfV>uEpGA=N#Ab zuywW^qLZTLi}av=(*}(iKYYCZKYe^*>5>xp3?4sb`pDv$)5b4YvS?P}w4s9r)l>-* z8zvDSJb<mpE1p-Y`={=a8vd3X+>H^hUX6|ge@KT0gc!jn+=#_7y(Q-F;DHK<e8K;J z?7azmRmI)^f6hHO$t4Mp5FjBNmjsbDBy6$-j1Vpes9_IFHS8Bf44VQ{3AhxkwJeJ4 z7p+=at!1&+1r-$)6*X9EajjZ+ga=%sX#4+s=6p`>C16n>`}}|H^PE>E@A=O1ojEge zmV3_3_n;0@b03p0nm2LAl$am<$7jP^8)iAdl#w~pVIXC4&{W_@v;9#!_rkP^>KC1w zp?j^`M=k$W4=8w_5^H-SgC`zsx!}KOJ_Wn)-`?=XzuWT(|M!?ru?yE|Z}Yt8ARzX4 zcrx^rBQhP~yjJlS7;o9?&ZlVX`)>0ozDBz5GM{2{L(AHQa#$|w<CR+lzgJgytv@*K z?G63hytqa1$L>c<yrkoMI_gV;^Yqo7Pr<cwOy_N$zPisRy2R+iPo`RZx5Dq`p^kT; z@4L*WD22Z7GM|ELjbDl>fL=Ot!v+?@ar+B8YnJOZ|GjjNK|+-(y{LhBe;NA@-BVq6 zKE)MC*WSwnvi-Q#eLfNU+~%Lnr{K3E-*r`(|6aKyhDhyJg_Bna0(s8jYyg&vrZRnt z{ixk`p%ePnO2_s=Oz<&%^MCUxe&~D(_lDx1%%|YF|3`m5@on=dhNFY|+@f5ZBF+%! zh)cv};tG+!c$l9*^2po7+r`I4j&;VrEq)?87@rI`>lHv_zavibDnS17;{1ssv76F! zTr=FW>tWVqLmr`cvpyU0B+0YH#U%97x+lx!Mw!RP|FY*2oiSyic01WTitgPycXAV- z{JF%dJlVuNm&kyX+72HaZg|bs(r~?1&T?m2y)|(w;#XR&t;0Q+Yz)*}>$|}@>^QCM zb(Vis!gBusD>1OrUU5?>ZiBPYxBk!g?%8j`cYS0pjJw`yXC2O3QtyaA>8}TGSePBE zcO<3WPeLW3#89(?{z1<lw9bz|w0q(62ko)(hkiEbhJ)7Ft%n9A#vOVr@%e+kLCX(X zJ*yAeJ?kOu4F~P>w;n24=s)y0(mRPa9Q0+z9jY4ZKlHQnOAk2<twRqlyb}5QAIe`? zjkttE!OSa>Cv>RA!sQ2@wke&iL}*H3N>{u1j6=SKtjW%O3G4TTp3GQN>fdN}D61YE z`s5?K?Y58XLH<J%7xoR69!hBY@kjRgGjf9=e5EU{@1ffkK6%h;yCJl5$4|HI_{bi6 z#H4*}AyTm>V=Hp+fUp0dQx>+WZXRk7`r}7-&(GnULys<O5^@gxtmov=A3m}ZZ$a3f z4%&$;P{a5`6$|?vvKEF8B_ysqXlM4yDavV^<LkZ`@g;|rEc74oC-2`ESi7wB>eBrO zt;_+~qxkjqx~mUb3;%{zF3Wu>6u&C*h(FO@zWCGLzjrp)TR$W0TkAh?d7Rbeg6g*6 zyozwRqT=@OuPW>wABF>=w<`GMF#nMh2j4gIW$YNUEj8S%VrY0w#ftFSihbdfPA^rY zbhf)Ut!^65HQ}qnn-Km|MbqiI6GlxxcLKhNX0Mw5^NJUz2Rr$?+tBoE#rykw>r!lt zhI$<`{Oe!YHa53)I4#sB<U4Hp&aCk#t=iUh8@`p+(C$Sksd`{|PzYa4OIj8V6#05R zi6!m6BmR1y>`T<w%KmyVfL`(^6~4DFfbnM6Tkl_y8uG1l_iNR@n?g^&$AP;67g6^* z>bE=NJYoMGf#)-(&gS#;mJ!d$;y>Z(#15F*O1?NQ#&NbWs9PE1eF?lxz@xI)8S!UE zlub7w32lf#oSEhoNVo@5^ii2Phx!xDR5CkoJ+lS;lS~HxdywLI^2HM}>WKGm!GC-k z3?EiSRDjlJ@r0~DQ{&rU0H2WEWki4AW{Pbk1_HbY;0c)<i*JMRWQ`|e_W||nhoR2C z3jZvN#(%ik*TchagZ+%24hTv52U9Jhh9MNsW~!?xa>h*(XRq1U@EQ1XaLKrT!2>Q< zI1UlXYZ+_A2^5*c6(4};e&6dCOl+gD<UD$=qpC9~j;|FjfareT>z6F|QCGz0wT$?s z5%GO5BNgNJr+y_q%Vi|m{7Ghm*~_W-MT($hub`rATpE)3%+Ov*aSz1$7vLLSt5~H% zTsrDsfI^H^Mv33|x}I^BMnasCt}s$(Ni;K4|Kcu^XjCS7Gh5*XX535hK8mX;a@iF6 zmv(_0n0v4v{0uO5MOD1FqADSFMOEO~6;<`VbwyR;u`8;$z{*=fh3Y2%^A8n$hmQ<# zsNo|+d$8-#jW@4&;71rcRQMcFG}3*Hjb&6kBnQIrYZWAKZLr{qFxIUQOwz&?f(a?( z$gSO|1<S06?dS?9D<gNYTdd)Pa`6bS-P~Nm31dOaS}~ry#gtV>C>O`A*=FMZIyj%T z{Os+Zd2S3p`6^rk>$8$JpPkuep8s=yo80UO!w$!W&vnDO@Usw(dJt{4srY{}9Kr^Y ztBrp_C3&YCK7^!BpEb|#>4tyq*9hZGLgejFwl@9+2z9sX0M?hf$p>BkAo2y*KZImm zk)|(obeBE^nT@?O5iZ`^#QQI!Z?2o>9In#bE5FxWUOJ}b)&Q;>96Dz_JBR5pK4My& zsknJ1q3c*7^X9@@F>ntuylgTJCH&|g=qf1zjlmow@ybTd&FVgkF{4y^$Ad6tPjF`( zYe8j%g|NjC9#t>~fo5ZEzAYE4hFn{Kt#Huhk9>;?mWH|zEDxiV02xZOHwtDgiqONx z$JbNl$7nldH(YJy#LGrY8SxezzI0L<p~o$yjBu-29<3P<FSD^zTEd~h2zCa(t5u4x z3nl*JADI!#G%AE>f`>zFv{8Y7Uf1FXWe=aIwI(8*RdK9K7e(0p>`gQs!PiUpz6kLG z9KJ~6kI=K<6#@}@K5~WR2tA*|AqTsjw({VO?%Nnvn!S(OL<hl0lx?<0kku`4M6^JB zwIy2MT(`jYO@VWhBlNfhj))dGhUIeDq0bjZc)Dlfph&MA-h%M3!{Kxm$(@J)Ou%f{ z;J*&T!KC8PaAPft@d^uhVKI6Jcwx-TzV}&`0aAJTdGRrab?y0=x_@i(EFVO=r-pfk zkGtW0$ybekUVwD<&YKUf*?3sYgu_0a&3b8HN=UP=9pOQ=Tp=9OcpXGPl9(+U|IupL z%o)Y_*o?7TtZeLM{U=$=cONgHg}%db)@y9rNiEKIW&}@h8U_KUaRDvY=j_R+JS$k3 z<uodGh88<*3!G8KPOv!7NeLDeb%DKm*p=^6=FBu^^pgu1G{{+4(9UU8;DigDCh#pR zpa2W{42H>l7@|)Oc5-fAT;RN51)Vz<4{~0HUB|@YK7G#0Ep!$X_v`4)FD@)Rt9_f+ z9h?OPd3m{oXXO?(?+675ENqjX=PU@e?toMUPRgKjoFgz`f2|!Xf~UY)X$N!QDRws6 zgMLy7<MdglIJ+R;Zx4dh5&odF%Z3B*w}T98*U@<dIUllNo?pSvV<uTamhn7om-opX z(%#s;pPV=FRA)a-=U;0ty#O`x`SE(zd(Sfy3nPnUUjEouJrieKK-1>Ozdt&`%b6d~ zTzvG!Q>~Tw+G=rH>bJbSGRE^yunI`?O!;6c)&l)^dyD1{$lA9*KiXTT=suiaqV2Rv z^JdS+X8;KAMHrLSzN(taF6(sMzH%3je=&E=d##u^XvXgRzvs+b{=kWSuf<EXxAFXV zLYwkDL4Th$!zY}7%O?J}f3;=(|MhOGJ-PrVck@1p{nZ67_Il=B^#&oF>AdX?^y%i9 z>!26M8-aRWA}}Qb*H@%#3O{~^nyY9490lj)f!C@+<Q{sod2wOLo}W<z<8eO)=WSlx zWCX;PTasy6C3r5ta#<etgXGi~FAu!d1`OOFJ=(lDKBtb=SJeXhf-ve!g!A-W1HYFC zUTY`xF`c)0aaSQAR^Rd%eLQwNeH-BS@=(WKMDkmf-sZ)vK|rj&&CtjFWBnSydHS}% z&pd^2+!FCFuR$GsjNbtln=T{u#P6(jA|0M*R03wZ8tG2-&Z-)*)aPxxk*>QZfUF<4 zy>PMnv86?{ozoEK?FX{6+}iDH1iV%&MayEDF}r7NK!cPZknb8jee55W8LRJABq&0d zx5d`o3nOF0e~foltXFjNTNt+1mf9WgTFFh}m?J2f+OhcHE4m%OMwlZtx;gG+sM-L> zjFegt?U7>2XZyGtTP(V9&2Q|+HN>%-gSUb?2w)rW9CAV%^X}#Q#ILJ(D#ymdo9|+H zT=+1USqj-iY(^sT6cX=T+AF-X*i$@142i?Vu_E7_Q|}CMj<`@<Ch~nV)2$XainoZr z5qFD!65kS!h&CFAddym1?hj?0_xMhl%puWb9mOsr()E$tPx4ukhe;kUnNK^J{sPIB zk{3!g@2U`gwd6J8My2CpW|n`4WUjuX{Fun!pOoJc4~w6X(937GjQ6AO&3=-Q(<B?) z!jRi3yoWeI94Sr`XNeb+&|f9l*c68ROUY>7fBMc1mmm{we#@bq`GA@2&EuWqd5J6* zhlpk3XmOl4Nt`Cm6laTO{6ODjlCKo67WoL9<(Y8=nsEg3T+Q&U;$7nX;zJ@IXfvG| zXW+|{Ul-pMKNQXQL%Po-^C3C&*Ar7kZ#<fDi1-!??<)2X&G<w7P|0T8L7pP{LUES3 zK>VqArD(<>@~x13gJ{Mh!f%rN8}WDI1LDKtlj1YtpT(ENH^q0vgW?g9?*Z5zabl|2 zMD%Q8w~*XM>>%>R0rU44&k~1<<>GjeZx)#TBGHU*$O|Pe6|WM1A+8YDisl;%$XC~v zHox;s{db9cbwT+F@mY~y17!Fs;$Ovg#ScZk>tMQXM9;={02fe(r;1HPe*c!?L9vb4 zLFC&K#+Qf##KGb)ag_KIae{chI8(etyj1kQxp1B28^pEZX7LvBcj7kDd?y(7+bQ`` z@d=SHbXfnF#WzLHFJky-;+G<CVi<0|#Q^dR5apnFs@P8KBz6<)+5#V<aPut&=ou|} zoH$YB7m``dMdBRMvk_h;`D*bO;`QPhF(Up-{H=JW_y_S`k*~B^?q2av;$Or!#J9z| zw!^<txc4oGIOw82+OHu~#KvNd=-CkGOEjkIA{L7!B44X9euOw)tPuI4jq!6uzG|bq zOf=tgfPaJJTSW712l&l59l)Im=leJ2uNL=<uZnMr?~8}UPsOiA-WXAjv3m%bZ#{t7 z3J;2{#r9$s(X%ODBDquqEZSD#eINC6Bhd|pHDY5DiM;D+$D(dsy9cA&$>w|%b?ec| zO@Hz^AKhD{Fr1S4dlaueP^LTQW6jpJp(e|lY^VyiT6R}W@~$c2V5GtFhO6t}5FdV_ zCZ(!kS@O!ArB=s2)p(QNt8ccwYVQGG+@jpT4R)md#{M<I%D|fZ(DnWIJe0y26aL{l zaQk?8@a288k$znL2vYk8)C9|}@4pu*f+4$Cv+CZV!0N<MY4)%h{{?#w_!FR|;+FpV zA1V%2WS<${d%%kCU(+(>3WOAfQgeL0-rpD4)MR~dZF$v|+k<QN*I2>!nZb>}&a8J} zi8Jt_X{9Nva0_xc>+<^R28V{#oLUmjU69?c#y>b^<K6>yf-hvDUK{%c9vU3F7CL)` ztky-<tmV<A^&=@8N7uBl>>{L3Lh6`wEkbtBoa&Sf-9u$HEz0a7>T>fV?YIp_pBqD& zv2K`~#@F+2`-1B?1X8cJ4)0s~66&11X6e!Re5c~28h_c9@b!Z)Q28_XE{89<a6<0o z@W+K7tw;`GwuUdID`u=%R-5kC>$W9?nXX+$zcABWP_ZPu1iZcCkuY^0sBmYw<X4|8 zUBYyuXF|W}M$d#L(~X`9k4!guCcva@S6}ff`%*V1Z)k0|$f$R~@&_a7nETUcRg3Ci z_$RqlC@=1?ozOaT2FAk!+fLs$qQ-xE*wmdN4XP)G>xZcA)SUj1FEup|bQxUH1~Xg^ z?`yIlxM{+&{h0gFVB<Ae7li{y0)ar+)XcOSo~bFTvW|3F+Hie&ZiD64;a@FD-ITns zlU)xZ==O}J*Qc*~BNSX?wH{Die?!Wq5j8D>gF~j@+V#)fgPv;}LcOd_reBt!pId~i z4(MmhVfuQBa-bL4S4bU`&NlrV45e&vdlRwo%wH5bQ9m=*?PoU)`#E(zXFWZ;BzXh- zc|=XhxTQxE^3QEnT9Yy(dEL6uIUoM*QuKP^(D3r`pV1x(`3PC`430M6s@Fd7<qywD zUOSkhA;^4gT;pAS#QF16GM1Uq@mfvcF6MkaJ#}5e>iAV<xvzZ?pC2C@*$kuG*;|ub z)`D}bEX?tVvkI)kYpbkwU8`TJNePauNy(;Ow;tm%`q!is_YF_L$nnaG)?-q|(%NwU zs%L5%?_wQ(6@EVB=8A_g<`VMbSG|&+fSSeUzZo8u(I#X@UZ1xrDypBUDX!WZa>6KY z2y2?z3?;Si7arp6@uk?~t?nK-YBs3iaxZ*7%Bgbq_U9<+nu^`wD@=W#4rd;4d{0&Q zr&EUBcJ4l`H~Ua(LSIhzt#Vef6$ej$A!EpdrPHs)SWd|Iqn>f51sgTHX2PS>_hQ7e zPET=+7o~Ld^;yGyS#aCFXFiC(`Zd)3%~1N<rfV8uUf4O+WjK4VO!h@0+Mzw#Au+!o zR6lI@8Qv@&=aa5x{96S*s;}6F)a{aM+bx<tt(o1M$Hb}C&wLQLy+P>F&{Lr;p({c~ z;qe%S+d@|!P3Zq&`UM%79o4HOJU86;z?<{V4pkjZuoFX1r`KzC&k<iBRO8P|#z#Q@ zwr_uEU+5Xs;x*`M9BHy?G;+OGGi2B7Wx+L#Z%oaE&P$)nd<G%bk*lg2tQ(%&VAbMJ zr{0;0W5zmsO;z%GjG?OG7@ae$e(0l6E{?+B{+i^JgwXz)0A6Eu4fVx~_&peh*u(fe zHU5%4HOUrce)+5povN?fHVnBYSbZ^`#)sF0UkXpQN~|-j@fB+-UaCk7rF61;H?L-z z3Z_YpO~Vx9D-btWansjKe`&h%PgpbIr3opWf}MT6|GF=EUFv$@0Vl1|+BdglgmEV9 zQDP<XNKE1kww2r3AoL8&?un4Br0R@tvrvhJHcl!JCxy<id?x&peHofjR)0;(hO~Fj zD81yp<h27sDeu+a7+C%A+YD{|&V<q@-$`Eo)O(F?NWEdlJIQN@gsy(addS=xnQNrq zHp>WkF~13JmkEsi!@^1a-gRV++K#V;gehi!<&Pj=9N!-MS1>_0^K;jia6Uu+f`86A z)0xyCw+Qg_N0C!@sR>CaXBz%oaW44<LjrLV-H81zu17q|FfT;|{&gnMe-{G-e*Vgf zYlytQuzfCK%#DZdLVGFv@qWIejra4Hc)Xvn35|U>qz*xrfcb*ajj85GI%cAoABSrs z`U2*+-P+U_k;Rwq51d1;lNbp6okg!#;^YA5MEN$PzGV`pSaG?m&BoOCA=f*d{!`O! z=Q$)wG;Pv4ohg%sSiUyttW)A{=5L$+D*e~c-_C?5&6z#P^0iMlIj=#auY;Suk~$00 z+49NLEnlJW)xU<JMJ5GymOow_P<y>!GkgSUFb^KL1|w^0Fv`_vUSp3op>7Sz-5N}u zGuQHsal_5yg0ZfD3U!Wi^H#IQ<6VCx!+%m+v-8}V{gf#xOp5yE$EoYbYEwR1usLS~ zPx<r^(l)oIn8eqQ9zJ79eTS(^*%xd-v*Oh5PjMwheiF(KQQQvKh*hu$m{GaHN@G9T zgBbZWTpIh)9!#+m5sfS7TlNr2{Cr{K$_18v7Nt9lG|{rprj&x8Uuk^SV4p*gU+`;u z(Y(2qUG@~jk+{1};{dRSz6J3<95-qFL2VCX75M6)2}hbeoCD2Sv2$_SNQxuaH9HWS z_6<DtNG84q_s(epYsKdEAfCs>qaI{nBFddU)3QfXx)f4V9yTo@aI?qrQ+(-s=5`<A zGB&eH={#^=qPWb6oX*)8OJ&k$!_$MFsqn0Zr&$m>GVg)(I;2ca9jTX0y-hg*=}ve` z;OrUv4VNB5r794c&I9rudJ4RdJb3mqq$xakc&M75=%wL7a|1(oj(C6`PB+QK1Gr{k z=*}F5gvF3D`F!Jgdic@WKc*ng%3>|HLtM^U+?mZ9WV7^amYmH}O^N<gNGvg%rDe0E zY?jh&i&w$~q%b8cp(k1b&k{&zopcgo)F8Y+)=+%h51GX1T8Te3IhaJV@tnKJ$%=6_ z-|m@)Hv;D^(oVb)>T43C5gNF278`!+gh<>L#hRFharoE?k@##@t%zZgcI^Df#wr#T z?tK{7O=4m>{BmH6ewaNnzC3A+segK$Rb&!o(38zkm4s+Ca~DNq#b!a*Fdf>Q8^)DI z%WovI&>tjuTEK+MD)vq7hQVQBLLI?}t(c2Mm~T2+D@MRuyYWQ;W}Oie;V{u`QG}jF zt}s7B4>KNb(4IdTF?Wq{E3snhV)$z}SM_jW1Zs^LUIf}3-tol6#yf_%&v?fY)P=O3 znp}8#!eL?;!To@peLwjz_CF5$6QA#=_ft5eBB(<#NM|<cX$gmBAzHy<ew;r-50%AR za|2Kmq5nD@AoYx56GU+}9F!C0u>vNel6<s)y&>L5xtzL6egubLf;D3>`FFS&y%c?B zZ$}dGa7;;Z2Vzp9PG+k>FJBCY_93d^%tS+acwvMPh|qJ3D<ntg;T${UXob4>HM=o_ z=jhpRCNn)NT_F&m=LJ_tj?nWi95Oe?9_E^zEhC1&q4^d?yvlyJ9@~SxP`}X2HgT*K zcP*~deKiPIGI}+-?y4PK?8_f#bXA~f*pjHl*2P|vCQ#s5@S0TtZqsf?as?zuD6n}= z{%8XaWjk|PpgEo<qY3$pv{o;LEzy8LgaRA8Rxbs9TGr@g+xInXKlEK7*KM_}i|NUO ztJO<^9~?EgHo$Wy942gXbg2=ehbYGYzp|TY&9=TM{G!bz=6`M=_(hvm^Nc?)!gvmk zENg)k|80qwfRBPRtvSajnk7Yyzt&acKTeUChzZy<LCl>!E|J?MCO9v`R2-=ZctOr; znPIyc0VmoSH%VTE@wdTYX9CBm_YyGydjoYiK9Q+|8=PnQj9(Q_u-w*)P<R~fMD5@; zDC3`kYkq>ZGTM{1hfKt84x=7!P;B=cld=njL@`_@zCQA8UBvi4a9KEHkK1*xZcM;o zmVKf`v)umAi!jB&Z%yTD&x@F|75?#5yA#f~%86y>keKg}(8K#u_Z*kPgN>qlmMtfA z-Yg?|5`YGR=S;_fhUm$&Jm%zC=ADmB8kA@@)}k$3vNbo^oz8rTl^0<MZ<%nSCRk94 zIq56S3M)P@Vyc0|2Z_95j!)zjibSS7{Nvv4g2QD6$5(cQ{&(SQYc#<}y*M{4j?hzq z6G*8k+2_^{C1=lvTV&<&>Q*Q81VM!zTH99BG&4zix7nqk#2av?6keYK*gst9KLp&% z(@?KHf1I+}A#4cFVb{ifTnC2})Xv56McHO@wA<um1gnK})jf;pc>@j!?=cA}@LY$J z7r|aI3B5irf>#XNZ!%oGg@)^NN`(G(aJcOuo`u78wUnpl_HZUy=gbKGgWymG!PB)5 zw?6}M)S0h-BMZr|1K8GBBII{9@q`?PgVuqpp7}^9lJk_i3y$I5wO^-Sw`I)m44{#G zR$+wXk}9M&Ofii&g5b%TRrdCf19%afZH*$XKvl697#e|i`gfuZ7+Aa^NksAR^7Ef; zG<XU302<|WxR*EDWuv_z>_vGc^O90%6qOOYx&+MSF}|o}<TglK;Nox^hDh%{aJa?t zvhx@#fkQnA?H7FR#%T9Ke*7Ki48&pZ&Nqi3Ykuq<D6<xuBy)Y{rj5GY+WTrxKmXE0 zoXUTUJ5%Z@fm0jJg_lFZ>o@wnjY$W>p@j+F$vf8U#SwbgUI=IQWv+K6aJ}oj4Y=F& zdU|7eiT7eJyRpQp#ygaF%Xmi;%*VR0D>z!{Cpm<ni>TYZ)azy|iZGiSUsT&YjQ>7A z4X6t@nx3kddroS41CFskiaGj+2LYTI5jwmW;P2NI+*>TbQla<-{sQ-$$yq%WCyZe^ zJVcN^x}&u}OuU_ow>~}}=LXX*?ih4s1euNL+;iSPxy-OAp4-0fWyY%n&WCp`_S(e= zD&0)>d<p{3IOIwDIf%CK`q^A$kL~AJz3)Q6s3ksztG%ElLj^7aL?)aGr&_l!hO#e4 z!M*ZhT=Vkn6I>6!?}c-bm7Q-d`f;k$?$}}VAH9>&BV=gw2;nnGvvIh+0Egp;&<Ug@ znrWnW0m67Ii7FT#b1o@CMzg))!yyI-WdR&+eCP;oOP~wI;|}Xmgz{2;d<?IR{E?3A zo^l?a)XH)1MbqzXjE}jmdKsb_)LwixJP5;vI|OY!7kC@5->u+y{=O4#E8KQCZ#!AM zmuDw3@ag6*xZQ9({3~!IdE0kQ$NgdB9Ks#LVK{I5ws6MB?oWkjs}B+C`)*$pJkI>w zq@Lp|XJ0gJ_Jj+AQ>V|EGUtER8lG7{GIjdw^X61em_27o^jm_o$#&s{x#5B^`?Gc_ z7IqhRIi`o5CoH?zNi5)!rsi1D)S4dWk|5SdW%+&1+Z_u0wzFzj&`AtJe7{2wORN?m z#r#1doqC(#Ek&kDXSIT_8+_-wkp<&GuGwl9bfyKN;q#$ECzl$oDHsIt%c1lyEnrmD zumbq23JOj+-AO9PHw~SJ#aPhwl9M#Z2nEi}rDr)w1udOf<xbMv+Aw6jVOYVqX=BDX z4=gTs9>u&dl-aM?>0NyK;K73tILPT;Pz3LQsOa=7aGtTIj+>O<uBcu9fc(dt1_dMY zbMo792l9)$IqMdeqc&R#mVfWcJU^_!xe8@|V+A{O>|K~s(xGKbG-jme{``&|oLS4U zl&!b(ITm_-WtETX&~aK(^A?f(`}3VIF585lVytL$DuZV=@7P-r^PD-sUL6M)Me-y0 zV~{auQgJ;T(oSuTH)}S&0a<cy$L^=JDe2H+-6?J6H*YboL-Q7wb?(sCNtrvKXaKva zi}Mgxx;<}|JA1HdY^NP;-@A9Gp6#<+<uxDJ$+=`upWYqw^0M>ta`N(=hfv5pc0r+n zn&)Nb91~lB*q|vmZEE4b`~ijS^V{Y1?$9&8o%1f5zvi;J&d>s9>T+j47Sydmv6VqA zx6ASQutsfGx6bFyrQODFv3Pjg@Qp64I%dt7R<c$0yJ*VPsdKDJ(`QfWJ8$aLDYIi% zlW~bvtcgLRih*Wn8(y=)YGiZ`mMjH+KhutQQ+D3O33H~@vGF(@ukd)#9lJNy+zFLj zem8r{gvsZi*7!)~kGuZtm=-$0lD^q0welRi$eL)Hi%n3w8t#~tWpgLYopR>P$y4TQ zC1K@=36-T&W=vRMT{3(6+$mCp2A~SG>Ip1MYC&R*@x=-AT@_fS26c0+S)Ge89BS9N z)oHWoGrez0bS|=tl}ILFU(cH{VfJWN!<d$2gSu6n#NlAES7uC^Ic;t@<`bO^oHBDV ztJ<v#3cbW@jNy}JPnbI?eCAARGIwt{zvmfS&M;^<#r#{DiZtsVzHLn%uX-n3Q&)w{ z?hjg1S7)UEn=h%$!iAAHAh@40TNlxTdsl=x?g(Hyb0r1qrehqpQ{e(|Crj5JY3i2S zIOdMayAL~4=>W6Q_8;fBdYe~ne+1xta_v@*7wcP5iKYl)a_VEfXgt8n122B$VE$@M zfajf7C*5OspL-MDsCt{1ZW01w%MBFa?yjL*F3a=Ey%>Hk4|U*rW(Dcd=Ecp19IJ0n z32%X2eTkr_?`rtHJn&jqL0>gJ+Pt_cA;;?5UxMdBh-AB9*K75yhu_NsuQe)&XL4RA z#>CwSf2_VhU$<SUkFP7e`u$pY3PEo7<>N7zH<%!^EIQsrlU}-uahp90vbTBZ?neNs zQ|X1jhjhI>0c1LEoW$YzDYrcJ#OvvvMqKQEq+mZ%5XSxBD_d_r*yS<JV2y`9K3MTK zuPicV_pF+BZab$Vyb&DrQ9sL!)z=#1v?Id2&C}<}9%I9QjP-Qf&*;Y5MYsQn^>fWo z9NWX)yqDyz^j+4^@fRE0gy;9#^>fFbnhPdb(dBc;M!DxZ&gJGYz_oGgXVR>l16e;G z-teD7B2jzA_Y}_%2a0EjW5x5t86w9t^<O4lE-n{&Trqx=c&m7axI?^8d`RRtX1<R_ z8;wGlPteHbVu9FOJWCuSP7}>kCgd|~j=-A~{yXte@fGm{(X44h`Xn3#EH_{5DE1Nu zh(8ghi<gL(kvL&56|W+3(5w;7S{~>(lfDqn^^+{`ZpGg(`7y~)N!~BMrSSJ8ACdeI zu|5t?mX{?4N$77Yxrf+C;rtcO^k+#PEqT1;3&eQ}Unuzs$yZBWC3%hHn<euaM*a7a zXqN{_jLW?We?|OI{6zd(v~g%NeIf}xX<{x3eR+y6Qg~0XpJ>+5ARniJG5@*ZG_g`# zAYMkIyq`&4F0K`CAyMuY66u~$_#2Wxko>WDRK)N$`5+qNkTm2ug!(c>o);*05R1g_ zVzJmy93YMs$B7fgsp3WA9C49YC9V`#i{6^Wn<Vo($9ml@J|I3U?h*Hje-i&9zAnBc zejpwczZQ8?WH||9l9(oXc3$%&d*99NAh}2^6$gsvh{Hubb!Ryh;ta7;Tr6HLnrWX% zf1TvjB46-Pk2%kPw@NnW8_3%vbLJk??G$&5dqhsaWxP2rfoAP3_^!eai64uc5XtoQ z#l~WW=&fNqMKY)1F?}b|oX;Se>91f&;d~Rxbl#dqPKKm>t~gPwyS8z@!WW5`i$4=N zhlzStitELQc&ErGfJ}F<_<(56hX{XC@(ZFlFCzR+$?u3CiHF6bqB&0@J)hsQoW`O# zUqWs!xs_<nn+PwG+*Lf;+D6W9G3_Q!6V3S)`sPbsB%1Rm!ha!oow!N-wRpR@UA$X- zNc7e)R!inY9@eAo+Qp9*{<-)M(c$?D>CO2TY#=#Z%o1CR?ZvKQ53xin70vk<`o>5$ z=Ud1>mApy3S$sr%TzpSFAYz`Rsb>>0Pi!TI#6jW(;zi;O;#%=G@wehr;<Mr>;um5L zesHp#T8L+e{l%%``Qo+Wa*^|png2oYG4V<9dGST@9q|M4u*lhl)bq87DGWwV5R*jy zHf6dDvALKpwi7#wC1R;KN*pUr5T}Tjii^do#B0RO;w>VlR<k~T6dx6z5T6yF7he(o zDz?GBFY|X0yNKPzGsOPl5V1@gEshg!6@Mdgt~d4X6rU8I5nmAZi*JhWh#!lei{FSg z?m?(GQEVV`GC9NZ#g1ZUv8UKa42grpQQ}x}f;dIIP@E+$5PvFOAubb_i`R=A#LeOs z@ec7W@gDIZ@lo+9@mcXj@n!KX@m=wtctqsvdbUrTm@KA<*<w&URct4A61$1L#WTc# z;t;W194}51r-_{3&-yGDuM)2j*N7X$UyHYkTm!)T4~mb8Pl{X=!1&k1x5f9xBjRV` z-$mY&G9OnFkQrhN@f4AZ3mD&9EENZe=ZX`>>Eear<>Jpou2G=Ab>dCpt>W**ZQ@RG zm-vMEw8&Ks)c2P7fp}2-O#D*hVhE<MFE$o4#1`TyVtcVrJWcE+_7y|oSt6gev78EV zvUq`bk$ADVP+THjDgHuSA+8lSinoYcM6TjsxwJb)?iTlmT+_kuSH-`H?}>-RkHs&= zZ$v+SBQie>IU6<<)5JWnmDoWn61$7VVn1<!_!Du0c!_waxKzAK<U$nIXRWxI#JT@g z$-fb|DxB+8nEqjLkGNNSOMF*6C>{|L@bHiMlSD3ip`0t8Dz+235Qgz3;y`hTNK?*? zA1$6MP86q$7mD-51>zF%N|EbrsBeuJ5q~A#A#N4_DBdsb7WatHiGLFB!ZTm$zeik) zAEuNyinoYcL@xVb{Qcr1;^X48;`8E5;%nkN;s@ek@l(-{2U^r05F3hVVy2iYb`iUa zXN$u`E-RwGDdL6VERm~?7=NXBt+-so?UfmSsGdm&7~*!+5SMpD98QK_y2g@&VoR~L z*j_9Y`CfwcC=pA=f#MLcOdKJWi{r(xI76%y=Zf>iy3YZwQ2283dU1`oLEIwVA#N4# z5_gHa#V^FhB*s{VWZ0JIUKosSC$%kcTGy`KO!AZ4mPlqJ<CdX|LDaUyHCyAC$J4UJ zbZhyk%Qjy<C2X&@HpFkLw=!+rYc&J6CfwL^*~QCX(XefgYTuE66kn2ERO4H}>p((a zV(65brpuEL+llw|-TP3VP$<-Q$9+evhRM|?&Cii087ZpV*r`~-pHbZ~6o14{Jk4lG z3^0EgR`EX)I&)d#5j%d@fp~;vrfluI|DjOm-fUmbclX7wvm>LbdW6#=cW?hVYgA_G zLurw28P0(LiFnk0_{pVRYntxL4B_je-STRDPxlBxM{)veAFS*jcqlVOO;bZ>?6_zf z(p8!?m!6gxjq8K8%gw5(!|rxkCN(fepOBm4+w%B&yt6ND-HL#3g?0GnOLLbsJ?j7B z=^CqY?6O8j{hvQw<FAM;OF!!W_-U-tetntU1Mwd>puLEMr)!df&XItf#P!+*-K!(p z8ZzY<=@sV~j;{En;f)o~8UCdrP=qzxee$bMmagH|M$d$E3`bA+rQwYeo-_Q*gp?wD z6Qs{8`+RGY4%m&H0}m&pZEA||cQ`9rRsXTZ+I4nr_EG=WMf~>1(_C5p(zZU^&`%ec z+JBDF3mR3g30=CZX(;We{}Zfu@0D=Gm+U`nXN|9rA;T*=<@kCW+Se~+Z-`$vA#80J zwJa?%ahbo1|9X4F=UIU+7iZ$jGe<sJlCXA2t__PDxl4Qz)+V7bYxA2>!u5e0yN1#> zSp_|-d(<>3X%@2k*j-!H_y%{(>=L>L{n;$Unr%T3^$wNpn7Iw9Dou(@3o_k!#&r$Z z#m%SzaRr%f2((=4rueozzT$V#HdY(!@R}w2LpjUJYf>&~a@03rAXd_!x9k<z19_z; zQ1S{^;-??=jq>`~*B^ac_Sa>;4G2x0nv4ET*&XW2dM2=+14mN)R>8FD^c}D`F{3&9 z!Z(!`C$`o2c41|IW%g0u#aP2%v8~1rIUqTJ-p88%id#ZRKMf<Gi`^Tm{44uaTdSxi zX;~V=p=S~FRK1G)uhs+#GZ3~HI-#oy{%(6~sOME!ErAwD$rTN+M($pe+&Uy8Z{xyO zYceX`^o>!EWQ1i`K%TR=CZq7Vt5dol{iKv4U+=v&*@ekRg2@l;v(^V92^*ZXXYA;` z>;;S+y9Zk8@%o`sj1pP^cxT%g+ggOp=;_@Q?Sk;EMi^zjU9G|`LfGf2um*6!AF<C< zVLiZq8bT)cdNb8WINswTBbWI%q|tUlR+k!IySN*Fmvv#LA7kgqDtqnOx%TSCpMG?w z4>lmI!%tML$B%$|kv(azrdgjfbo`$*^yO|(-TwH8cFBO6K+=p{^jIN!4wg4wPCJ<9 z`=p^2_esNe-}cViX$j}i4}BpVi_U-=|BS$CR+|acUvJ9}mxtd;dnC=I`=p`2aQi9S zcYPSw7y8<73cniuEbT4ES<n@q(%HAY%l1b;^rJL?a^N((M@e-~_}uVEX&<MdE^#cc zV7njn@tgb`!}}5UNNrsE?Y`~1KlJrS?(x~vVZ|Z7duZJB_tIWVOTeC>6o2~m{OwPC z==3kC35?qw{xtlTw7;eKdN9_3{>IxoY>!9%cYhdHY8?qAl+^f#Jh;!dsa_=MKzvrh zfyW(Z?U_659^+trAu+TojlF|*vhr(uNgtw@5T3Os&F+aX8(~>#)#agPu%+W4{5I?8 z=h26;Ut-~lKX0&wt@5g$<Gg&J_Ip4bGP=CiJTyM^#k<`yQs1q&{_*#m8+|u^{Z8}H z*w8bu)o>P{#h7c3-{y7Crhx!nP?x)*CW`;?0U%E2hUEl4rgi$k?{w|U5MLZ0%sN#J z={CZIBn*QVCx^l3Ol62aju&^Qf+1z*dhSms;p5>z++fBB5;B44XMxNkd4IxMCb0wN zMODCmHB<WiUzvRVn}N8644P!02E>hpa@cL)TLsTn!&An8ruolsSZw%JD(e;)#>BU# zGK$|0GLN`#ljsX{X6&zPEjE}3yIX24Hk`@W-zaf%fbHk|t+CMH%ZJ5=IjrC9sfVDt z-ktRS9QG1uLLsp`OS?AxMSvC?ev!^PB_=Zeb?I->|4XL-r3rT}HY`s!IT2_1R=DXm zG5yN)3lT(%4c8lAee=-y29pB2&qwnOIQzApdFgi#YH%sCxiz@Awg&gP8b6};`_tbC z+#2k3Yk<j3mhS<RI+0E3d(ic-VhtX0^ERb_m+Ln*d$_h{kGeIR!hPCpQq<qV)UF>E z8}J(mwipnN1=YN1#bytJCj}N8`qR?^A*pXLIK)O9O>rK@0TlTjDD@d=v<Fh$Mv?n$ zpZO~Ob4Gn5*2o^jrt+=ur?FdIs|*hyvT-FQXSrq>G7*v1ld;Au179ShvD57`DyFSN zW8PsXrLT+xgAT(?9q=sL7<(8_=^&&ujt6@L8*`8!BA@!(BUy#NLTti;XOA-DZpDZ+ zzIw1na~KrjMmp^sc<gef<qEX4qFV9S6j={@4EJy<S}yI66vuK`-+~Bp4)`e31a?#J zfD!RkCL<1o*z{cNFb^?q_SADwlXR}dor1WG+u*U&`F7|EihONkH(QGT@hi%(qArp? zAN~gsmCiR$d`g(v8fvm0gfzS!JbW=U8y>8Od;?y-H|M$qd;aq%r`ZtH6Rn?7!umGr z;!5eQ!EMNso(&J5kY(VO3-NyZkN@~GG^CZzBYifa()mW|xA5e6s<X<GECJ6`viOpx zGd#I7nSCsFl~uSNLK#%pcXdO%HfI%@v;5{PzB$V_<z7@D63cDQVw<zP<}52SjJ&3d zhmgXQ@h5m{%LrB>{wDU=g-C7Ju*W_@f)-n$#uZykL73|e-UBZU_O*znj!9`{2BG9z z;;dj5BJ<Jxc_wo`n`H&?OSrx%%a!v?VXmLQ{)4-aiSx{2Gx6ttUQ8zQ1*gmaYFs}? zb!>_YkOPfTh9s_{=BD(^YZbZjYLhJ9&lBs(ZC=D0y6{I0VEmuW3+n_nFN_ro4E#*j z_(hgy{34siFH-GBwr4LRCke(z8leMnO%h~j?i$2^IgpX@v<T87YH<VIDFJprd>AHX z!?B7}*YQ>}cxpcGGiAw0%#~~aPb*kHN-!zbg7C<z-Fm`@1y01da99g+S%jY1uCORV z4>KOSW)Xo_5Dr!|2x%S<Z|&BJ2b(pV7-hWW1P^_L5?pIat-~Yq4TD1jF{(C#KI%q9 ztzLL|`r@J%vJB3)#t~!T@F^yLgr3Q+5HM?KFLQ<D2tC)rA;;f=3{#t71Yc`o1>&Me zYn<FTr^RTZhZ9>M1S0g5yFzk=9!_C_ratH!ck$ndXoY#zFk4gnclUZEu@i~0wvV7S zO&Ev3S=>)ATLCfA#u(`}h7v`UbMB_u+T#BY>_TSc^C2|kc-j;FI~>}XXoQ+L)||^C ztXAE=Wxg<WBp!p2*B|=Nhr=KvjEPsQ8YZ`!eH}qi6G|FL4unH$g1f|EZ`VAfX6NuP z+QY-6Jv_%3)5CN8F+DsdKBk9<M|*g5v{R8e+NsFQPMv5v6`ARAJ9T)pQ%8H1iy4J% zgn!Whr|N&{c;N&bcSNPoI~$$mE^CMB0ty$qok^%OheSJbbWCRsiFW2_b|yzII&(;L z1ds4WFoV5jtSt<Mn~i(i9<HG|7G$$vHtZTOkS2j~DkE4NOcvmH@$4G-Vb_46?m43N z#Kzs?<HLBI#%94}0sYUyVbMA9KAdA+yf{J+N7x{v)H6=tkI&{J|M=`m7%ku}mP{Gc zhMzFdGh8qO%~lB)kMAC`Z2H~q97Ws$2kScoCjsNLaEl{sQunklGK8IR508=2Rvd+D zn(epv&u*cu34P3t;zBsHUL1A_=wAkh<BOnbETY}Hn4Y`g+{Q;j3T~mJ2$p6Ndd0?+ z;dP>k_j)lVUbQn#S%T(7UHr6OKz|qx*B4?79L7Nj4@+|&$z-rzK!0OX<)H*m#7I`k zc{N+$n1u)93^<0fwq@>ltAyt*o~#(|jX;#<RTvG;>Xw@ng%NVRo7zvhqh_dAeGbFP zC>&Q)E1sUIrY3WJB-=U8J>${q_UJJ0xIoJcjE{EXFz>)H;a-a>yVroGnAoy;GRD<} zNQA>BCpkh-Q#YLZ%2kd?Ld0VSkOX#%;0d_G{FsBG_WDD8RN=!V%quP?jQ0p;<H1$} zhf6x4(>@y8-54Enr5Om(+$`{*JLb+|svEN?0-EiI9>vV(w)4N>!dYi;XQC_&qGR@! zyNELecaZx8FH>FNdanVNyWY*fR@aOFX1fpn|3#Mvs(v007bBj&J~!TBejHN)ICNen z&=#(tHODu{T8RHL56~TfJlh=?!4b*hA?EtVQhvypm-+r>eMaT{eN6)ZBzKyf=G8y8 z1DQ7Fl>ZjQ+Ef0=5YU^%-@Wi?1CC+?c7i+j<6RZ7wEsjGk{@z`spJCx${(|8TITN! zkN;)6?F}9b2#f`@@xuHR9IhmMJoKvTJp}w6?zqDz85b#Dtd5KD^zne8qS*1tuv_4C zFR&e6b1&fK;TgIB4p$H!n(oG{K^`3HO3-wj&$?u8Y;-2VXiKc5Wl5{rGcfZpBF0b( zgG%Azag~Wb{n(@m55$Ilg|xiN#HjcjK@3I^!RrvTEn+V3ngn@%td?w46?=-U_DpLo zG$siDGU1q}HJo=>cpLm%;CS=nZQnH=TbW(M?@K%tEz+E#P87ZyX}q+r!_Vs`dtnsZ zOt|admcy-vy93VKynH-mJ`49e+>3B9k7*h{8_b1cuW?>!Iow#d@o?wDO@x~YN4;y{ z*1>In+YEOLoVRfwV#{FtPE-c#d!jPD`qwSP(|-v1<B_);Twgej1dg(c;jV$Z3+^7c z``{jjdkXG(IB#SA!*CzNeGb<haXsPsz)|0PxP@?+!7YWm8;<%OgnI<e+hTR_+a9b> ztS;6kRu}6<U91;%dE1BZAA&m!_Z6HEW0a#f5v~DTW4NYp8E|=Ut>C)A#csS2@;3f* z^jRN5{134fQPj0y5)NRq_W2~%BAznVB7#{hvs=MhMDWy8U@T#mG2^fu79J9V&c#8P zYRIn*-DwOxJXsKQ>fyV*FcC4YAb+vvhvA4K*j*@~;fNW9_~%-Upv4I{-)^HBey6;^ zX&ub(1HCU51Uthv18qa#E5AW!=B6T)2#MeJojI2REJdIen`*<L@9v=m<Ho>J#KJ*+ zoqJ)J;9+Z!F%oev3^qJ$MXf|ghWUhXBl8E)L`2b>PJ`ke`Rz_WJtw~#%D<`LZ53|{ z4F3KEr4Nd+Q!y8%e+;V#m!qUAJ2<a*$M%EAIN!ij0t`hUv=|(8`n=08M_93Qxg7)x z5LUpJDVjI9!{BMN_`hR^!H6;DC0cn&x>z&<kyubP3H9s&a~5yH5<`PvkNh4*MQH6- z&X5A<5vzQdvllAwvV$9)`o-<}v1FL#xc{>IoZU9Ql3ZMRFLojC6ogE59<zgIBB+}i zw9%<7K;9to7T@kBnx@Q5T7aYlrV1VA_GzCtyRc{8z`Q^%>{P(W2CDiKe8sug*#qfU zt}TcK1^GVOf{+D<I*a~i6?KiV;c$#e0~vjYG4_DP{6*ccm_KSIg7zI^jXs=r-WkIN zbaE3g&e(oH88SOya{nOH50$bTKwAp5Eim!KW+47wvH5V`dBge-uWOVdYG474eiDNa zu_h5*(+lh=7-;a!M0_`+9_B1#z6fpoaElVgfW`MSE8$s<FxDJcb7N29ds&V!L#YTK zot}iRID3{y{;3&>e<zC($+(hzo4p8L_fBXpg1?LZ+x8;R8UJT50u9OAXLmal2*BYM z!)#qd4_-PxxuD;>En&LJo&Y~?m(0eO*j^q6tU;QgG3mUx{)jVghnWal`wqD8gc82D zz%7%}$9mPZ7x4iG&)uF9#CzUpF@AV0&M$rv&fC0nlMoPF?q0l44<a4QWqI5W@?tnI z54=`52kGe1=Ecp19INl6)A_X6)t3l*`mToG%LA{q4Ei>^KT0Up#$5@2tiG?IuS)I0 z<HpmsJ|+*mR_h>+8?O^%;%<aLR^OshcmKG*Y#vYFua&0|<aT~OrV!MrAM3vzj`Y$E zY4zRgMLd9Xy^zn_yta4_0iK_6HAu%PG2X`daeEprc0aDbet7MS7n!yDkqUoIGgyTf z;G7QOZC+Vq%<frx+kH2C5ntm#=;$c}J$*4j#v2>{fA%68ncvrJ6Q18sXfMKCtxs$( z0%vV=-uWT+B08f?A7){gX;vW5JCp~BoIXUEFOkXV;!N>UajAH<$m5FXH;K23cZfSg zJ`rTPheVEJ$~B@{Cl0wO8i(Phh+RbUEoFq8b>HB53g_$$rZ?-mK{H7VG~Y%BcPQR` za~ATOlK)GL!{BHBRI!cNRW#p<Mf_QkCyC};un7OD<SR&=u=(1Dyq3g)YNmaGzf!v2 zDV&d-ST3J-G2O$GpO#!L`DMv}m3&bALgDc^$eBNhguW({GbPioCF47ZCF0rQa1!~< zH(Qa8?_ep<Q2Z>(i$$}(8R=*_pXrR92*?{HoAu3*X~drKW?eJn`z1d{LN@D}!M{oV zh(x;`CNX8ntYb!e1DyDnzKz&PJdH%U63J(ZoC!cZ<)T@ajQm$A{zftZ6O<(XR{TAQ zd^<$G=VG~!iQczRU!jb0|E_S%OEGegWIe^x6kbB29R?}<9LZzFDJ1mq`4-bH5U*4? zpZhS}taAo$R`~4-=W`d9d%xr-L_QZ{_+Loqe?#F16wYTd)O%F2jq?%H@!286&3ir2 ztdEACmWpqqcs{40{vML~&W$oRwj-Lykk@L;yhf23qInhxxr1a*=VQD%KY_)P`-uZY zbDlyxU$8O#L~*KkkvK<OBvy$l#nob6`xx64{s(cVxJ!IOd|G^7d{KNud|Nyq{+IZr z_>IV!n5KQi3^7~G7f%%nMRQ)n{u?_w;28=ZB%Upf6peiyq^pq32|6s-oIk-?k{61c zs>Ar7i9Z*w7uSdp@mJz+#XCh#CZ(Q-#XaI)@lT>>H>0k-jDw0lBG!msiwQgrLcg*1 z17=Fj6*(cB@#ee^^1UGCZX%~=Q|>2vb~46Do*+&UIl+MGIkA9TAe!p{WX?lnxcBYv zjgoH?w}^L$cZv6i4~dV8=6V2q&q;n!G}i@$^WK!@y(@kt@?8nT<8)rFCpHqBip|A* zvAtL*o+kDZd2da<A@Lm1Tt^UY><xhx3ZE`sD9#l<yBX%Xg7lXwe5JTrG}jfx-z3@0 zNq}swFW?S^|51EcG}jr#@0DCFzAU~ja#k|;=ZIJ%8oNaZ_v~mS@O%%sk=Rts5nG6L z?P-|n6!P^_Jf}TV&)K49N8=}w&lhKk^F+_i#uCX_ir0$9z7h1Uk-S0lz5)Jg$#t)D z_bL2A(X-RRcO9%J=Vg<B6Klk;MF(+=PY_S`ZEtfuL|VSvV7|ekxh}$AE}8FU7|-`S z<W_N~xJxwGJNTcLY_5CozbN^y;@?ERlb}AnmmohAzZCg?g5k+xme^cuCw3IOiTt1i z^Ys%4h$BQZ3kLD$NuDIm5G%#S;^kspdn26e&T?)S|0v!sJ|aFYJ}W*izAnBcdUi+- zO8!jzQsg=WmKP`17gNP%Vvcx<*hVZAyNJES)5QVeU~#xOTI6hgmVdrDN4!Mz?37$C z`8si>xK`XK-X{K5+$R1(d{BHud`8?SaybF(^R9SMJR*K2{#}g2Llma3C#Hyv#T>DP z*j6kMxn6<!Jv%5|ut0gF$VCd2r;C;1T#>657{5&XrMODmAZ`{tyD7hu%%u&?|A<)E ze#+kz{+{UBN%=~$XD1~N_lDGyET)KAqGvaymE^W!k=Ru%5lcm`v7p}3;<@5PkxMTa zf3fJ<Nm(M<vy*bI<W=I0;wJHCk;^iu?+@ZmahLdn__X-E=-EkmL-O0=0r9`YFU4=f zy7p1h@i2|$XNh@YE3t!EB!<L6;(4NH2Zc*WO#6sG6_<!Nit9zLG-3KX#XpGmio3+! z;&bAk#Fxd_#dpLH#81T<k?UAkUXqw5ri;zRe38ptnEo`ekJwinES@8d632>@#A)J8 zake-GKWM0bx;P%cktkP)Ts1>^j<`^~OuSOOTHGYwEOJc^^FJzbX$|GSh_8!piCkI3 z_%B4RexaN!ritldUHccF-HTGi*R^-SWiHfrk;wHelz%32eGBDv;!WbM;x_RQA}%Zc zE&B^~e?PX?@2K`7pU1L3d@fJc{XJQt@KUi%93hsA<HfKzL#!0%idEtj;xh4Cak+TC zxJKL{ZWeD5w}^L$_lR|WhwfJR9&xW&ExsV`7he_M5Z@Nx7e5jYi=T=$;@2V{^s-&e z>_3px9x2!T9otypL9wOST5K=Y{XNThaMZ`Cl4OZkDh?Efh+LPzbR)!aalBaf_ik9> zGsH@9u2>~rAubcI6_<;3e+O?+_-64Iaf^6|xK+GM+$HW7_lSGNYVieezxb;7hWNJl zzW9-NSo~D1`};Yd--*d$ir84>;vBYbwipy!imk==VxibY>@F6IC1R;qCXNux#qnZ= zI9Uvfb$^G?Rrq{ykys^q&u5oQzFz!7<GL}~F#3F|W6^1aor2Nr<n~Oub?ai1pWL2F zd21AgTk0+bQF|uWZcSL8u(2w9$JGh*3zj9VY_iT;{#s4h)<4(yH~+aNr7C{4ePei8 z<7QUduGLLLiJ|`4*EMTYV?X_5ze_UOWF^*EyY7HBlh&a?XnSAU5y`7QZ`&E!NO5U> z##Ua7*g&W?Vw+Sq2@S|@Qe&_0!I<ScVSA!sXhHVM8hckD>-_9%`|d%??R^t#5_WyC zFJWDiO=VU4Ypk-W?LW(!pVegJz=y16&H>!h!H&UaOEYV%6xcB-^wro|7v;uh^$4N# zxE02V$raFC7#gtS!fi-ZY0_L8pA{QND<*DSToyE3${ejjZVH4~m^>FG#N_E7q7{=S z>y`(c<<{Z*m)en4Us@Flx5pp#eFj@5WvjllQ+!A5Bd~Olvg%7;h3{xQESm%>R(<KD z*xeZu7qVQ7CMg*?u%TdE9ZIVo+P3^ls}dF?zGzsn{7c*T&VkQoe7}Uxq3`o&EBu8i zMRxCY)l8p&kOn5b?`XmoCcP=|I+LDZ&%x(6VGSlM|1z%9q=PTsO$T3s343lrpfCtq z6@S|2tV=rJOLYz`jcc+d<**a)U){dij<gM3w%s3T^rf8?2kSHk`^6o==dF&$AD-AB z7Acb0;?1giZ)1GQ(fH3y=(rU0b0E~@OZ(D<qw!zB!osD)=8r}@C46yy_Bj=H&cyzQ z_9d*fHl4MsNu+W<ta=1}%Rk7nHU^Fa0(WLF%d}TNQ`5N0I$|${Et|I1;m%9!wa%u| zxz99nB7qy616TQA10{7sXk4h?%0Gn?S3DJp53LO~S!1>CS)ElAADkANm7P#yx9gYN zAS*NE;AC_kM#Z$ywdmubP`@4FZCN#TnO%f5<C3zXaj>7#vl%ql6=!9)&O+=3cOXYx z$W4(|6IVE$dV4j9$x{^a^?YZa6QOOL+m`GJ`POZ~!`40_SVOsV*mmovZyxNSjIVdZ zZznyu0sZ^C8Y_stu%5&tjSXM=%6v!T(w~GKlMNgteK1P8K3S7oc1EbPv51m<#BaeO zN}N?NwR-f9k+5X4;Y)wY0~ph(M-wLWhou#anH1VZL0CoV(fC=gjgrFA1KTJm=||(6 z?!gFrVm@M1F53<ZDV6@hrbq3I+k{fO+Pz>QrJ`rGbv^Vh!l)|o!?p@^&VbIUbHdk# zeMbWqpN(<ktAcNU8H*Kr5gI?hjII*oyTEtUKMi@1Kdx%X^j}U#%Fx*yXI0PAKlfU1 zq)pyyMp{Z&U$4jaSsUuDO<eC^w{%Ae&L}>PuW^5>v8pcH-Z10IesM?Rs}^M3*S8-= z80>Gv<y80Q2piWdd|%%tN8?i%o>rY2$_b}_Y5zPU6gV0`5~FO~$oXT#7jAb#@kir= zgR<TH2lgebkBgkU%wE@IBkZH}!g(nx?#9Zj$20Afuto$6DS`Ft`Y!(TjXR$XIk2Q- z9ex@XQW{#Pg?6X<KS_xHY<qR;ld0Ax34Z&N1YgkqN@{AngYf<z#!s<6UF;-n=>K7A z-^_ln#nNs;ZX#_KS+tMRD#vOwvD(_vJUl+UCj3(Po7A{Z5)!`Iz6;vkroIGdr%t@% z=;81Gp*5xdhmA|^PZwLIA6ho71!bpn_II;;mQ<fy!|5h}OVp`xX~L(A?a~iz3mTG} zPai*h4Q-^@uS}1Y|4K~x*b|iBtG0Z{E#INFB7ZkuPaAvk;67(<(gxZ<@*h}Y`#1h% zM^b1QdSDm#!g6Ztq=L{{&7SNxJ>&Mi-21HRl5L33+QWDo@maU`O+{~Igoe^e3R~50 z)!2iFg`ZFLp-snD_RCJpxxMefeaTxNc>i$2$^qS)+3PyLHz71QbnsoP+1Ky*Z*XpS z_noA*V?z(UmlGNjy7L|L9L-$E{B|1dF>D&*=S?mz<guIYY6LiKc+GR{_+bWtG=}-& z%uU2H1{oVEjxS**Z&x<qpKBw<@yD4LI%hJyv615V6L^c~1YkUdNXP<YBL#7M-2%{h zgJT<mCQiV=!UXysH~IX(2ja}*`*ZC!h>0_=08VNnWt(dwr59@A+aWtAR^Wap^W7!U z7ihuQKh)Yt`8~zEYi*>McMyM6;^ct2)w?HZBL#J_eD}IGQqHCSh-V|E2c?f|EtGuX zS{8YcWq+Ff79tbPZRuww+_jPNxoab333GnorZ=}hHMN#VzH%**&|-$~s7Y~*jg(iI zGdDvvQcMk+XYg$ot+WI)_#Q4Xz#6p3;BN`H26-kt$=FEAH>nfNi?Wuk|1y?!iksKG z@M-1xP0dctxDa}1y`_!u)n7vGZB2^$>zLE^!$!(zNcNeCcE1-)+I+!D8!3b6>41<_ z{&cYiv&wvVn7WAK5Q>{A@`a0Q_k>duQXj`Z`)vMN;zFcGtdV^VD?}S9>{i#b2@PSS zv0H6p+hi<!#tO;^>P^Hu1Y`GPBqb(oTnU>fqbR+IxHL{uut!tm=MK_10PJ$M-X#>x z;+HWjGl*Si!jWc=JrkmD#lW=bl*X~uS0OUZX4dgcI}*RF(>lT9iVstq!^A(~Vl6%% zP5TYSbGdJOAvT>33oqwA1qnWwN14iW@WM2T=j|*n?5A{*cSHc@LC!^~t&y6WeLi1d zWYA#BG{iMC22(QDL0m!cI#w`)22=Jz%$N_)5qf68b2grFWd@<cSWCGNlChS;M`I|2 zzijv`xCGAr>8mVc2zH<l4~ClYSA?Cx-xw4#c>b754}YaR%mgV+knP9+_>V6_lUo^I zLEWi%h?BwoxB{Ncas(SYCWj#zJ0`7?;i0XRK6qN8D(r<=3BkU%FB&|DRmfrSIV?Sg zC7V+D+#`pj=CIHlmX^bkGM9O!96<_GN*sP>#FUb|87XE!ck`=}-nMM^CY!nW&Cn$I z6y&jTgGg{KQU*=RZ_E%eiHz6Etw20qn7Hx(h{hYQmAeb^du!wW9*s9%^aTp6Z*(^> zwWx2DyMDCfKQm$yYq`Xc<r3`h|865DFeDP_nba%k%rp!pS|u3<dn2Azk_=<N1czxe zda^kp>l!lgES%t_S`k#_dge-+A8Vl`DAAOIaiZB5CoorX4~oOeXFeo~ZW#0yR*@0i zjCUl#q*yXH1m4=M4}4gbNA!jBV~Kq*Lf^S?<^$sN@MwW>S%e;{Io^0lQ^a7gETMA7 z!&|%UG6R1&(E!60AG0P_OV#iQeK*4)g1EzYhZEc3T&pJZQFqL;TI%Q0QtX!D5csj4 zn<$4fCP(O*><T9^USiH>*0`pqSc$3QP+}AuR%0)UgmI>s0q5W=!V!9A!lA*4%e-)U zu5pDxgq~YmAvr?NBXBT7av4r{{DcB(e;anf-NSLwec}bcY(f0rW2!Qi;Moe+C5FJL z3B7LXjq_ShG12B57j3?=Uh^qYw5+jiNtVU3TEbzl5N+Wc{HBl4vj(NP=0ofV{hQ&i zP?A7}kPhD!r^j#la~Q#Dm~eX5nXVc}uudkN9_~H#c#Yr{;Z@e8^Q!7aqDZr`uiZW# z9_`~fb|l)zFh|19=42OGTyc!~61R_svyXYBh9#l|``K^J!+0-453!>iYmsew-0j&# zz6d>TXD)I~XWnT#b38k9wdu^E1Yga&hYo$5fMyOIZx_+!-VVi#-5~a8m#NN=eppbo znU03VuAR`_LrjwoC1^asttx#};m}Nr&GA?FxNQk7zlF1{a$+Uw>R9vr5qdVj!D0nQ zWeNsngdzt9k`f#iI3!D>!(+5JJQ&Q;u>lSrh$lEG7#tlO<zCB~^1PA3G+sNJ2oz*C zR<u1_vNf-+u@h6L5j=3H9mY;j<5*)S45faU_wb52K9N@_61fGs?Q#c%c<T}jq3j6# zyWwyk5wFAHKw2E3hl94%lpJIEWWE)jJs0*+P@g)XCkXOvq3|%|zMvH1E#n<Ud<y4& zcN|Uco+Cy@n|V~UY0FR{v)zpU>?`!*K-oT_cqbg}jSP&3NfY|F!=axD7U@NK?G}^U zYo(YV6=hnW8(P6LWJ3S>aM%xGD;y5{or`&d@`lf(gC!ICTfyOUL6pE@5R?qWLHMaT zUXjN;uQGx=z6s7K<G?p_H4xzqGwcb6))qJ#Js{cb$m{pIA7y!ag6esDz#(=GiZ^E` zdZ-4%J&U7573V`wiHY&lm^`tHb|XKoQUu!+r5n24Hij4lhZF>lV`I96o{4TacdZx> z7hGbzsS8YP(Q~dV%#XRGj$v=PSI*k247G7a4tCe88V@1<n1@!PyYY@9bY}3wYc{6r z19$Q}hsj7~?i@@${9{($j9_uTFG3G>qrZ6^L?D`tiQIAiFF16m{D12D%Cb(}q&$;w z*a2o^%Cq4x<_Ja``vvr{5fMHExXkrl0bJ{PuLricUi>%PcKqj$b6n^Mj!+imjZ_44 zoYw7B=GtXO=8t|oqUt@SLc@7N{K$BReFgm8^`_tq5QKxt2YZgS0RLrUr2v6Ee;yYR z-I?J~VK$cXFB>&x7fkE?>+i}~*4=PCMUl_LsV~`Pb@~z^W@E11a2P4Xez@98(EDyU z@u?RcZT>Pge`~l`evGRWY_lAHQTx7^y1BH<GWW-+j_k2`L+L+yC!>c&?WKz&$UQ8^ z5bjlGEWsOu01ZaMZdhK-^<u1db;r*Z?}`>p=Uvti3C(8X-G|TI8IMi@8oOS8sIMDb zL)=y`g5jh^-a%c8KwiU-i}3WZo>UZjf@E0C4G*oyxHmk?#A{_0oH4+1TGRkbHsX;M zo8SEBKc4!$tu;LSxx*9iCb-RTH^X_`$>Q%o!aL!3`0-5TZQmBo{ou~?*%PPCdfT^! zH$|FkxK40g;JU%}gya750v?7tA8sz(#c*tcE8sT3ZHD_T9G@WF4R;URBXBe|@-`f& z&VCB_IUE|!^1}t;xHQDuSau#9Z#^c%v8+nCTi|Ykqwei+ufx3oN1a@X;%zPAZw1#H zu07mTIM#VK9P2zEZV}uTIM($pIM($(IGP@L3yyXD7>;$Vf%_WH+hTPWBAj((8Egwr zH{0YkIF`XS@N}~+SZ9{OHmKDdv;W=U?+aHBHxcf9xEXLW;pW3Fg1Z848Qe8+v0Lmo zGoO>RK0x$;h^dp)zO$v1=V<AqUXW%qTISHKiSg$Yb}6KFlbbsRVR_@_vLO7ocMP81 z3erv^J=ifg43<$QIrYks7p6^u#>k2Boy(9c7$Jd~jz(1Qipg@TQ3n56(6-YEkDG}5 zIu_8@NwKkHQtZq;p|O#=rcVA`Rxo|uv}w-5a?jLB@#!>ua_{1DW9kI{kufq%olJM` zfPIb^V2;FPInAC7$ZwYq(<cQvV7q+$hdq*Deo;4P_2NOO=0_a^!S7wQ6}5Iy3NG*5 zy;Iwc9S3(D<9uoCokVS+T;B2Wj?+3iU%?#7Rdx_G=1`1rnS!Ee9sA^VY%#dS7-vDy z^%qix5*SXg&|}3dj75?})b1k~S-IXW=+QC+<0u{5=FjUet7TiKVX#H>octDTJ2h_! z9bKJJ0Zf#XpVQ-voaQY$bjr=kEp(oM@sfK{^n$6*voOlC-7fCaqD70GGjdwww&+mE z`1=r8P#7EdhZZfIr%;JM+6B>s3-&-kkZDb&Qs)-J%F0sbQG3wX*@cH;?WMqZ17(da zrlFJz3Y^NI^9jtQT(!8^HI!1vLQ2$X$7wN!PflVf<=ZW!oWQV$^w!!-k)@V-p1GAD z&tOVy;jU?p)2w6mq;mM|N!I^cMpTUTk*Kv4G)m`AFefu-`obx57gSDh`$SC?V;|)^ z7(MxJCRBL#s%;}<#-wsM&9GSC-6D#~RcBbuI?bwU4rSJ9*e@ElnK5PNw7FpmyV@Ng z6K7mB>4M?dT@yED=49(X+cXNE&V9RW6kaG#Xd8uhvHxw`D5w3qn?|v+&<wn{^zJXZ zz#qH0H@L8Rk3gow{iWIJrt|JkPep71?qunBQ(U*)*qa*fmU^Jl0cQIM73B?^w|V83 zBEY;0R>b@cmRaxJ6f%=jAL}&&&dUR@^*RR6=9mD_JGD-_%kYA-H(#u3^U_U(99!-^ zSe%@KbS#(UdF9T7-^&B9b^o{6Mwx}USbcj+_;A_Pmk4_LmcbvZ?-l3^dz}>%cLn^h z`u3MVAC$0uJZ?OF>)?;o*Dq*URbD5?#I1%uR^R)j?tW4qny6OaZOT&!a(gr%>-g)` zkL7U<HR+|hvDJxfquhrys7@tdwg3iNFHZoyx<4D^XLKpj@j;`vaeuiz1sA&?hp``C zJLC0f?S7=fAJYuhBItVsVczDIOUCS;m4N|Xh`@A&H-cli)Xy?w^$o;0#b52_>8lNp zCpP@Yu#M8>m;$_3aRVK5#6%M~uu~elIc8)>LmZ1EGrBouWW~xH8PUx_OJqP~d$=20 zJi0mf_D}5QSnP%9=AcEr^A^t;C$x>i%kYU!qnt)f$Yy;X+bC_BzCP9piG9TW;vkXJ zhM11;sLAQ#Oz~22sd%+`qsRvZ%y+AJhqy!JalrWhvyFmwz`?}VM{JLsB;<!Be_z`u zUn`$yBZW_zs4q_}6uXir?{vw0;zapu$=_xprBdnm%?j!@YuS<ShuTOvEInV6xWIiw zVqQox4rS`k65EQM#bWUc66ps?9xk3sLf=Hi&r*1mc(u4vG;79jdib5>yT$uS=%>A1 z*5?`VMe$7%<-9{8T>yTjYe7P8E4i~+EcR3U5EAV-TH)g*Pm_Eh2|avR!*ol;UnqRF zWPW^#@xN2}-I5;`pHcYplKC)->AA7~sJ<burIdM2BYA!!%|k-4gXAKS*CfUli~Ym_ zqB)Nsew^fq;#9FxoGboRTq0g0UMFrAZxL@7e=nNz3Cep^@>3$Or>y6n#h1jtiSLPr z#E-@QkG(g6ud2BF|IfMS=H_Mr5{QsM5-$YV2}y{6NEKNw8bHD#B47ziASgmu)D$U1 zL<P472>X(_FIXFJsjV9*))k+iwrVYXtW~QZ2#PiutN+hu&ga}*;sW;RuTT5@JFiUM z^PS~8bLK4foH^f_^SS7)U1HX3f}RBYkWn8kCdo{(gV;sP6;Bn<5C@1u#StRyG^o#; z%QaQ<3~{zNPrO20A}$wi5Lb)q#A@+2@#o@p@z>(x;?v@DVy)=S&Ekgz+1~#a4~ZX( zpNoGJgS<W=f1*fhXv(d`b|T+qGrqf+EA|oli9^JpBCS$c?#H6Hh6!y*89z_FOk65n zBQ{>k#2DYA+*YOEF4C@)`pk73d`R+RqPcz}o`$W=_mcQq@eT1^@dNQ=@iUQjwJhIM zG;3W!PLph|>yY^>f%$rfJ;i=ve{qO7R2(Ia6^q1a;w7S46ASvybswbN59_;Dyk0!s zS|;0+?-%03;-lhI;xi&`hgpxehRL5Kzbk$yn%@!R`$F<pVvMfOaU!1?s4qp#6x)e3 zPG<V4;*Ui0JA?SMB@YwFisQwp;tY}2&D3{=xKuQ2b0Pj`l2?njh<AwQ_Xzp6Oa7Jk zm}q{Nkj^#aSx>F_s`#ept!eTX$p^%b#m~gAMQ@Fh1n8vRW@1R>OAE&L68XY~@>$|w zae_EW<ckO9<4Y2<TwEs7!kh8y#9PHX#rwn^qFDnB;U^@=;f9#yn}{i5s_3n0k|nvD zm?QQUPZ#-OgZjcEP0lG_AeM-H;lcPakuN<cduy6pD|xlJPUP!I=DSCv4Ljwh#l7Nh z#XpE|iH+AX@zyZ;o6`Nbr=h-}m?Wl%-Wn!tC3|a_beG&q>?;ls!{Tspq<DdNp;#== z6idYgqPK?0wUR5uO3_=x<Yvj<8YcHi{-yYcNGpGCZ>{*6_@?-0@h{>b@ni9G@o!=P zgCEovFD8p8iRofU>@0Q{dx?ETZ%vcIl4<l#{o_Q=C!l<>I8VGx{Hb`Q$O#9`zea4l zw#hw;zfb(7_=w0E3M^kMz9H@tIdOsMpNe0K8~|W^OR=@sRy;*KT|86d{0EjBC0-z2 zD3*w`#QEao;!^P%@kVi_xL({W-YISqIpc!$KQ2BkJ}1_SuZrH9CGSi2)-3r%@|Plq z4yZR)Oc0xkEyWD6t;o3?ESE2yE}kh45r>MS#IYi$fUx{U;#~1kagkUqUMJopt`Rqg z)#7cUx8}(X$((7z`koeF6l=xT#5cva#rMQ|@oO;!&o<PTDss9C<*s6$*h?HH7K-PK z6U4dVr6Om&P~UR#XX0vct9XlexA=4MVewJ%De)QcCGll(pZF({^K4lEQSnQWLraX0 z6%)i3Vk?o;bC|D-m@A$to*@np&k=`<W5w~}WYJsGC5&f4>MszxHg)A5B4-6LeSmn5 zI9wbfjuWSg7m0JlOT|TExp<SfN^HEA%DsxO5q~K@BG!tpikxx8_I@gI;t}OIkz?AF zQ$$WOqTE@;&*uMVt(K6U7u$=S#BO4a$oCGcx4$?@JX;(ljuXu|9P$@Qo+dVaelAn| zB5}ERgIFO}iq+z6;$7msVvYEK_^|k>xKrFMJ}bT`){3u+uZw>W-xA*u-xog=4~s{| zI`K=91Lo{UzxRA8CW<uNGy7W%iS0!i^)bDh$gyt9`C=cjpV(g<B%UpDvIWZ(ietng zahg~n&Js(-1!9@FNGunZiH)D{D->TTt`|3p)#7d9UE;lBjrf4LQ`{{+E50b!im!^V zi+>Q`65kQu7e5pai$}#e@k@~}AJ~taQAjo(FW_`T#;1rOvAx(y>?Y=j`C{YogZ_#i zB%Un}6UT`Y#m{uyrI5I0q)NuxDS183S}5+n$l57bASK5{x&L!>a(eaZ6$<6|%*B7Y z<FB2<doH-h+9_#x>s9xlKm1r-dQotF+w#DMTZ%Hm*QQxnZ71Jx<<#;{;k59tt*`(3 z)z`&Eb&pw3m@ycm!f#&&+V%Li$3z_a&u0hB{<DJvk>K;iaHU(v3=Q=<$Hc@=1spRN zHNp((`D0#So+r8c3NK+Ef9yyi7-J6QU~C^GX_7k9x-z3bb`B8Z_t`;n{09BMF(v)x ztwhkzgDdbO)>L8_0<nHBO&sfIIGEzBNzO%vxV!PsS(j|yU}RZw-I#y9L|+{4%PeO@ z@-D!a<&WdIma|b}kcpPFNtqMl)-ZK*@-rrLk`+i|@|I-wQM}EeIs0t<bIl-0`wfT* zm~nj$10lpDvNd)A8$+MS`s|^*5WJWoE3}7ETo2!b_1VKsbt^6W@<KY-Y{yD}lWUkZ zVhZAXD}zltfE-(EW{>h;ZsoOaW{<RUn%RXuzKD(Wvm=sh4tm;r^J3e{MDkMptH3`* zCEKSoZIR$KZPWC;K(al`PU4ec!)#S2dKPQ+)YWEY{kedW@nLw>$2bOpE$oB@%<_!k zhveg$SWZ(4=d|d9ySn&n`^-cWk<c-b-=OawST`V%88MdN%?<UT5s4644jL&oi4viv z>58S;8q6QXwqJ+2)V}zY0}!3$YVVkMPK*4+eu>!37>g}34(BMYA3x<a#8|8%%=0ti zP~L*^H_^oe$3&74z#RipM-r#N`>X|q%=yjPob62JQG_xFNiXxL$V?4HnwQj=*T#3t zZoTI*mM$Hhtz{LSW0q(aEt}zH>mwweppE3zz7tF5&6zQ8@+G0^GiMdg4|OW*)2s8u z8M7}9EtoTB*8C96b3(<JOevl=t$5nR{OsPLyqw&;x%m^P%$z+DhC;JuPU$gY>eL>{ z)_wMz*~Q(9Cr_E#1NpLh_CRvv@7VO2v!~9wbXu_$Nj^sr-~2Sx%mtI?_RMuHCFd`g z2HT(P5_SpAhV*?n4CUc!VY<nIrDVuS?&r)6b;xMrB!@C+D43Ns!N*U1T{5|BQ1PtE zKf%Y7jHMEFOrJS#()`lN^X3;%YOrYQnH&8{Dc58Qt$@+du@+CpoGlWCw#oSEn5ZG= zo);PzGF@UiFE=lzcc|06;#tM`R#)fHgtJBs@8R`u_xwq{yHA-ndG^$j(7fWyX3n2E zXLcy}wEUhqr(S4XQhdq$Vpu}Wxpc~`VryXEi35j^K4-N1f70mU1(%j8X3*%uNyBDN znK*jRrSqm1PaHCEAbUDIbJl|5c{69v2wysT>H;X9&%JhR2Sj@Nm@R0q+B+utJ4(|& z>sw6Gj1qQHgWZ;EH8y5)sk>Ji498|)dI@6ZTM<(~?>kqFFuEh!Ou#d9n>k~4@wAXp z`|tG8D;&PKQXTW%E1vkC;|YFN)6}a1%VMtfU*lZsy9rnIA7aymV=a3@aoK|G@7iPk z<{!R7|FnTeul&>PyK=szUfyYV&bw?g&fHZfVH$o$c-z(ro_XjgkAmlRc&-Qmz8mwL z7d#gc(fVdVA8)9r4?mU>eLqInD}$hQAuf}AhVq=3R*DEO%(TI{s6OOnib|V>aCE)z z;2w7;;#e=^z3o_naJ0U9=nEmvb6(mNh=|sA8*Z)eXY^qxB%*H>!d@8!&3k|5^PHD< z6C$GZJrJdjH&R~vZbR5BLmgd_z<0Es^Mcigh}O3o`n>&yO^@iSL6~K7;OWl6GYFq) zJjWpYBchk@RE#6f^)e}q`F6vj%Syc@uF-wECxD*n*Q3Hreh2wH-ITe#bbP*!-i{ZO z8v2>fD$K|2q6L!qJq77f5c#efH2=MNN%zLYO?(Fzu>OeT{nYo_fwjkR+TSf={(JhO zqP`LTkFW#d^`XIez2e3=k*kI$vnLvyb4<^%e|TgzILEz3k3=|_mlf@3*OiF~bh#XR zyumqEW0^hJ;Akh-79#tKzXh}dJFOwv)%zWn_V2O|n>pM4srPOw+Cg7D?vr`#_#yUU zysptsq^H<dJX0JdnrA-b<MoL7r;0qlDPJxw7H<$&i<`w;#e2l<BCl1{^P2dc_^Iee z=P{k@v61aWPB^1{rWhu1?iPsWk~ndS#9|Wp`GCs!1(Fv__P)7wqvTaeuNLnUA0<)G zpCqH1M&^;pc$`j#JZ30|#P(uWv4?nuI6yo{94_*Sf_g3x`P4~yj>zYJ$_vG%;x!^4 zOPOx=18DXGc&Fmcaf54**Iq=M{NDC_DVaxlqj8Z_-H{Irb-*2eTqIxFk3TMAo~nP~ zxX8k&aS=1d#0|md;dS_LbL0L*xsk)Th#AMSgXbf~F*ZA=nmyr*xf44W^LMb-W*tAD zFP4Y8Gnz3YrX%R{$GpNk&ojoX<A?E&VkQJ*rZFxUn+`M#ku+nZKXw9OhDa8fO#a^+ zU4C<-1^s_OWMC+3nrin3V*NYK{|WeyA(C5@`yoTzcKma0*Q$F~Tz@1wcSzI_$(_kB zB9=oW9K&($lBgk)yOlXH?n<WKll-#Dj3JWiDQ`>W?^C?p6M`0{;{}Y)<_I8%ND3GT zAtsTnv4`@=<<XqT`s`t>od>HsL^7Nrk43Wro_(&V&Kn{bVGeo>k<4RBceF`EB>NHL z4w2+G9wK2!B-yV(r+o|m*~vumjr_L>{}A<`ArjLM{1?yu_|Fi@zuXXs`9vm;<P#Vo zskQ7AA0qhy;|8V)Q8Ufl&zrl0Cy{Xj^K5RO*&|W^%EKndG}1Ktn{EHrJ1=~f6x(IK z>+TI%96K}5=;5Y+=Wxh3^rCNPPc5EgK6qX-XWoJki~s*@sD$@L(ccIECytZ+`+p!D zeaGh@TqYt<JoyMmJD-HMAoF?8f{pVrjjkg+pO%i7F9&%V*V_$op7Wl_7>C>Hh+BZ? zMe1(_&ph;$N5Ok-K+r0J=et19d1>b&B3d8q3(Ap5eY|J&^zpgGD}$h!WA;7+a$Z^~ zWG~F5LTvbnjFWtcN5Y-R&wAM&uinPvBmpFZknTCJt}74`y&aF@UX-_^)RzG7>05=c zR|Y|=0}`0eb6(m_h=|q~JsyC^n~1*K5cbMYM=$6*k#Ukgpi*zY@oCs=UybzTP#N49 zJVWqZujd$~e?;{1osDOUxn3rvG2d=@?1EA+=~u{ix+j31YTk!>VJ06%K2JAgwvX;r z_~?FlC#j*I`Rw9tM>4|RF^{0t9vk~E19DznWYl449mNH#KO$2R-yEL$P=%>8T3<en z)BcF}oTtx|Jx0g>XPo5k9Vhwj4~)CxB)IhJ<x4ws{6Auxq!09P9AU6nAf7Ky6laRF zMP3J3ZmGCJTqkZ7?-cJ79~2)IcZqL{M?{_^)NdX#L7wZByNf>(&G-o7M^eT)e4cm# zi4*8zv6Mu<g_4&@=8DS9$5$ClUoUx!<ZYsPaz^?ylu?hd6M;-endcA5`vQ{Z5*ZTP zi(SS41LGyVdz+CG_uuiyOZuGfcu5NO2i!4sB&82#z>XxPXlapu6TWv+?hjjSoypf+ zc~<$Vv`%5yhNKiWBmp1#-4yrRJVtGrhVbdUvGbWD-}~mlpOFh6Bj9KuzIk9qGRzU- zee+-v5`3Mx@6A{VzIpHxg?WtjzIia5g@ZADsVW%T9MD(^K6VhpNPlcD5aZ`p4@@Ti z3oPRI=b4iJ*AN-tf$z9qJ!mvmvfUjkq1}ZO`MN<|N0yCz-M}>`c`!0ovW}S_I(Doi z@^yo_^O^c^!&u2=%D>WB36Efnm2^Om&VCOW%vcEnA;cuIHBn<Ftj`@Qxtyuok+vBt zsX?d->-(;;5*n^FjFq%QcAjDwD+#O&1}?MOH8Lo1M@x27|1JD?qN63zRwPb?b;<uB zgA(p67?g1LMO-FA7%d@~9JTx{v+#Zb1|<Y@_;43Pwl(1ehAj0>;}YB(px`J%1%puq zgH+%ZJl4>JS4kL}5N><E*U*GnqFuC{ii5;w9YXT|B|{Uf$aR8)C}(AH9j>{dOs6TA z=7O2Y@DnpL;j5S4-?T8n<2={3iHtd%_*hBAEaG3n*2JvW6WIz5QhdkA36lOULy_+s z89}EWe_-SX3~BLrhz!)2z`wht2~Yj#@e@9Wc#h}ySxu9c`LA}a`3KvW{M#=e)CPTn zOWwc4xJf5(hk?BRrRxUo-o6>p;y8!*{LMV{l=*sy+u=DrXT-ZVZYIi0<4skxzGcu? zjzo?(1mHb=7b5JHLC~5GUGFm>=cVyIqZeip*O7Qhc?d6!uX>{E_2c*SEyS^2#(VWH zM%XKZpp}k<5Cd{v8sBF|>x+J#j~+K!iF{res^Iz&(Ld#0+Kr9$9YrSQWBd46;<fKq zguOBdTAUA@9u?sQtB@AmK0gK)y!{qEZt@H1%b_wjE&%$Grvx&C^uHFpeCK1FV=m%6 z=jD3}5!eN#Ui`Dj_if`QuOUNJHI^TZ<FV#BZZ93*pG9v+BDTZpm+u=l$$`Fij0X3g zR~H#|SXy7=!qp#<#~U~4hvW2&Z_yVOWrAKk6#fz8CasUD!0Qz^@}FT}l7Xt&->zei zH@FkAF9{(#`-Hy%v@humdd}$m#&52<iTQr>lHT5^iF=>=_l%qHbuq^W*dCJmmK-ep zXWRt+ffMg|<0gl&bJ=fn93SBkO7eby<US@tVtes_I&LyqdWyx%MKg|t`j$$*M!ZQ} zC2kbAiZ$W`;v?b{B7d8FxR!a_1M!&ct(VL*<XAhDJ~=(zAr<rg_~R;hCp@mA3*#~4 zDu3_%oQKhFn-^dX&^30jL!mJRrp;W9B+Spb6_WEHqrCY!w<E!s%ouNe&VMn_Zy4jv z&oMSv!5CUm!1#nCeGSGZX8g||I}?${_~bg1$sZ*A{u!(`=zkqjU<3X+^Xxkj<<8H6 z*~zuZT@f3{MP-~7k(oKX2XU^8%*;83;`Pb9s0(H%k2CWP5`%H+OuaEOGv{Yay~(Kc zWhJ4eLZtH`u$-Tn`8x6TB@h}LpS*!$W<Z635HcjPP4-Y;Ug#59t2-fwcO;2ylRbPF z{;!8`!dmTfxh?ogYZErf9$~IbFg__~THzGLo$&k|n?=@9%YWwQ{AYen^b8H_-(h~v z^qzSqJU{1qjZeODk0FZ(RA4opyK~}C@ow{?3{zZ_ly6jq8yZ#=8Mrv{;g^4jQI|-& z{~rvvm>zS7YK}j?5*dv8zcq4#Ujba9qsLBo-S-^tQ~%%1(cy9VpE){SU*p%(xQ_VG zXJtIc8kaA6@bcv#f?;!805TtMp*-h3tuZe88MXw^lHRj8^UzZs1@Gxb&?<ueTU3M> zJQwlN`bweie`AggJ{WK9L|a;^J>GUKf$X&vLF+{4=-h>yYu;Z|U-TFX@7bgEacxfK z^PHE)d;I8rc{oZRA5pya-G;DNhB{7kj?S~t=k2%XF_aqV%b_wj&e7p|1D<1$p3fAd zm+v$@Pkh@L%5LO|9z)^UQ>P=&bDrwg5#fcI_CE4?x+!ye>0X78?w8u6hJNPriq|g~ zT8SL<2wJJw*moI_^Xej_$57tK1*|_Jk2i+W3CC%FPZ{Xxi;6PA==guc7)qOCD)4&6 zjr?bfp=6>ebh#XRyuqEw7)o1YXP@x5;DqPsxOXStx`MN@J>jMQA8dQl7wzL%z?tGO zaisWTajIA<nrC;ETP*no@rTaQ*{gDIi64nyiA~UnY#+x<$vp8tb9B%jxMnS6KY@+M zPWWib_|4+2;+^90#zwwWJ|6jv#zuN-u;YZrMsjm=dghs&$DgB9>JFSn#zs=DldSF^ z9B#I}rnW9v6H{^ZihXtc%WLcWJ7Z_YY_^uK3I{6QJZLQ}T0VHt!$+)Uy=q6+`MU;> zeBEnM`mnlC=;;IgxV*5v_VxiUJ`oC^9S$6}1Fi2LyB)bMHaUul+T1?iS)|#0Th-dD z6T{*3F?IgapFR+XL;0Ks2fY5ox#80Eb4mub8CKUJ>1xQS;kFsRz8~zhH^po&D(_oT zzT?>gmc6|7*-!LOa}F$zV>_(Fy_Qa_3l+5vqb>P%&H&WcHXLr_KWzI~4h}xiI!sMD z;j`|awVnCg9D~|4q@hKprPU&>znv3q<K~8zp>7U0o^m*<OitK$+Pixv?Oz|T*II|a zxN<dmrsJWG@pCh;J7~qfa?p+s9lEo1)9`f%?bfdx^tEn#=*})Se)6t6=<D*zL8nW* zLwEL#Ka%9T?x54xIg(^s*<)&7-@f;t)i>+Vodrt|S_OL#+6C<o-8p{gL3{k(gTC>n z9J=$Or3ZZ%?LFvR)Zx&b3zKq}9&{Ea<=JQSu3d>bi%`3LB+36I!Yfhh<U<`>`;H`8 zPa?b$wN5$IvCEU-O4K;@P{+Pcf-4XD3#J|FSP;s65}``eRD7u8_)y+Xgep<j^g|sl z@}030p-R-n?JGa%#6Ppw-k5C1AFzEBQ&X|LtFNMC?W?FY5au@9eeCcpAKI<?1OLYM zv$y;6k>+myX&so-B?-B0(*sH2>JRO{uO9T7tq$g6TMK*{zS9ovjoJ8<k}=Dl#bT(z z-fteX7xr(Ra3q+pr!KL^zs@>x`jvsr4;--*ti#=}w72G=Ek6H-)IeqIN*)oXb6@_i z91r$J`~)5Tp!^l=zl{g2@v(<)8$TwTl<z<2ACF^V{KkX!MX`r&zv%bqQ5+c;tv={m zIONc^7i~nZK94vY8S$})s^beYW5ejb0FI7$9vw;f@kg+(Qm47K+4rX$^y7%~w~jq@ zb8G0uzO}pj{$Na(A%~WBNy>j7A?)qGFCVn~4mosH-&F^F1vmow;;1P=YYLKbhh*X? zDu_SQ-dDimX8ahmZOEak$9D)1LI~O}T6NG`7<=fNh0uW`&RPh4@k0)kU-U8vT^Hd9 zYCYu8mGL=Y98d9k(T0kHRu{CVOH!}EK|kt`LH&NT=&CO65$W%;>R?O(_jBx_TMJ%3 z7{C!8gX7I%&F1I}6om48X9jTmKCm~iF?O?W!_50%M(ZjMS{DsDbj|p)Gi}o&Z+~cy zw{TVQ=d+bKve2J*EVNI<akVg|7IE=7woD(k4Bzpg4awJf70v+mR$e%m?_<u^`}f9d z_HT7I6fGZ%YZ$I6))AC1Z|z^}tc-p25gb`dj{g3>V9jIiA8uAUq<`9{e;E}{4?pmi z{%KFXx2G;xzNgM#{`2=3xA;A?-Y>4(xJ$ITmbJ|<L~~TOzlm)4hcRNaW|bY}t4E9x z{}q`WF0<`;W5jPF!TAfLy)oi}Ozz8ng_p3DKlV8y7-PnkgRwN+YZxOo!^{5I+YpJN zXk)M!^mB|8BgE!jBk1SySuy(<HP5~sssjG|&Hpp-KQ_g8ZE_E!1#RT=MaGGPb696& zoH*En;`Pa9<Uh+F<N&Vk28qF72c|~GiG!SC>AT6O<v4M`j1%Lx60tu^egTLxGh;ip zvYm&KHQxNjc520DBn*QIVyi;riSN(+U0OZOa2y-g)x;;@%Vd_XTPsuY6Xxme=I023 zFT2$gAaSPU%Q2xQv)PthlLHOmNOMzak9&Z*$DjpVoZM}}d65>3l}6TjzKL~PFwSiO zK96PjE^y;FQU7>1{1n3z+_HRb^-Yu>hA)h?Y?9lukC?m2<Y=<GA&i#|9Z|2%#pK;@ zXp;7?af_aW8Jvq4=#H4=Y0ULg?ve8;9%8P=6!~bFTuE^W#TxkL>>Rs%3jSjdy#@EC zeH9b!i793<ei?;*@F$g)S@zYGE<poYmj1-DuW>V;R6517uVt9a|D^m30ed;cJc``s z_6qJouF9TrHB+x+ef+pZ3m#nd^*rEwE61huV&V<l4L?R=$`=%GWL_>bp7QgE=)<O_ zTt>w=@rb=2Vym+t@|lXxuH;JOsb&GMacQ)2wp;%c|6f7Iw7Ev)6!K3Xrg8?aeO~Ga zVq%*RN~hn9a4HVVblN|6HOFTLcj3KA%wfLU&tu6RZ2P#hEFzUl<J;p>6Nu5RzecXh zQ84WjW>4j59Qc@D@vu^PzM;Oo#KcTbW%}tTxQ}%XMj#e9i6@VwDx41?R{bP|{wQz1 z-<H+3Wu<LdXIs{2>f@r<ZCPJiR@avGv}G-sURhJe?Z{>7;J0idb>Iv*6<PoONw(1o zkOjO2$YP@xAPXM509jnN?XE}GF)@_Db;shhvY1)J%v*%a2#NVHDR!4G!&@H+TE`4$ zYzMQfShMe3%q;2H#muk_S@dFN&7;J49E?)UrOqIxM2YCDlhg_=gw`@jMCMi*SOjg9 zeO_Q(!Ubk?aQx&NHE9f_V}z!)iGijz3CM@Nkk1?lWUwsPVDrjmCSbC2bL@|kO%|vR zMQ6c&r7G+$Ivj}>U)PXO#&C$t$HC-SKi!F7gEMElRY>%Khbb(<toTOpn1*~fcTMt0 zVjMiaQM{;%fs0(Btcn2^{H9^7TXYn`47N2Mp@^G>5UgK`jqs><5b3EJT?N6omiXTu z-nJ$X)i}-_tk7S@z-{nxXmKDb7|8NhQM?BpSqZ<pJ;TU=X~9UMrJ_mf4CEe3n8ot( ziEgsJiJm~PL8d;_82sazZ|t<K(ZpDI$C~f2Vqm%}1gjX}EDNM0Rxxk`JT{k?LjK&M z$0y=6E`>LR87Oy!U=;)FT_LfGfd}AG_&V&{JK#~+YZ+p_c4Z=P!kyZ%5#t*+Vqx?~ zEOa-5@0}fMVPX{n?naDn*oaZw7FwA(*1Sbk4ecA%(7wn{XZ!Aex2^MuwWieN{wfCU zfOq#}689rTcR!vVwI2)p*cI-692>PC3mf+1*r@$j*sveZ_gdpvs1J1;$M$rBPqY>! zR{G+<{<?LU)vk&$?$H{t#%62pf)8Naah{c4#qe%;eVP6b2%0a`v#GDbBcAvW-o$%t zMLd5^+(WLg9fE8ZVxhn|DyV@ESS!Z`a?`6!*kqYN9k?<=;T21&7~t07F!zdbf9Au- zSY=k;DOC)Pg14=)!~^g=!MNjk!82FS)>RCK;n4(QiV2P-ro*Ga+5K_g?T2S!?%l`X z8P9D<H!T=NurbWS?dfE~IaOq~8-F(GcIsHK!R-<F%4n+?!Tri)pH(r!OXq%wy7usz zWUf8Y>AYq+)&gIZckx2U;Zop>>|!dJ4sV-lmnq7qVJ51OVBbT<k}3vR3HpL1%G}^m zV3`}_{n9ON5dV$47yqAy$4SV8V802Dssp}sgH6%zoU_#o*N6GmPw+pxlWnzZQPmBR z+-2WLU=OmlqRwm9QUmX^a;iw`#|Aaz9ES+wm~%Hgwt@H^yg2~bxcA(6;$ttqp{0cl z+Sn1s@%a4$9&;OlI0O>SHE2{OkPZKhmh;;+{l6{2)5qHnDvH_}jEXvA-h*fw>=j8z z0C8|QQsj7`crF!z*6{7&JHT`2ZiV;U@zR+uTHoua%xCuF3C^RydvEv8TQc*1*FvE2 zf}QSgHjXpCUf24Z*u=<;3hWK{W*z-rZrf*e<rIp`Lpk~QjQ73WL--8&??#0jeBnGk z#7~>w**zOkza14qxTbsP%tFkw=;d6ReRIAWC^(Z}0>_8Vv-vsmPWZt21kh<-fKq!# zg@(67xo5g(_Z;pdjKhb^`K5Dbc15m}kZnF=p6y_b1_<q)xdl$bf=C=nzcea)!lmK% zJ+5*dTT<XWg(L7We3$%3*=Nqc=gi%xkQ)`sb@~@LJFV;<&J)PG3xOF^-KdZk73wkg zlpfB)>CV8Av&R}Yd@4R_eqPpTS^TK^RBp@gtX|H>CF9WCkGe0wx6FTFYi}5xJ=|Hk zWV-W}75dSP?mdQfM{W4#`q%hgdA&96%&S%+5>f%A5W1`&ixJ3$FRQO~u0+AF*=*&x z(hfNb`xWAO-FD)$bLXDfHFqw)yZ$$PDZXi_ZF*MQ?p;sKNbl&J6LNlL7dZD|t0!hV z#qL+bvz^QPW%zuSZGXeUBFdbjVbzPqh8+!Gf4+R)%mu|GrcdXhr>@Ngo^o;6n`3M0 z%z0BUoi%yh`OIVtH=-;&m^k3l>C=nnS<|@StNBW_`CxYG=&AE2FPK_#&g@2p9#dvr zJP<Qg*k(_)`4TwazZgS?W9%#3X(q=+l@7uqxIR&?FNOcVTdCDO&5o)6a`n{IImOeb zf7gr~enp#K$|k2^`Pqigg?lTknr)3(ZZMy|x;?dI@;s|FvRG?_Nr*R#XPz4H%_%qy zUn!?;h*=bcds+D#9VYDX6E`6-A7=lD+28zoEgGAMOKG${3V)M4$KRL%O+(9qSI1rx zxHe|Fe}!|M?|S>Jru~uQhpZj@ZR^Hz7yX-?qwrlbex=NFD`0FwNYA<V0C?U-1oN5S zEim89ATo`vBYY74c=@^^Pvd%{?+3iQj&qa`Fpl#*c6o`2@#-CnaJ0=p#mTtMLnHVI ziz4POhV9~`g;xeat1}+$e-Rbo1!pwM_d13TUJtN@>%4qZAx77`vz=w_gk1ycWqoWa zc^SM{20^Q)xn+eIkn_^!L5|i}-o<?vPkm@=MBfU8y)p<|wa^#2e~e1I8sTVt70_3T zIO^kh<F#)S!qNId7~J@SxAUXY)*&3N&+6)KAN9dpBBGD)TB$Dwp6<(&+;2d-lAFf# z9q`fl?!%4ax7nCHf_&JWY`SqLr+vGP$$ud=dOIc}UmukryhFzfbw|Yqu^mZ}xg8wW z@%p8)jY%c+@uuE$UR`8VcUd`j#^qxMZG*6Dj6UjT-+AW-f>sfZQx5rh&eP|~9;4&` z5jG~=&Iaf8iW~FK_#QaAG0Mio8%gu(Z!B{!G&p__oX;oh6J9gE?R((vjls8?lklo- zu6O^6>${o(IoNvKjBTUM$6ewt#0SLRitmV@h(2@*%O#5;k*~oRKR_%LIa<bejxmvD z9s{^m^1b42@n!LSk!u#QTp|vBGF5CZn(xXWo}(O0A0-xvGf3>ii^Vx4PLeCdYe<x< zki156m1K@PGW|Zu_e<U-n(xUX-8^4HFCTQNpC=(1Ln6JIWb++x$b8sldY00=OU{$r zUo24k2+0>n=GznMEs=bQ<jW<Oli2QMBz{|}6>q-xih7?^{0oYIRs3%f<^C)-!Kur3 zn(wpXcPEcB(z!krd8X3M_gIl{yyAZ>{#5B}C2thXcfOJTUZtbQj6R5l{OzEAUeicE z?~`WS0PHR~SL8LA>HWlk;t-MV$(YVzHFAnLU7RD%7Z-`;;wo{ixJA5KtP#!m1O5Cx zVLeZX&xrh8U_9Tqk$)237e5p~6+ah)JP(jRQEVZ$5>FPh#4cjCXwFZR=jtug!*^-q zU~#xOQXD5v6!}Jw`R9tXucgd4(&W`5-^5W~C7SabGMA}g{H@|W;(eld!Hx7^N#>%o z%x@-4f&V3$?;08Z2k|YDFT@ysK>S$zOyqk@rkl6PV3On%F<lIa98YC_4y2Ml63-F~ z#B;^-#R=jxu|zc29q5@ad7-#iyjHwkTqSY{o%Qq0DtWia0c6S#h>gE@U8{JG!7<;P z;(v>O5f6wTi=T;Ki9THi;=JpD*!cU`A;q^B`RbSTo+f(Vxi;4^#GC6EI7R8R#d+dS z#Gi`Sh}VfL#Wmtq@fPuJ@#iAnv#>qhcdotfTAS-1;$Ktxo8sHzd*VmpCnDcZQ(r(# z7Ecm6PoC)^v6I+M>@A)y4iLlQaB-w~fq0=<EY1|?ikFIu#By=Dc!O9et{1Dt+eE%_ zXZwFCJ|R9O@;y7#Ulac*zAf^_JJbIv@*#;bUkH$VT|)951Ic&rWDl|N_pApheu#Lk zI7+-gyilAb@_CMW`Kq40LR>0dBi<;k6xWNJ#qHvQ;`8E5B46xN|DVJU#Dn5du}<Xs zf96jRn~N>Q#^1;8qWEm_hkhTsNad!97mIVmW#V#?Mg(l%-6CxXC_gGbB|akp-#Z_e z&!{Zl_;)=;@gcFj*h%ar=7<~@pq~EXAn~uF(bufue!&~`;<v5l^f>;y_qjRw`F%ok z$G52+#+wq{;;~J9L*d!fZmvnKNZp*e^_1lcN|M(Ue6}r=x+SUd`OoeN-B*&fvbx?G zQygr$W|kGVHhD|();5*Z^?{<<nJu@pT01Q*X=|%3Emj2%Tpj2iOmCCCscA*?wbp_D zR?DreD>GU*TVrKghi6=Qt(CMnZhiADDeHpyPo)JbPg>n%ZQ`1QRq-q1HzsaqSrXjT zwCMbjrdvi7RhHaPG`cRBn3$WGm$)*1l~pmi&YzCkr^6p#8CMnD(zhhN<cg9&_?mj( zw%H{Qmo%-i)-J8LS3Fg3ZwqetY39;;Og7%QqQtl6se1p4o%Q~0*5)Vcof!zN2yTko zxU1fp;aeYb*p5l;nV5%8IJ~s{l>6hhRFwR-E^+61CDz9Dk{6+Se96<$Xsy~+pSWUo zed3I#QU1yLm>C;Nd|Rl;)tuThHIJI9wItJ5F`;%)czC!b{HyTT@T73e$|u7wgq<Ua zcI--PeR9dmb^h{KP|M48DHSXcvwmm2Jvg-l|F^|#c(&de{A|5FV_Zq>hMo1nZD)g4 z#qyH0l9-ALluar}z8M!V_uvgBD8FrQ$vASxdbHTy#&`=_5(n=>>E|H%s1voYmA=!S z!&dC9kK5*3`QYAB;R)d#;YY%DxH^1yxMld{aBxe?=94ydO>4H^>Qr1i3iib4_ZI>y z2WQ5tvDWXxHpXn&jXK$LFQSi&!dB;zwKr}@&Nj$7G_Yk)UFy!=XyLB<m~Che@`u91 zGGi*wsNM5{wPMc)zHR&JQp)$$rPgc?Ul~3rTogVJ_SJ<bAG118QCJeQ`44se>U|&h zvUb-eWFddnz7MRd=j#0kTL}BI(0UW!B_pr}Et(N?#Gi;fgC9QP3!)zeBj4cXvBlSi z_l95EixS(obtCrwe*TF4ua_ol`K;N%{X_lz_n+enZfdf=TTyub5IeEwMMZZPC7v42 z46aUC(`4<EqYvHJW@F3E&9|g&O-{QW$8C!Z@27s8`cCQ#sm&|WRwY%oSlxPy)qO~9 z()xXM?JM@xO{=Ls;*Y;8Y;}a~W%Y!**dpJ?<{OeXy)^RGv~$82W==1mC3>f@Kc9Vv z<2LK{?Sr?&wtUVTb^bzpiLuYq^?|J9+DF4p!W}YRMIWGFhMrS$dCBhj_^e&^v03>U zoiluWKH57Y{BpAv>j&%~=sY#j-}~VscJP_KNn2ZQ!P)0es%*6}b;H`=@RGi@Nt?4u zx)v3cloZ`ua(B_&CG#^=!~2Wcg`LB;os<`IB*{s=|AOtwC22(?OD-r{S+b#MZ%I<# z8%0Sy?LIAQQ%cfJd}YaI#J^FLGBbTLt$at%99mR4^M;~VXTlb?4=iV$7mMECo3yc) zU6J<lw4{nw8<Gz@PHXhb1)2VmuHpFbKx_xB%I&4wJ8#E+KH2Vzm^N{>gG$oEXCZA_ zNib((Q37n}Ki!)yYgW8G?HIR_XAqCiU%qGVKh5>ZZ*yL~{TT#4+%$Grk>Cx)VI@e; zbA>glc`~<y<^t`DS&6cKb2Hz|JX-l;ha$JXHE*{^%tR2M+jxw5jDbSo6(%P3H0FV~ ze3#$I|G}6AEZ~oQk&-{Q9VI(RV-zfJaJ`B2n@2x<niP>SwCupgNPi5(9A@|udnph| zbUOQ+AVVx|GM%oj`D>OH%by*mn@PeN2eBM&aJowjT0#HgRK#aLC)@u!AkLnO|N6=( z?}_Ma4j#nwE29kX$ur@PP-GXd#wirp9(yRoS12xJ>abD-ISi7>M%d>v^_LXc2zvy@ z{!o{=lc|M96~rcGIhK7so0X3{%_e2Jk~SDAPf}~NtjSd59LJozXKX^-%?qf7#vDy( zuQ{Gl6@PR8%o-;=kF2jz<k*TU{t`dii3cO%kMV%tls#xqWaFKc4=iai)3Pt5P>Zz0 zWeB)p3v3jw_nx?vB7Sz2`U*EW=%0%XYQ`tk<69kSsY;adM=xO+bBxttw6S6AvBri$ z&ms|4hu`tpQ`pu-fA*9Hh*6(Cr3qq`NFzjAB+3@BX;dnP$(y-WiP$jrc>Xr)JRUu; z&a2?&M;wPmVZ%X$OmHmG!357E%ze1EvJk<DD@O>HY{Yf&j<s_A5(X-aFwbAbz-Ctn zRxz*@K9<>FJy%762a_qsKp{MAdd5_sg>Ea66PY5e93j|-5MN>!qTuEw4ET+fOZ`<0 z(BQ+dE)7;O&=ejOZZ;KCXbo>$=Mk!V^AfLa=zDZYROOqGshpb*8yR9EJdF=WS21*v zNf<+D3&xRrqJ!ZH@i@F=l`W}a;CVORs|OoA4keAFVTs%4V~BG281y;p)1sPKv*cxH zpp`M&TeF0LKf+@xYp|96Dhhl`R79|f!e<Q;iB%N7f;Z(0(Ah=ikU)7<Xk0e_o0G^I zOK@kn4dMA?+!*|)ogK`*h;n$Sdw2;0IvA>>4u-kz!N5^o*i;3p7|_8`9XS~8KqQVQ zLi?sVdfz-8*>LPYZ?mzd8#dYOc5ibbG;AtLa6{+8Bk1)#T3j93=37whPSb)y0#;Kv z7=LQm2Vop{gc(0ZG&#ydk0JWtC}i&OAct6>d3oee!ifci#&O$Tg2!eOweUXc(naL! zCOmf$`93@>%PN)x^3xffVrm*m@FNG%zHA8t-Qb~$U<*vG46q5dHPPEnWPuXn9>D*1 z;9<~7q(GzJtq4UfbBmdb__qW8Rd{SV!G_=jAU-p}LV^ZoXlf<*Vm`_bGCFv87{?7} zohV2=1aGWQ8Q@l%{l@@nz@g!<Vt{>MjuQsHgvY^*&cH>30vnA@tysbU_mfinRTTO+ zL<FlSu-8m>29`IZ`l~2xY>2>N3E@$AlbwN=8dCjL6y9ry2v$+xfSt+CK&ILF(Zty% ze*U7WhMh1PZ8z>K{2zd_C|9|J<yxC^qX_n&F>R%>ZW+97zS(BV@r-#CKHl0IG!c?{ zmlCkXul7$^?Pr)>*bGNw;IBD<?L5!o|4h@bZ%1Wh_z?UlI?hby`EF(v<z6+>neD2W zmF?~lo!#tqznkS-%6Rt1(e3rRBRURhjpOz`3m=_E`9t`CHEy;4g4KS8*_Mu`9np=B zwAMXu8aKRKLxJdKe@Cg~HIrQ&-Au|y;RDv#)&BEW`x$0;b#hM)w~y3Cna9-Wwe$OG z<XKb$k82lE;2t}7nPZ2S92`jZE@?PmMti!DAK8uLFBN|{ko7KeA#G}|g=2YHEQH57 zJS+eUU55Fx1Sc#p4IXErUB$p`Bh0g_7~r)O2dXb}fE&%K%^0?pL!jv{c?~>%D+vA! zBkyfX7)Uoq_*g>M{M#beO_K=)j4Q(b+3?sTf<H+}zc+IEPDdnq0uCv1%oOdS<x!~7 zXZ;z;Cun&2ziVgt4YSXP;pGqcI{J&2-Op(ja^{7&WVQPr;<W6OoeUz=$qWs3row<S z<TMFowsYoZI|(70MY{iIWjiTI$<E9=*_lrrdCpHlS$WQR{hYLZ&Ww<gHl6>ovz<}> zoa|6rr)9{w2xyk=oR#gIS<trUnNDKJSrE$V)Hxs4n%Ql7<+F}BSYVcfoEE4DR+OwR z+u00r%eSo1DNa$g^T?8Z3_Hc4;cUPxW@wX<m7mqMO^;qZ@>uqfB_U?X>VbkL)V_yX z^4HEwR==L8%Sq05=4JQz(ZIIdbK0EJ*)=@He_D`sa7sf?5)z%6{f4&f4y(tLd$19c zv)L6*vz<+@QnUbFl;di?7ZqkZ)k{KnM6{ictk9Xcu&ji}w$5ePrqHlFXD;G$&*;{J z5$Nc-p%=0;a=T__bjZk^Q4D*~tW!GYF)coOXn&+St;hA~-Y&C;b2B#hk5;JN>1~}) z7l)j#A?Lho^S_^|tJ3~Bur)B;`2gmf>+BHN&$-hMjXyi5+xW~JX6eR%ulILmOn161 zZF5SSQ`-HgM~}{@IFCTvPJ4Pzj~+ct_-T}O<3fz<>O2mWPubZ@-ihR$DDt$O-3Ebd z=fCWNl5Xu#PrGjI%AJ?7{gsOg@^KV&_c<{6#4~xXe5_$!I(ot61;v(Y2YGH!nQ!7y z{l@y{vb#KS6U(TP)5tk6bGA%NQG+VA{x0K7x7`CTorkf7W2`G1%p#A8p4+P@w&?rU zB6Ckr&q^}#6}W$x(PBf>I3m(uSZfS6ziEsaF~RgKK7XLG<>aY&z{kH_{=?J3kbwjH zhB|R;#>|;BYkp|@oOz+MMhy=QzGO=Av}wiDLWT3@Of8;2f6l!5okRKAy|a6U@^W(X za`SR}hdSZQ^u;hk?HrnbB0Y*#q<j9P-rc9n!`JRgLi36*n>l~xoY|q=)AD=foO+>k zR$pARCl^nif)Rim1Y-u`Q+UN?-G}E}fhMuT<9zXf=Kd7>B)gT9YNy$)?KV!jo#|_5 zx5uxh8R!2lo5^bbci2qwS^4j_ndBk)Z*DA!erp5I{tLj<<-3UdcYk{SIrfs=PoDFF z0}#RXdG1vtT<$drkzU#v2*bQ1;)<|vaw_t*L=48DEb8OE^||m~83e7N7-o8n0XZ+N z2(lMuQacp>gbL)mG~Rwi*IRb7WrYyIdYRp;mt%fj83e79U;-9mK+a3M7;?0}^_^Us z6zYqI_w+4A*eipebt?2FF(BuqEruMe@2)6)iAeMGRU+(_p^CxKHx{>2p7YWw5D~5K zVd&#_vVBeAJ$=;(vkcE<x=ksVE#xVI%pm<X(aU!u6wO7P=e&FmAOg)P_2R!mzSBJc z^i)3^6=rh3R^M(fxf^NG+p#mMUs@o|+YWRC>y5Yt&_`P}&w2HdQHQ0Ki2K$YL?Zk5 z+DrDsaoW~X273CUqD(M4{vTm4$+6Q0$E|H}{|tM{=BO?yQYCx5!8yiaHCpCgXmGTb zJP9KEq#8{>#$M9>RX)~Gl9y^YUgQ2A8%h2!(e~{u@oaIpI9{A2&JuYXvmDPs@+z@H zTqkZ7Zx`<s?-ze9J|Vs!z9oJnekF4Fg!Q!*IeNl(*xf`Pm$b3tPz5=JM1Et71$nIG zBBd8go+EjI<i(P&l6<4&RgyPLHnvyL`><qVV+HwD$#08?#ZO7Jhf5x?eSVx+l$(-} zTS(53+)naolFyLLp&I5NF4@>jL7pgiy3)~Yrhj-Ae4EWcs^ZO~DEhIxWFAz^-$(2x z4itxo{LNv$QQ{PFx_F6bju+%xDA^o0kgt_&-cLd1wVL|Yi?@q+iyYr$`a|O5;*;Vl z;%nj`#ka)+qB*Xhr%v*hVhr~S;^V}YqInsIcyru=rzk#K%o9%+&lC&AG2#SqlE_8L zS>Gk1IsPD*NiG+ciPwui6W5F8ctknRCh$(h^DP<mKO#OUn&TAldnNx?<jXkbH^(jL z*#Lf|_%B8NATVDOF<Im=BIC_*3wD*vWjGk$U*wP><)PvTaf)b;U!-3mnXkW?f1$Wo zY;5aSsrdEc&7x=Xw?pznVq;ss=M`^kkx}1kl0BQhw<R0fWTby2`4f?^cGyn-u#$~! z{ZbU4DxNGF+hyeEfD+5~5xEKq<$+>j+dtmNFnzo@MVu}gTV|xsm3%x~K)xHLzAfTy z;$32m_<;DRxKn&ltQ8yE0=}d8_r=DxfL|(}Hz{mKte7D3Z7t)C4K;X*<j!JG(X$Ek zYydfA$a0<yAeW?}JW(tXXNVVzmy1`3*NHcYYs3xWE#e*GFU0%BN5!4ubK)NH_o8P5 z_!r4o&ds#9PW)1g!!~d`nuuoA4urY%0OLD~o=spM$^FE^BG;8={;}eCafW!YxIiou zmxx!1H;5G?-<ebIt>V36jrdFP5plQptjL$_EdQo>K>SFo7rz!$a3jS0sbYJvlQ>#D zU%XblUfd*BiF~V1eUFGQi2o(NDgL*Zh-WV5PZ9@+VUcD5OrIjo6E72&ir0t_h!2Z2 z9boxa#W%(O7P(F@(?1kH6+ajGK*Mx?6N#kl0oh6niTpM+<GYGI#M8wy#jsc)juy`s zi^OT-CE{F>#ty9K8u2D^mAFCNBHkh1BW@QT6dxC#6lpy{{jZ6C6yFxH+rHP<^04j; z@Q8QJ`l68$J$#1wglr*C&Ckh)CFF_NLLP35%J68PZ_r>1xud2>Mb7FTo2=zMwoWYB zSGTj~!IJEXA({RIL*ggx-;|J9*?CR(bsblBTD7Ncef6HY-8I(XfmdD`?7XGZ){|HI z!p~YgQoB}kT;C0rjy~8!1$%W_owhc$a!*}p&7Qi6)h#R9hFflKwKZ$`$?JQT7calG z{LbaSEPs1>F#qH7V6RqdTUL&&3vPzR*bTIVqJ84nrNP|Jn=)3yB5uZ>5B%^Jd|<|P zu-<d9>g3ts72z%Kcd{nb1=Dxd?>g0L-y=L|zY}0S-xjCp+4^1Q$E^!i?)xA(<JtX| zFT6hnX}%fz>XLTGRE>wN<&*V$pGjC3j~ww?JNE};2JBDt1-8akp|-52>-WxRvMv#6 ziCF{o2YjeG%i3yhngFXzXr9_^byK7@RhpGG9xeB6bvB_TQ<GOGAuUO1uxHvBvkCgL zTC8r4_~tXh`+aDM-?S>QDYgpj=zY?<6r`oh_|*|RE^M|UFoSDr#vcjBU%z)>UD3{c zb@$eE+k9m>@j!yz1=fmZ)^2&<?{8o7T>b7Yd+KIYk2q|_@2M++C1LAr1^a#R=!b2- zE&H%G=d<;@Cm=O(Pu()?i}IcOJ_uz!yFV_j%RpmoSeH`c-}GGltEca&y8-FTcgB_M z+8+$=`=FhjiX49XR9K`vTmR~WJ$02xuR!_s*oK(EKCDRV-(+oueIr`uuO6}g%^cSE zM#9?(XYC(0sQ><<XVFg3|4B1v!2W{4F`qQ^J-Oc*oW9Baq?t87oUvhOU2x)O3BkMz zGhvfBBz0x%C(QzBt9I@W3`$=!w9Y?l$<cT3%U$20sw3*CuFl-F%UYZ|B^7I&CSI7@ zVQohoi`6?b*W|2EI_%i-D}Pd(U6r}H^CoNM;$XXsjEulqY;`cW%D1ND`e0Q~T`*~1 z-TE4|y1FaEu?K>IzIFbIy--izI$t+fXzuJ)=SyTi!YAymzj|AKhHq=)k*|C1tY5k< zjdp~wIT@?(U$Q;F&c7%JW%E%kr_R^!8RYfn`*ZBmo~*xWTie<_uv&%PVHo*tK)%g+ zSpBwVoqq!Izli*c^6GrUdZMmp>sP>L^xAE|LHXVF<=av+QnAkJpRm7^$}OB(u;I*| zlX=$up#!XSKJ;RTx?oNaR-bG4W^RsO??2Gr&Rp5Os>jyHf(uGctIgb+xv|5*VBYpv z|B;~c)4iEhRRj7wQ8}ROmF6D|um<8hvD=np2J`M7FulmyZ(DxYGN#q`fh}t=9rmn? zn8VjL{;lR8oMk!ih`(6z7yEKy!?-Az7wmcWfJ4xH&{~o<EiH51zPbmhTjP8mP@B1> zOGf6FP(~%{ym~<X6IRF$4cZ@L+g&RW=DM@6lWdlv@QD4R?E<_Go?UBonN_>O>Qu6N zK$AhCqRcgkgPuI#*sW_b*W0JXEYE^HWmcVUf@e>O-h(|QVrWmfJT2U|&Np<~a(~Xm z^6S%SPnjos%FL~K%iEQgE-xy-fBCKDb22|(-X|Ojo6O$fx69c(!QNJ<O|=(n@4LKp z`A?Q#Q2y)X8_Pdm9_;-_c@Wm1H`Si8JhOb^^6}-rTE3zDtL1+z@4KS)mALBISF9LX z{`iWU%D-BX)SK3zLFc9N_xE1*7laZ!Z{Ab4U}yC8s>^$6;f;Uk%-;iZz4hChLHj~H z=zNVp4H)fU%Na%#3@lG0>_7tV`0d~bWOA-$)TxCCVv*A4Avk|vOs^>>CiXJsF-we& zSil&645w5%G#d03USncnr!fyl8-16XXW(EAhXS1rj4A7k{|#%F()bZIKTQPv=1JD? zKb0AS{;v@k(}N|a!t@&9a~LkMXEJ;x5@GFmBf_xuJlWp^ax6zIoVNb+5$S`qC&nNx zr=3ZnwdcD`&5}rK&sV94PoYkGQ+1sEE@EKqi73k|!cQ)p-4^|7%sowrqYDYG&|!9g z%}QBW0*8w1p+^2QWj?Cf!^{`i+aQ?8me}W-^uCnY6nlh`-=N%`a-osyDW_BaNc%$+ zJA`0j+Xk64pAtJX$efYMkzy}DXYv?(&rwF5jjb@opreh*duwA;I>vqrWq2!Xj7nXR zcfd``U{&ghPg0~=sVlw(F_B$tpJ%qL9d0}lkFe&kMjl4_!Up+W$W1vo_A>nMxfv^% zSYa}^z|CADN7^KJfZPlfcycogyn11GB$BoYu7=e{18+xN4X%7aM1w248r-ne^lhkn z51tR1iJV<%uQOVHLM^6Uu6#p8t1DMQwvtluZ*!#nYEyDYB&RDs4cXlSS9a@n!&Xy2 zstm%@fX=P|HlyWTYBBY@a$Q8LD<|OKvy%GbUpjZR$$3vhNz;R_oQa%n{jTiR?}n|W z9Aq2>KP952#%MVMrQBY0<&hCBuIy@Y!&cL?p@GAWcSW>3WVDn<w7BwB5iPFlYH`C> zQ)=Mg(4mNyUl}d8M6|dvZ;0J3a%ESG8@8HK!y@=@JS@!~eavWim0C<cx$<8kT3z`I z$X3!g{F}{^Cg)QPB~6dIa+5f(ephzucf(fGhf(+M;U9=-`JK_y4W-;3b>%Z7T3p%H z;)boHQncf<h=$jUhEWj>u3Q|^;L5HBH*7UMiZ;~2=kWA1{qUyIQbsK+px$=n>#4<T zohw^O%aJ&brA)4O8cLa5uDq3{&_2s{Ww&lOY&E43T}$}8B6YuSv}|W7qt%t&dfl+q zG=vf~mpl}y`%t8m+jFkGJ5skRyLG!^E2$cdTEMf#Z1WdJ!)qwvZjCE{M%iqQE63p- zUDE3)II>YelhHKT&6v)YdC3;KHCjE3yPM_a;*|kezKSUOt7*mQ$mX`fmB&*yt#IW_ zASd-f!PgoUWI}Yoa>!P4Nu(7~>P%Z*xr({0<cdhPPQEJ;@ff1r#=0`DKmLw5_M4xI zjK{aYk2bsaH<;u9Pb~0bIwo?J+x~%d0}{OjfVIpoSH(?`1wNJlrs!GVOY|)8B{p?e z%%z%UGR8Mc2Pbi<UB)K6Ywk8b0n>c`(!(_WefCSl@%{xC#KagA98EAQZRHV+IKEea zsV`9i5A(POmM}2S6%H?Ez}z=+1xp6_1_5hP`l}e=YXk^^Dh8Ip!>so3;y_lQio#Bu zC(w9!F#{aAHR=bU852#bk%C+iHwYov4HIKca4ex_J-j4J$-_&K5OKVNfaxKjP2>gA zxDNPV2oKX`f}8Hc`j#a1!ayA>ar&b)S1kDre&je)8f~pu($A0OWZ{iK`v8s)8i4^0 z5<0Meu3~_*g(3K>82HQ;0#yv48Z=-mx0&sWGO+BVb|8w)VXE7tASC1R@SjOomYC3P zIU2QFP<_L0LG|1%OX1O$KotYa;IUhdMs|xio2{_~w;Y>uG<px!M7jXI=5+vqw_#Hr zf=AHn2?QJZ0mnx}Kj8RaKOBWO#|Hy$Ka7dm|3?=$bV}r~T!x&y^NKc=eHrrG@SdqG z!@MJ){X97iK46Vn?H|3`&+rbV@i&fFYtPb|VQw?aae06|?C9uvytYNhp(^9Ptz9Y5 zAA<MlX1EL<eR|ho25vBku6-}VY?w(5p?0@rg{%D|SNpw|nZzI3j6-OkYgF&n#Bh;Y zQ}tp7roek`WLV9pUi=jVw;68dMKz;(@egeV@6-B4)x_|6cx+S6Vg^{Pw+mTQIlL!- zUu6%Y+~Y<Ojw|gB>m~$voIrbBq^U;ma$KhzFo$PG){d(pQD=e|aO<0+4L;a~kZcpK zhF(*m%;?#}ybZ?niTj-QZa8TPSL-OM;yy(gZ`VdGA<MS%UIS4L$|F}PHjaJgv#uy3 zH@o3Qq^o|U*8@Ilf$0girIB-{6EuY3v3Z1h#TZ5Knu3cyuYSg{B@e?RC&8+*#ooDK z_7tyxN8z!7JpBj3dzRh|^LmKOEiVVWl{S{%4D2uhEWH`vg~C{R^HPCz?WwF`%L^M$ z`_X8CaSd0x2|LkKzkx@s<7i*bFn>Ox=A^LQb@12>w>XvoLO?xNMjF!q>xxn@o!5}F z5g)Z%81;QcqV~F{g;9;PFse~oDC%(W3Nq^Z>f&ML?(FltA`MZIjbv1#>KXNYig`pe zDw5MsB>Eup>Sb=XDUo^^rAof7L{X$fr16aUo)U{9B}RGGmPMk*eKRW35*GX(y*yEL zc6&;A<!E%i@OMGyqnFG3slacV7sYehEqFn6RHK3oxgrI><qFUc70JbS<+qu`dJMs% z9#?=xUR8X>UTu;i+kO+o`%Dz?jErM0mTZD~X^TG#c0Tj3ojd$BCvuT2Mba`;;ygli zlzF9RA+F3MNA?1v=9#F-smUnSao4xh!7gHQB7aoB(Smz$CE*-KTr#{`(-A;^I9wd$ zc%AYbPs_LA--GAL<vE6r!h2^|5c?+)J_(*@f#;&*Iz`3v(BY3TpEjza;<@XO!t=P{ z-t-*9-cw@8ZLmq=2KR%f5l|ugICvWVu-j-L!F{q6o;}W;?78EW^YTTPuZSw&xXvP^ zaR+;j>1V+AhaUvbwvB=x1J5=x|1@~dMd#(VczKzJ``mLIvHP~dSHsgF;SPB2)@T>K z|BTVc|A~1rc)K_iM{dJf<meT?dekcArVqFr7k=zs^x6G-bi}-nlb!UCQ=HwQgVU@Z z=Et<<>=jO%!EBCf=f~5X7QyDuCD~4gY$qM*p|+Sdaem0@9OD1e`sF$cFn=W5c^tDj zdi3kw-5FirbT4q)WIuLI7pF<VX}K45!ORbL{>N=^<UaOzzB6R0b6Ph4KaL3}?^*?z zc#@5w@a%!X7B8Yqzsf#N{5U7^*Ij208Q!Ib^U30+PK6x`=Q)QlIRhbZDHi1pIY%J> zINN#F4mJ;-<UEKu94{cYNdc$ZJczW{?d+k&Rk>4!o^x(Su7$}WWBBhX{=1U@dhlQO zNNBh-tH4POIVJtf|7`Pry3=(!=ax7(Lj9-qv(EL4eVuJ*sjtBK>ZjwJWxn9=e&fv* zITZ?i)Z<5Okhh;xho&q?nNRF~XK*G8!wqqoiE=$!`I(uC;${t*YU(%><tZ#={u9%o z{d{Jn@u^dLT9YQ7HEKxDNoQM)CZQ;Pm|0cY%ubn`dn#saxJ!y3J9`2ap#P&8DyFVn zw<&p+SrYsoHqRsKHTgevj>~aox0ut)od<)CH;a3FEp3>VlY1)niu(omnG1^-{G_xP zoiu9j=>N=D`TOUq@G||aYka?ttK8o|Uj_T>Kl4?*pF{5sq9Y=L{Ao}^zL!Dt@^ypE za6s|Q7yWbU-6RcAK4r5iu`j!`0y$6L8IUn{9C3a$q!6REEfK@JEb3#ibK$)*2wJ<E z;M?X5$a!f+ki9UIwl~E*d^2uKsUdA5!qN4P$;Rzdf?F@^^Xi=wRR%%p$~4OgF(BvF zbur{<ebcbyP7>m{9jxBdw-jNo41(6p(6=)x!V7Y+F<RdO=&Qz$H}!Gw(9>6muvZ2_ zYceJ>(SFr)URnhrqV=U<6qVaaedwZyzG{S7CI_A_km=gxx{{m5^lk9b`Eo<n3C&lz z1^G^7zRFw3*9UcYj@wJO8$NnF(y<+0KVvXDvK`3?d&e?@R%+W5o3B!H%8AWad8@;J z=Bv0Xs<U@p_e18Z@S1V#d=>LM+i1QDF4b_n*7$I+^0?>x2ku+)BoU{O#kH2P>ntBK z@fyYSo?>6|EHNzd8ISqKiN)eY;^pEZafNu3xJj%Q9}piApA?@HYsKG+e-aOhKHF_i zvKSJ3i37!<B>HcJIGV&sF<rcv#CBaK`3lL`NWNb3TFILv-ywOM<cB0bBKbFxUy%Ge z$!|)2Px1lDpGmHl9Kdyn?L0|rFZL7%i2OV!^YIaoEGDr%e0-#QspN9WS4-yOC)3SZ z;^?0Zl)+n+{&S`8kZkPvk^f1_#*QEL82f!3Pwyz+*zF_VQORGEko~y8uw6-F28sIG zid__M*5pP0zLE!%kP9TACwT&idZ#IVj^Y<cULtv!<O<QVzrUF>>gVGw+w~xcdVVeW zY01w^{;g!Q_Aa+q@y5;`<v6ZNJzp!I9~WV>y<!H*?G?Ky{#26ND|xVFV^<G-V--J@ zM0wASzEttXejeq^C0|cMu8_Q0yqiS%pNkyFW&8LY5$6Am<h_!ACz&sMnC~MJ<-Ij? zzf^oI;#fYBM7~y%(<K`_d8BuzjDG8-__LHREP144V<!(iQxrdkgr6@iQv9_f^cuT( z<X<Owv(oPp&04sq_i@S3DW6#r7v<iN{70ql7ynAadv@@CTv)k%%}CUnBH65g3)$Gi zBR!Y#sIRZm2P=PpWPWm$<;P2&F3u-W-{oSt;*I@0@>fXSEP89+{#^MVQv5Cw`F|sM zujJoJep~#AL_6ywJGhTzxgd${peYpPRLNPAJCP`ttN4D3KTGm3$)iZ*J74j}E+6`4 zNWN6*izTlhk$#ip4U)G?zFYErB=r7L@lPuL8Obk8ew{?VeTp~s`_O+-GEJIT-`C1# z?Dn~T@!QGx6cREW_X`epL-V@|nfFnQPZiU}?qaUU`!eRkt(W0IafoQl0+BvJ@)U8p z$a`v*pD&u<SIFg(SBN)?YsHP?t>T@c`Q1hNhb8Y4pB7&dIaJGf|1ADRJS2WBelGq^ z4Dk00<>JLQ;>ltMv5VMOJVP8To+Fz1rO<bt<RWpJ=*{n&D|w-~STvTSC~tnp!Aixi z7jG7C7w;4KnuOb7e$T-tB%9xL$TUJ_IxQthe&?EeN91ESW%GLu(r%Qp`CSL&`MU_3 zd8r_cHz|ii^Lr1uyX0K4ugD=@=5IXDZ>-|Si&MoJqPZ_XxdoE15SNJ8h}VfLMejag zvt&MBv3_r@e{;V8`60#sT6{`;Ml|;g$oH~jZ~mXR=KmqZe=K4p8B<R{Y%aDGGsSjd zwnzg2w$I#0fM-ZH_YsiKmE3rq-$ja_EnXvDCvwP~dO2)P-Xq>8{!)BId`9%v@PAeE z>!P`jfF5t&-Vw!rD$<gc^(2el{ew3@FIVyAJ_7m9kUT&<R~#iy5GRS=e7rf5%fv-u zrRc4}&lkdM&%NTq;-lizqBsBUx02rw-xWU)X$nkzF(Pe&DSPwmvLu`P2!tEYvm2=N zA>#4Y+%HzXnPREv&A+3yG3z(?7vN2jSBV?ME#hsWx!*we7n1K6pA>&1?h*HjZ;9`S zABu;?I`K=<;qNuVv7)&jf!soJJF$b<T{QP4$k#`5Kauv))K@5a^Y<o5o+6t26y%#D z*}Gp^D0#7Xop_VDM%*CYBHkhXLcCw}*6!aa`5DohANaClb3cRj(4L#^|3Ew}9u;9B zWzzkkxvxR~R+4Gr&GKEuJh7KZgKnl5h&1S?e4$t@&J=07&Ges&G~K3LA<}f4@~t8b zwkba((qNnNbK+j{w<1lmnf`%j?qeW-DVe6(OgHy2APul7w-dXF*&<D?nLa?IsWs*E z#0$mAB8{q<zCgT6yjJ{~xLUkL^yU|O^9g^Y_+8@DA`PXf|8<du(v%N~G?b?Nl}K}F z%E@Aym?6^endvzq4WB6w6lvB>d8|mYX38@~8Z1*@B+_7+^3Oz?B2&Iaq>(Y@2Su6- zQ+`&YF)-yf#ka-xMB4l^{R@%Sy_B1Xv;n3Z5^2^;`Ajh^7Kk+SW%`drTJut#E0&AP zMB42#{Z^3%yOei{IIX^SUMF^o$?x4KH@-g(DZRbeNi^e7s3%7<$I4l6e{qm_wm3{2 zCr%WL#A#xwxIiouz5D3pl5Y?z#7ePRyiL4IyjQFd8{bzys`#DaZt+?1Me%j<58_+m zJL3D|hvH%JsA%cFl154FFPct}G?gGz#dI+wwijte!F=7sK4L$yzc@%dTO1}9ietoa z;zY4ToF$fu3&b*!CLC->xwuTENeAN_-?wj8e6@I+SR+0ldi|Bc{%Y3nyym@@oZH(> zGY;kU?3LFWbBRyu84BfKHnI0VHz%i8uU_~jOKz{;c_#k&-&_f{MrF9A$v0@2XS}th zO-1_ZHkfDJW((&Tmsb3|q;*9&^UkzM`!~cjuWY#{ZEecRlUB7@hq-hW*5OH4E)A}> znyyRPa8gy$s)MOJTmL@2)tcmrJ$3ym5-Kr6cV+6TQ^JXxo376)b4sU|C2R_p-dUEg z_1e-ul_gZ|Ds7jMxXPb#Nm**y_OhgnO)KLof@|VdewmJSdk@Dh9f5hCBfcpA{E{8# z?r6CQvo<T7Rj-HfMUR@8HNOx4A-p}j`g335AInyMZq?jb=35iH*1x6lb1M{FcWxN- zMJpyF#kS&C;+rS+37aqvw&G7^EZwT!_hPI1xO-aF2bKq`;#Mx%5x-$iogcGggEcYh z9t{VohVQr_oK|mLfqAnPu~q&xE$gj&n%7&)lj>tC0u?Rm?MKRXG_SYs32cq4I;q}X zeiG81EhE0T_VeWW#L39HBOST@J^lGf^>OJXnSoVK#a(;%hEuohtLs+XYSW*?@dpC_ z<PBD5>+tgOP`GtCxV8D`_UTD=HtOtzmZVlYtBO<OHpH%ZXW(T!x}`1LG2)9GK6UB? z8_wAgyEUfXxz|CxPQCAuIDGkqUpc86;6H5pCw+0%7YBEIy<=kShufVE(Dy<z^p5!A zx}&pqO#0&LF9KVfmC*F@Pj>k61s&@1^u%roRIVTJH{`nEuRp4FHoh44hm*r8b@s*m z%b;V^=(3oKFLspda8`Y~W8Mz`8f-giU$P@+V@x=%-g+^vK6bgY-d}~fzL>BhzCP}v zv1oJo=Sy~=mPcZ@7Nr-YqusYT^>Hsc%)7yF_DP_!X27H`uKZ#jYF_cz-FyEOZn8PB zB>}T*-)$ZLNo;J#(q>g7_J5FcYKHYmtS^)?rF3EDi2d)Uj@W-7IrvFz(EcRWSytyO z|8pt6lv2@b)smyn+?P?cuWlIT5*O}lzTu>;k6H-_{Ba3si3j`%r>5<x8;0Yvu%`Ly zlh&kjZgTwDwau$qZj3$h^=YlEtoFZ6TaBZqu)M{l)H;7s+IbVgaR>Z?7N6T)*M4p% z&Doz|bxPeffHP(T_|}PC?>mxF+kboN=k}aUpW7k3=e7ZXdS7yjTI|y!wuQa=!urqc zavX_86`$Lsqtk=+iODFBva$7n<O6$8+W11U^GU3gbe^3*X7H}P&9`KyHD8;ywatbH zgXffVscpU~Vc@3Np?jOJZ@$Twk(hBSX7H{YFz}W15<7HJra$Yh0f|LE%%D%IZN9b3 z_W32g(9ohFVuQ6u$F<IQvGD$JzMSg|TV&id;IqBWH~!ojlXgy8^U5@Cxj((O`TB%# zOc~5sCM2dkH*iYotpj}g9!qWK?KpONAinFZ1LA7WDr+6~)!A*XEV}?(;LEvnz^8jN zHJ7*f)||3n>4LIhr8~;5E4?`L<Fa(l#kQU=O~}a0u)6K89k)HHEVZ<-Y+PwY+4|Dj zvj300Gl8$7I{W|3otxw)x!i<Rb}ow`TS!7!#Hg$R0xCO*DElfRt0GkixPf8~t6>eT zSl24zQfsy1TD98ZQfsTWYTW}VC~9h`{r`Sve&^mH;?mal{lD$U`DF4v&pFRo=gi!h zGv}ET&VOZoxT{<EvwaPgHaGs8mhOQ6EAty%)O<n1W+N}^H-FPbSI&R=BClB_KUDbS z{12c1(R=HQ8f;BnS6cLkqABl=;IpT>c7@%GK=%v$+lPG;_TUz33n|o|*E!Ek<xq&{ zM$DU}IlTOKJB<OMlrjpp@?ZCf#wTq&<1M7m84KtWPI;Vy8EhGLnej;*L_|_}1K~x| z_`NY%=z8Hajv@gZ>_Lv}iAeZq6E9rHL<m0z&y<!7Imc}euaqeLr@DRV<|rMEc4q)E z)U6+G1HH5#Q~5Y!LqbDc{OCAdLlZ<p-MbmuNFoh&nO#a(`Zf+9fIHp&5Q57AEdTMC zbUEHYJKZ8Wm~G}e)EdYfjg{_VY7L_JGDEpGr8}5+DYS^<s5)$L8Ok5nC=1~Zr^xZ6 z=s6TeQ0xTJn9z=7vGS0Yv7j{)KUHK5XpO{02Wu_u>bORVgdrJgTE;7&#6?<0GtKji zghg7$Q2INh=qJo@?3+;KcWa`bC&YZ*7e+b8<%ix%5n2+XcsPl&dEIfW8OL(DX3Lor zo?_UeR5c=>U!r{y;!KEq<?h^#3TE-6aqgRp6+MqC#`yqLoLEEr<2R*zoxdb?jFVq0 zabmgnR}G!0N#`Bve29Q7la>)-ObSnaGs@R_k2-&cj-Q_qv(w?1y$m`f*lU}#qAnHN zA*7B;%$IRD79Iwjx*bq%$F1!iAFG?`#hS!Mr`C2yxY=l=P|%{ylSLaU9R6;M+cLkx zxJ@g@SmQQ{*0@cgHEy%Z%UB~eYuW~XG=y*RhK5KQyoFG)I!O@?@Gb1!IG_Z3H(o1} z8xK7!0SLaB##Cd%j6Kz`cjFZWW(33(?67wzTTaI;BP@2y>6qsWp>jHw`GRK*t1gEC zTPiL_;~5h-zP~hy&=JK!gmC}zohYPV&>E}J^hA7^kPs{alpkD9$K!r7+m_Suq!AW- z#_oaXqH2NU9}Fgc^m;Qqmt+a2%8!GO+1lVgbHem?g3mQHU;&i(29x*uCcDvus<vTy zAn)DFH=&Mu{Ce(QUI~X|4hR;4=?Mpi6;Y|(%jw|QBCOEdays}SFk>r62j5mg@XG0! zgdOH_fi~NaFz;)N^!xioC0MB%268#@?rk*25^B|ZOsh_T2THRuaD?XvkMMl|2y<SL z=gjwlMvPox#y`Sq5Q;`4)FL~BEpktyMbNF-9(<z<ClSRS#uT1-Ib990V;z&_<+h=q zm24&~mym3x1)*{_(<l>Tfmcq4-%P^;&9pPoOjn|C_n2D1W|31K`1%1ghczP{VH`{d ztAhQeG!b`IGVZG7yaFlis$kqT!MLjuaj#CsU9+6OJp83WR%@2i!5?nd8A~Y5H73nh z;1P1>FDF?xxGxP<YfU0~R%wh;UTkRY1f0beGc}tCRLn+QGuZ>Lz)02vrk*ZY?7KrG z(?AI?Ph@gABC`E(-VkgK93{7$4jyy}p>jHy13H4u2xg6Lxy=besGM1`xDe2@;n;#5 zF^C=5!?4|om(#_<qsJ{Tx7CIgQa4*NeH0>@J_^C~*~lmnx&$12SVpl~rOSOMu@gH? zuaGz#FJSkLKl7TF^P`7+!Qq<Mh$c7I-sI@ymVXF$D)bRd)WeRJDltpu@OZ*Md00m< zR~^=p#9_q(LiVVds64ze?5H0>0|Vnv$0*}JhM>`e@u!2Yl%P-O(p0jXB{#2XP)yrq zA-GK)@Gn>mzH;nsiaVago#Q)+n~n2Kmb%J!vV3(+erFQBjdMb>6ccPIVAl6d`F@Ig zN0?;CG2eT9Co?<ZJDFL3lfyXXu-kXCj=ZqIEbW_eU~kAUX-yzHU`MO%zm$$#<3Efj z_Weti)8QYu;mHFxfd}q>>^Ph(9L^FtS-9@LlZ9ic;7hz^oFk7_I9{HMu;T}c&~MH# zIL($kYeuk-u?#5h;Y*W+85Zb8w<oSK_=Qvo<_u(9coDh+-)t_r{Prb&!+6o<v>I!p zNVkIvCi)Psw44D0EnECR+WhJ8e-h6m{GVN{F++!cL`D#wW4D%wbn{mp=GZnt)M!`Y zVqy;_PPvlhsXf{zIw%9)z@Fk<<kaxi!AA+q7HIOI*9#`{4}y_PP>>&*!X#R}DWX6T z8)~hMN5yVy5cosvoYqz5E1Dp_uHA{9)4F~V@V^~y_S1W?-yiS~`aKx%XJWzp`l5It zW6SlQzG6;~Z*2(lG#1RkLNyn`5U5Gqn~~G*c-sC<_YHy0i+go*%tAUd_<#I3uWcd1 zs5w5zYo9ZOYsbvPYA{&W<~(3j-0KwQ|C0+_v})@;2n(OWc*~Yv-?;ZU7Pn~^!7?>x z$6+4S`gk%uPTQe#<1j;lwNdMi9u|}FTIYD<5tQRC%gKZ0@lCwNasJhZxFDxBBQ1{S zdG&IzNKSKD)}$A58Ji2RWDQpEfga3_PRsGSVNIW$?z!G77#IBwYq$)_@$%!|>2Z{) z&^zc9dZh>`adU>XZrP$^p7+_M<GgEJnE1d<=V~`6w;2*%!UE=Y8R%Vzg>`0l=d)1F z@`jw0*BRM%q2UvXa$%f{?wp^~w^{2`QR3G5-C!)VG~T*%%VsURbZ*)Ftma)>LbYwP zwq3dm;}SiLP>cv(vz!)9+YIqmqm8Q2Hp_C_dT+rVs2ruZ3VxqE@!U?iopV`?_fe|0 zX!1hu4mVQxke5Bfdl+7ixH%V$Nvwy{oR&<l@Lw<f%WY#;#u;a<mwp26*W3rZU6)37 zcptdM<GqcrFZ#eO#Ckd<-b-ko^5w>MsgL&~sQ%H-F-A*t{~6`+{baPX3x#~$jiUvm ziX-$VSUg=AZ`C4{Fjdm>IA3jt1Y?<=Fhu%}%#prZJ0yQmpMPzAoo}!u;)%JSN6-Qb z4RMTBetI}bv#q1n;|Z?cW37??zZo9Ews6Mmg%cO_;7U3FrEBK!2jZAENM*P|_}6Wa zdi<xGAT_~{CvV77`74U8z(?Z0{he(IAL`+^)oeBO7{;v~_6YWG((44Bn)wEQ#O?h< zAL#*RdjkQS7iBk_@5%7s;FsMRw!pk46rOf59H?Y^EZ1q+Z5%kA?(p;i9@aUrhTe%t zyjLIttH-&K0dGuZz&#h<M>AjMXY=K#j*SDSvj{`MaXPfwusM)}>Aiu5%7!1)L$ZnV zR={oJ!0D_<dh_YfX2ULl98B*Z(kq1@(~Dxa<y#N8jRU9SVf?J<80~x=!h-3QboT4X z^kUd;dgX94y<F_v216liI}>DvalcixdZ#tS`+xY^&Fb9^4-_X+#a}}2B&!5ckK3=X zTQ}t+(CbNuHkOauW7vcBScQ6I!;keq)f4rgjY^;yoB|lByaYeH*}TX=^_-`g`Hx4( z!5@dlq{sA`XE41g*kz%sCN`U1!b6Ur|97!o4v$rGv#sL$c;<{rhI)9K4{o06*-0PI z^vs~lo|W7@f0#Vs;i0A3u51r~V~Z!ZZ)1N{59(|aUNeriKjLq54fCTO{`D<{6Otw4 z+-c@dewFP}2ZbZ5(K|)#Ck_)wi&Mmz;$o5SsF=@{;<e%i@yFsV;%@P0;xEM~#An2p z#ka)I#8fnjsh8MM>?xi~;)2*u<h!d7I^~%nACnp1%#DD*nZpeELWP^T5Uh`6Gj|#C zM#&s^V*Fi_Z;{NmL(Jz9@wcLxn+$(rYXsu8z0pg<$wYl?W7L>3(rqLE_L8m5QBTQz z6+Te1wLvm-j}d>8!p#~ZNPnU9E|&ia$=68cLo4U%8yh6nOW}7YoDZoCH#SHJe@fwe zbY}TqQTUtkuaN&i$ww5Pf{Oy{mqj98J;`PcG2}LsaokQ2J1g9*8G`VWC8HY{J)Y6j zH~k&txkee6Lqqe>4!N`BJdxK*>J^Ip#DU^i@qfxD;3lPin|P0SzxaswglNtKl=qL4 z-xS{wE5(n*YVjXpg#8Wiqhek0I594^5&7nm`X`FL#r`6PNEtp(oG4Be=ZNz}b6!FJ z2a;Ec=KO*`zqZWu){8ag7Mb%4;XhUQec}V+W8$yGed0@^wGDVv@`qxj$hWG@-<+3V z2Ky_>kyX;1pI{@&eA!F?T(O6ElGtAyB%1RR`lBVEBTf;|70(x!ispPpyw#Gg6*q`w zqWLTg^k_@Xa+&iM+#~ttqFoc{amnU9hMqZ(!I$N4Z2|rw`9rZ%{7mG#T;><n`5F;x zi}gi*q?vkgk*~)ocN48`fEm<+f6cXk#z}92c%HaG<hx*|cd2-lc#XJ0EED+(p87u( z?-L&o9}}(Zz;7hKAQ~G)#Q(G8_rwbEWAQVQFZr2YRIDfR#TEVIVjGdu0O-$AR<gI) zUmPk96UT`YL{1!FyanP?(b^PTA$hg9PTVAJ7k?z)D&8UfO#FrTxcHR#Tk%Elb@5H{ zLy@0)W;r>vfwZ;=8E{jMiN>Z8?k1Akh$o0$#I9m5@f6Y8CJd20PMjceE(P<mwvHD{ z{(-nsyi&YQTrX}BcZfHNw}^Yh`^1ODN5p4DV-pGY?<5=BNVwmU{H}OFJS2WDej%pd zL6`MO7wd=(#O7ivk&{QL-(5UK>?;lyPZLLp<HU*LRB?_tPh28iB$kMmi*}8o>m+Xy zw}_n0!t&iA-X}gF8k<XmKOy;9ai92m(XMIqmgINE1L7gk*kmHTBa%6NhWXpIkBm(w z<figBwwaLINzN4u#FNBR#X+L6)kM6Jl8vn<<eF<KS=)y5q{k0jvph@1<>F=H)uOTG zgx*HU#-<Z8=l?MNPsMx0`$bL(V)(P-i{kIaH^jHa3h}SvH`zoqz%vTdZ!ESJ`5|ih zTib|y$u(^x2Fw36ag;bt<fJ90bFR2hyg*zoUM5~G+BKTCNZujdDBdD+#uL;3rC4(< zCQfQ%c%{e*Oq5;R!;+lAMAj2IZ;5h85#8=Po#UUY`@jOR=Kb#=`41J%b05kxO7bLe zn)p|-9vPU^-6gMQw|p~4+yD1XbGlE=?S`4%+`f5E_hSF?`Ac)U*X`a{72X|No3(Dm ztkA0L^=Cyg)<)OWUhf=yvLv=6t2}f4=;o2`ksh@+MmD8mUU$>ok+O_!!?4;+t66DV zhhZI^7PFd7T3PL`KI6l|sfQa~RUKMgt9)g3N^7@buyaQvx1wLj>EaxWtVqLr-V^qo zJ!?W$xW)KMS5&*VEo;7_I=tGc7?P2?F12E?x1u_A=89_kj8*i>#M)I(+V<3P%r^~1 zyX`A-x=h{o(OrnUd~{W~(}1dQ^s4Gi_o`}d0M=}AS5~LNoiQ`BVt|uT(I*2jH+ws> zyA5sbRTO!lih-G_8~W|rhnduGV&3wZRpC>S%I!#H=w;OrtV|V}d3CinGg2`y;*}%x zx9y8AtIiw{su&Q?z#3YYRfk$<R`g3*Q=QQot6XJr<)t;%;nrBC35>KZsg81$tJZzc z8cd^Bvy^h=zt!F0Am>$BhpS&GwKSumDE!Ftj~3M}8da3OJ#!0kFN|y*P!$;(=^E)a zrg>z2`o_#n%MYJ%XYK8=@~pDg(bgYe&7^@1W1F(B&))iK+Qi>wY>#bwwaUrvFstpX z71f~uE35HI^NInX==NV%Ig{=^<VMln;WPGS?06NklgFU7eq9yrja85eS5&95J`Rpg z>zPH-gKi2nGY>_h*WZ0D+qEgQPaTRDaZg==R=up+!4d2ib`U4?P<FJ%KIjxerv-H` z!|{eZ1acRrVsHwNnpe>$5<2zrY95W0*3epm<LIF7;pm}o^v};{?Z}-}N3(*ni#~g= zD~`mn_s--!FwO(q1-rN4zl(F-`5WaL8&=ezBG$E;fDkOBvlmYt-ZOmk=swu^q+JGh z<LPsTdGrjYaKylSi$257qfR(&0d=rr8J*?)A4yro;7D2lkX%Bi9X-QoQwTS*)2M{a z^I<q_PVY$gFg#PnFu7SSy;6q21FPpOhC4OPF`2Zew=>3v#Yk<G-1~9N3=p+;BD|1z zH^j_yY3p$0kBqoWV#G-~m-3CV*PxZ|-VVVn!aqzV@f*r<`9Q=;xdZ9o(|KkT4tEd} zJe}eu{Bs9W+-yXqQ9K3Ceb_Ng65>#X@=6qC_U>sEYr|#c&z?@HtC7ydnmUx$LW*vM z!yU%DeMXV(?u+}7f0Q=pzPJ!g96c!!nu#0K=#ME5XWR=YzDQ*wHpQhf+BXqeMv)EX zj+g?^7bwnQ=tzpZXNwMJTq91xwZ0aLIEv}g))GxW7I96pf5nv5<SbP$ID7Rgr>tf< znP#eJT3t6YvsdOxDO?F5Qp@pT6b9GrnStY!<DMEd9^dJd)o(HHl%0a>ETHPitvj5U z`$8~0?0oDF<7j(g%Z3Zn{0J)XL)`3Wzr;>A$x$y%FByh)2+BG0=F)(iNCys%Tx0SI zp`=DJDMsg_4mK*?!DtOkw2=ghh~RNHxG@||3iEsj2B)wFt<i7%ts&rR3_n_fR}Zrl z;r}e`n1)7Nj6K~?gY{wkUcrtWi1)F3&JU9HGy*HkyaVx&irJX@AncgRLoCDYYej78 z&zdBcgv;r88#|h^ca5er^3l1N_(;ucyj=Ko7*3R7$3Z1_Vy}f6J9%v|sW-J})6`bw z^x^;)W&jcUjdL7P<@*x#P!P=L;^d~KzLQvHoZ|@|IaDgyK4aKERmOJ&f%pDSCaZ$1 zhovH4dYq0OC7T8Cu)}GOIy#1}Abe2*vrWN&9uat54e;Dmu>)ldn@q=z1D5(u;>%^_ zPXG@fvf?GVfnR_VfL^|{7*GkP!TGI9*bqfx&*EG@9^vt(h+~Om#(R<BkB#?e;sNY9 z#`j=;ZkWyYhAGl;f`=a;&^C;r1UT`CI@sYIPPWx>wpEGoUS!Db7dD9^b+b*u|0l8I zAQA6l&-6P2a>n6!1$iAbS&t&vBFuwFiA`ejTM0LkAehHdNgzqHB~PKz?0y@tV>&dk z6Z=t7=)t1kY$Mp~7@psT?SWDyyWvP4;ZCN2W~QcpXh#s28{d({8tfrw$;BkQBpUX? z<*5ZtlYMCnk}(@^s{OuX77p?Ik|~AXmrRC!U-B(YkQ}<oT;Si%o6WKkJJKUcv2zud zogkaRc86rCN5I!?jq%^VfQ_&hFkAr#Dbz^@k7Tg9MRC^lCx3|D%%Y{^C)jaJ2sRUv z=ufhXBlB^od5wdYZX?^mP}gS1dhEiENznw47J`SeFYU*U$+JW%QuVOHL^&M|jc}n` zP6vCI=Uf;{WQG_vAEb%o%*N`SgB{I9@I=BS#onoO+-LlU^UQhDWI2L(7CZWZA<rVC zPRHlikuu?*Mi-d5y6nqF2dSB@DgMI`TiE>PKPm;cpgb61A*TYt|Kalj=T2U{c=puk z6EW)w?>f;;ixyAA<e{8dY>uz^Qus5tiO(#=Y`WazF-y>^9rqT*kM}a--kd_O(d}Lq zWz61d=3!<VX6@k%+6&{kJuq{R{+RHVj;VyTa=f2o-rcXAcwV!DHYewD{#%`RUVfJj zOoj8|;=S|ox)xv-TxZPY>)75qztBuAq*`~(N}Q9I$M2CZDb8aabK)pbUibE0yo+*> zMfZZ!Q9RB9T#(a^^8shX5v@%d#8V;-P+CmlEA;Bey<0H3?iD9~5*)?eoEeyWw}YQP z$IQWWOe+Mk<M|!EY%^02d10DgG^cgzjs>T8$uq?+^yU>8bS&Vkz*=$EU}16Fjs^KB zN=FnSuZuU&1fX19^P%cBk9YIN6nnQV&+(_np}ox~y1#y+*wIHyv*~8eo4#~$o@0>j z%<v`uBj5k-JUTOhZpPFl3m4`&2Kml+_F3;~=P#Kuce>NB*MxqjjT}7E|37i$^u<f& z%cuXyVH1bWo-$$N`AZf~ojze;zkbe9lit2!E}Z{O?nR3y&z#;5v*^Aw4bGaw+r~_O zt-EVpV16Cq)%-~JOur>+CV*CU7R@?;;o>;sEWtnXHE?qz@G<m$=TDz8W0CPU9|AYU zrlxVI85YgP@tYQ(I&1PmXa2~AQ=NHB&Kt=pEOH!FyjT1LHvFjb&!4*}KI8m_@jfF? ziw`(&%JgZ|5Np`N^QTT<wCMbWi`vHva(d)+jpyg)<>%$+_K2UbaQfWolNU{IA3qCG zx=dG;&IJ>Dbe^(s^1P|D;tQu=FniJL^XJ9$PAuq}+wE+pPcK~4CQqL{1$K+MaHjM_ z-KH<?d|H8%S}X0e^soDJcNF^nz~(xki(}DRP8-N}<HhrsbLRM)@-NSsvxkvK;rs7* z+qTA4+-&XeKZ4ziV}N{~4{lbk6FlioRUq|(KX&#fu~>RiGZX614*W>k^ms#vA=boJ zhP&iA^cuhikLBhkmgO3Q-Nu2_sgJ;?=+I`v*hQ?HL9auv7skNtX2YhzBbe{~xIN-` ze3&ouV?D?VvD-LsI>%wIM4S$7HVmiVQR$t~8e_`vV|sX&Po&3Z6B`Fkrw7ubp51KN zmGB6buV)}Vo;$XD+u*iwn8rY)ciJ)9xeQ^!^ade4){o^2&Y!y(GSlPv%xwe4lAF{> zkKuP?59-~CiA@{_vm3*>KZqTDYQFW4<C&g=ICi5Bw|&^HoALzc^`t|a?N`r24%TB> zpj|M8l4utcC()Og#0I4IIvv_<USyzpPQh{CZvNaf^wT_>7--WAco}EV|GSt!hvEe` z+bX`-|Hk||oF;+($`(&<-)8<CrYD-V;x*%_`E!BGGj1-Ao=6u6V{W|m{4;avc+KJ* zr>-J@wkV$}4i$%se2`%HRFR#R@)B{SxLUkk+#%j5-Y)W7Vf+Wghs9ru?~0#@e6plI zM^?y|;t3?KXPrdazj4l1U(w8|gFc5c>2J(QAy1M#orHY8<i(ONm3*1xYb9?L&0HU( zcZb67m;XbO%^V+un<p!z|FXhgRXD%y!19<mJ_t7h2$0R(9>^a00>dLDWb^a|`8dff z72Z~IuH<f#PnA4WL^GOp;@QFWii+7HPF>?~9^??-Su)RO>hroo7K$AHrpza8@=Wn; zak@BLTp*hM0{s<|uMjzY&UChmyF^}l>AzdNN8~k>{(D7UD=EJuzAFA%wC@5xmi)Q+ zg~%a!#;-3n72_fY=^5TbJViA94F0BnfurR=K{Wjg{<9^UIh>F$kj!y5rn^?$DAt@? zcBlOB79SM%il(0-9XqG&HTl0G@;w6csTMg<MVVs^WG#^|1Sq!=&0rSfE|Se0Pskke zquwdv0MR_y!+)6MQQ}$RL~({VM_eE-5$&9^63JJIW-chw-zIsdc$0XWxJSHCv~$WH zk-SfQNqkkbbIRV8Z0D36lKhEi=8B>m{6S?qq=}hg9kGE}^E>u-?r0~4cNKexHRqZQ zmj7wuDACS2n<#mz$TveQ*9D@TbGB0QYVlfeqqtezDee+?i}#53yR{EXwsX*aE!oaN zds*_I#J9u`!~>%Jj_uzh^HmnhpCvXB8;c#qE@F4ld><e2_$>v-=Vuhin%}oQQ~qa* zd{;)jW#S6a&Ouuv*?cb_dUnp)jq>N*cgEi>J|aFLJ}d4Me=oi&z9qgZ9uN<Se-rH- zv~=Xf{A!7OxkouJwi7#w`J(x*KHMiuHs9BWdywRz;z)6<c#b$loGqRwE)g#huMk&@ zeA~$KZ4+-0ZxZhm?e}mWmi(B=*OQF@vdCu`%5RExj@c)YkBGc6qFzLdiuJ`tVq7%e z=ZAhL$+@Ea4sLJBL&ag@BypN}u4uo5Yroh31NpBMuN3W^vh|X;i95xb-|@dk{`ZUD z^!?jEOYbk@hhnApiTF3s)f}l5F(%d#8;Q-t<HhzOlKI-XK~?(Qtw$a`H>XE8GuOud z_f2zi@^cHi<{Q;-o||(qZ))%e&F6CrrepqneW&vW2eY>9uD`Z{nU~Xh)^Y2%M)<wN zSZO27&55lX-Td}Qi=r!=HYlrg{a>6=Uj6c{4Jik`P$c)0hR-&vy{XRH>~)!?bvM@A zka2y+*68NfR>ij5T@~7WH@;ceVpVKa-BtBUYOTUI4i7b|PC1zM`86Nyt^0J{tj||} z-k{p6s$ZRX#QprTkLy*Zd@{VD^ZDh6S2oNj3w@jwYEqs0X-366CuUUaKgs(zE5rRb z%gfrF`Egd}se2;1X%+AH%qUH(c(+I7<E&`f$62Z2kF&z*A7`cTTZU(@46Uz?@6@HN z9gFWYVkxZkD~leea!PNla)!CvAE*jt-wR)FZPw>&4n0tnn)^UixFl`8TOB?as(7QD zTb6>nZmkOC4p@b+J(l3xdLLzdennNd;;nqQI`c3~7pV??oLcdAu7g~|6@Tf95<2eI zTdTrO*(z^OYH6q}QjLils6(jY?flG&ce}gQ&cRfa9<fs+NF{v}zDM|JTE!bo112YL z=OYAZz1tmHA$P~ERjKihRyjK&>)h(J&(K~UA+<wItJ9B!D&EY)HzG^DEoc)rwPJtw z^osX-AQsypt$uar(@1sN-y+rSClTa{@BCFgR~0FVBIVan8^qj;HbL9H(*yb`srW`= zycDrh>+H!yX+jnI^I4+fsv}2OQ?J^o!uK6Nd%eopjd&$qbxKuA#e3b-%h5_H9&1@q zk(XBSb^%Khs(3#iUvjLDAl0TNe*9Fl)PCfRC34rJ9)AmOWo}6SGpC{=*R9yk+U#bY z_>$w1RLuQ~?`^UN-*P;{6upXyuFq9P_ogFNe2;Q3TG~T7cBfZ+pQTr)RHH0Cc<i0+ zI9BitS0T5;^y<{VMRu^>PQ|+?g)81E2$hAmd-(2U(-QU}x8jXFui~9twm=jqhBm^e z0d99|%4Vh(s(3d)(lzVzRaGcg>Y(P4iVsfAI26fXjokI<XK5*=%MbtVjtBUS#=UjR zv$r}O&)oO(qR?h{{c%OkmVHIfU<TOj>~&6us?e`btO}jg5nrH;9ASUNkq0AS8dwhu zAHlafKjHT?J)9A&FIw>k+Wq5D#XI??ZhPH|ck)uU^Z2n8tW)ZCwooR{5FE`yubee= z_N1ak9Q4WX4!4YTiB!DX4aX{VKy%d8^bxdS#ND|2d1qZ{ZRU1oOK9t(A9{UiZTa<w z;r=Nf)NwdV3}3Ot9I*y>G{TV_Rr-3>xV?XK(ktA|XoZ{pT-7M_g>mqmu)E1YFX9|r zhki9_C$x5F;QN*MiYdZ+LLOBbEkoT;a#x`x;yC|uYgdOpX}G7(p1RfU#|~-~s`yK; zyOnK^mJT@`=kJSd#ThyY=U=>QRj7r#EwX1y^V;b9SYqaI_dVG~DHU&a!?{<0I=SXp zVUAg>DB}?B<WCr|@1eW$t3nf6RfUEkc9(kizNmY+PIbyhZqLqp+={pIQgEiR)zF4v z9MMpxebApP{pD~EIeAak=T{y{!TA%dc!w>Qg3t*_Z6dB>pW;ez#I1NYuYPs-6Q<K< zPqf<oG?X9e$>W3b*PI6|*9*_z&o6VLcXdPyEI+*L&c>RRM*sFj$&mBzJoFy>MQHu& zRfG1{F8}?z&ckzH$Aw#MY+?6j`0sKqMH+TK2nILIS2NEfZe%jNy)*fI)2%O@n7YP? zaL-uJbRT7W(pFJtGIh?FL7#9+bL8UH=Ux4<rN$@i0wR)fnn4;L@RIY@x-n`v%{<My z5%cg5s|n*79%v$j+aax#K5*kBl0ATwv*@1X5{db08~yoe{h9govB3xmVZIt4#=Ww{ zd^J9kd7G2-)t*N_-WJVQ<Ab2fr%=b)g!>h4?i4f{=c}Dc2Y>7`ISk<Tei=?PU+orZ z^`Xddzsy}sqwjfeHis+9?A`thJ((i2cLz|U;gp%LRzzuqkuVu-ASKSQh@MW>)1QI( zG(`?7`C=Hit<f(K!54X#73FZ9FaCq#7{)z=aZ7OT5aolaFTP5#Ih9RlI}FWo)B;oA zsC+R+j#~NRFDM?L5I?7g9aG<^+#e$+Q9kPWB0tt1?UV?;ogxo~JA!RdLGgTsj-;58 z0r8H6xB_Bq1H>}yPq4N-SmJA_8bz~bZKbLao!Bn8Z^nKgp|^;79TIv*bYj%G9Xl<N z{PdPmZ%{(dh)#^f*n^!GhQ8iv>Mcs>8PSRDh3DhgrziBzr{3Cxo)MiGb)Le`r$9fw zA5iaC2|Xh^G3q>v{oaJ$b<}$&p=U%VMjejCyq?foOFb`Y+r@}ZjH7rB*=*<@S*HE= zQqONY<8)&A2=9*l_=L_ck~+re#7;ptPtd^$okx>8#_7b05MGR()<%9_?<RGO(}~SR z_<Zaeq2ss1&#2QfQEnspgS_`9(s?tPj&VA%l}O`C?6f5E(|LkA1&MTw=)|su4(FB7 zlE^R92g$UI(}}G^_$KUplB;dnsFq8m+NOi~ZYRcZAdUg?dCkx9DJl&_j{YoCBaVlN zqX<2Y6D?2Z-a*}46S_ux$mmj!V@Z5I^V7YDx~J8$N6Cmz><Dytq5d`W{F?lMdj63# zPAA3_F9Z9>37y|j$1k68PC~Ni70}@{mnOU{m>R!My*m)-r)NYb))YE%?59G{&-1U; z^L32ViE(_2V^g!CQ^%y`nAUp)iTN$)%TCNrTU(pRbw5*kDUquYo!A7VHVOML5-HX- zDZZCT(U+arVrVVJ&Sx#Z9@R|o^F)e9bYiQZvj%%DT<H8VhE0mKVz!LF?8G?QwG(@f zgl4wUj3+dG*@^9j);-uKCp7CD&8`VeU&gr)t*5YGlhBM9&7y>+FFUc1p!F&CdlQ-+ zjOLjMO<#7h8={)LZ2ProYqaJjw0zmg&V?4|pZi*^jMj>TmM=T83|vEE*gGaPyBf`n z2~A&iVjOGZI2+d@@zcyPnl~pjec8bWw|whuTGsfQJ&fir5}Lm3;DcTM2M1|O<7;*^ zn!ibC`mz%%fM!qZw65_rPc)iuCNzE7iE-@ge(bcb@imK$=3!{oF=w?er{RIfiTx6q zk7B2_jjuh_Xb(ZC--CS_S6yiB!#+Bpd4|zEC!y)fPK={)9D!S&&>Uej7bG-&*@?Xi ztq-x^lF%G)G%rtR`mz(-53PgPUrcC@F`CyWG=15LQR^`Fzb7=u8O>W0n!fDBs8xl% z9e!;6x=%2g4<$5x8FwGJ(q>?vp3pqcXzqii-=@CIhs-)ZX2<j8G~VpQqKKJ|{d&aq zud(w?Y`=ZwHnDuS6T1@HS7U!7k>7PDMpLBc=jY1<O#0OPA@;u}v^N;-NNsxzec8z# zgyJ;9&#7PA+l*FQX!&XS@_5Kj_I&(137UR+?+ldJcRO`?XX2;x3lsWqA{}3To$26S zbQV&nSC<#?V}En{KWGBK|8;2^4AlJU^ypOj2EICtwkdF|O+g5k=(_x1HCp0Z7y<B3 z8%6+}ADY~Fq%p&qVEC7f0QiH7xyZy&?40sR-h&;JD0eQW<31zI50}&N6n1<;lHVW> zms9v7c4R>mqC1&bbWFm|<jA?m8(%5q0%fawC&3a0W`rXFGqnLzLvhiu#TgbzYgjU^ zvyy4?;U%%vg9|f|2_}yMjIv>k;pkw3hY<{}VN%cl-nm9&IFZ!A<<sBT9IP~IxqJCR zICvl8Vcuyu9SiY81R-2b2d~l)Qp@S+jUCh5`K6>$#yOUtF+Q4wILA20&p>1Gq=YW9 z4ZBI**47AqQT&7StY4U$m)rbtY>r%-+B#&)z~4}L;9x;0KsNG7EvLW|p}h#d2os1o z#`jF(Fm}(m=+bgJ(1BQBmXa?eaPSCTNzfoSFQ?;|*pb7{=pUhSTkM2Ku;_asVE!(# z4?8Rh(5W_rdbjD_wwxaCW5*<7f|Uz7ml*QxVFSF|ryf3)>_=#RYTJvQR^<%i+v=uj z1V6B*77qw($rMSxM?$CLMcuF92!j2N8m#Qarc#Scp5+vHaMIB#DlWzQu;W{<j{*mc zb2xFtIL8n@O?MwY9`MhH;cOln#3LHvpBlr7b;frB;dkRpP&R*i98l9BqO%8kJ<NV* zb6jNpqb)9RFyWpd4`6R-8pAK?(Hacp&2-~{#xf_-q9zrFvq^Cz%Fuyc!;abJbJ137 zu-Darm~V4lh@-#&wrTxD105C2*KTU(xo8%CS>ZU$MPdaO+GPBuZdJ}ews#{b1WIRB z7+iwA$(L2^@o7~~1@_Jsrf2#!45XD*q|#38`YP|OaMo4A7udorK^+;e8+(0cks9o% zU<R>69G3`Q7D}`$<MTB66LwsCF*0pF(*Wlf9PfXpZ}0-<U&eEdy#)_&8jLW^IYogd zQaWbc`(;U7v@-b7aryBw!OH>sHdwzYkond$4HLsmS`-|V8)?~dz#hvq$3KeZ*p>M@ zHb07Nnl#66t#S%4`#Nf$#5E&OOyp&@$MN6q`s0b8m~J_ibt*F5ayZMLilX6)#@k;1 z;x?8TZ+yoSypX{FDtWq(<LUbo?6?TnD;Au|pQ!PE_WpJ1H3&$b@UQpynzFs3;3`<M zoR^e4Odi9Nc?@T36=F9k$)rcxq#uVPkTmOOR9QPd$l^-L^Y0l`(b36s$XqUXjX;@f zT2H|-$;27M=x-S3FqZam6Wz2^V^hQt`M_(&Iff0>&@{uCWC6$6M0rV`Z&EsoDV3O% zhOy>Pn&@Y-vbiSuS;^>$A7_?{m4dk)%f#>hz{x6c8Uubwl2!Vu=xt5zBY2QMH*tou z@MBEwBZy~>b8PaLYHYF~oD5_()`R0WFnwa-A2e}BvGCcZ@S~D(Mk$IJU-An-in+gU zav#N~6&_Zkbc{I6=OgU6lGyG6Cp*V{ljQ_Ha`@3FB%|{?ac1M=YESIwh=l(uaI}47 zjtL$~<QwN$Lbq`fNPZ;;z3BGkbnws`t06kr6>%LW_(>dC3XMwb+PIwVNhWBVEt83l zw9J<LT^`Q%=!q&Ie9dw?{IhKs!CJ!qeseCcX(1fBln%ebqj|<{F!_umj$k(`JpC^9 zJ2SyD7=K$BTqFI0__tyHF$tWhiKF~D)cnx#$+(I0n4y7_?d#6VrxFPrt6L_sG50A< zi1!T4@@sy=lW897MB^=;U-Yl)R(|N{WW^G_CU9yY6|)7d06{NZF@s+0romeQ{Ql!! z!v0vaO5DgELuaY~<IyT%U>vw@uvwtL{o8jdHH&BqXYY9!-n&LMmZ@-7$Cif;qbqCB z>)*e>w5bIylh!M63AbK>%d++2HAa_b>lL{73VP|<X}toM#-LX!S|s@Mki3LhEw-C~ z{w6Ni)(huf$?}?d?BsT{inzLc*(-4g<)zxsA@Pfn^h%tz$?M2in^y97A))o}+<ksI z*~AqknNy+>0+)CjG5PC~s7T;S{$;I1MUt1laaJn>*NaZraakjH`M{NU7tOh?3NM)U zvQpFUs6)d$S3QFq`?<o#wl`}3D>vP|oBhU{ZhO=9jf%Dk$G%~+p}e;~h#jp;R2e5e zQ<8WD3*2VgI6D#gKX$WkGnt0Q@Ne%&+F!T8X*nSgI(!+Nyt)T|?${x4-eN;ZwehCF zZu4<9Ta0}v_GQ@Z_5~t^aPILMz>f>sE$GMLY`Z;x<k^6Sv9rkvkw<UrBe1jG>_(j@ zrGs%4Gr5l+m7@+HaqTt<Zq}PsVx@yyu>9SS)_<4PZC<yWZ)<h?D3;~Og@I{nEU!VE zT9~{-XzNW~>^<g0;vQG#h<mf+9;|L#<am>~N=H+#3G|`aFvlCq<vF}raW2~dgVe?_ zn$7W==Xf)7yyM~>ynA2-`y4(U2|L^dG@<p*&FSiOKyaKf&xw1vaSwO$g>f3zMsu** zMlRO5cqp%{*COss&S935<KBJ@X`Pwlwaf8-g7oSaV?_>F>2`(5t2fBxIRo~%%W|0T zgq$u|*`o^*YSPs!F7%qly)h;L|MB`_5#8Nr&f78W-M?JQi3m*ok0|asaAA(uDu<@M zsMFjWuO&=$<KFa~g7yVo9F@!Q&dbT?vLZ8zy|y&p?Ff%9RBIPUwPDtq<7LA>n2UB` z4Gz{1(dL`9+F*&1^K!bw%(<I4Eyruhbx@ed0@HL03%fAe`lw*7xHsG^|AD|MY@n`8 z;dr*>3Etow?@^R>0*Zl@Q6-oV;|~V~?Q9kc@unK(bQ}m20!2m!TuUS;ziXT3-t;)M zy5}Rs4*5-KZ+#6`+;|%)u5sf9-qjFZb>lpi6>x5X^GfhDH^*BIRzv>4_!qMZ$HyPc z%RA+?(@yv8m~)5bqBrE46<u-)nsw-wkN%U}Ef*`6P@!HtzZ3gR?Rd8?>?!r*x%q5@ zPQ_m3CF8vHp}2PdoI1|i8+zHxMmJo9Zkf}R_T6Y!97NP2J>DJ-gyxNRWzWe#6Ts4Y zDH^&Ntj6;@<`;QO3Qx_;@7=+>Ag6UZcz6qnTj$Y$8XW+Z-B?uyEm6+a!ip{n3;kGZ zBlOFYbG&}VsCAC_AyzQK@r%ce$EAf`nji}p3b-!qm**|+YV4TjEiQnS?c&Lcr~78p z3F~QNMBUZ*EJ)Z=)1<nq^VO@C{JUn>6DRf=F)+_DfWdJ8MT=pAFZ1R9`}Wq>-WfFZ z&5LF)o4)v>`O}?mY0GY|e-kH;7%;M?z4ez2t@FAC46SR1ChfSPR?`ZbrrWT~_75oz z{=aLK?e`|%Dt_K#JWu}z8EHrH+xk^D+We_Krj0h=7ybJ-+Bh=b+i2Tc8+-TF4jvKg z_U@{{Du`CE6J)yaqR(v9qfLU{aA#z;V*Iyp=<zIc=HWf5-E3G9Jn$MjvF$`Reg$uN z8^DLjGCh`y&o4F(oK7#idyNJ>taDZky`nm>)q<|wtll(u1oK^bGHwf@$9$Qe&G$mM zZ5%kAK5d{!hc+9w2y!sJvePj}2S28V>Lk*;8g3g0PG>UG+e?Qw8+Ik+V0t$*Jp?j6 zo;$XD+u*iw;B;CZkMFoMP@4@agB(on{xke~GQAjfo8HZEGfpmcZsXfygv`H}H!{Px z-z{3b`#U;#^^n}G-h=Q$pPFw&+M@%VWCf6V-1cF&Zpzc3$FW4a*(yB?k6=Caq8{1s zV?F9(xAov5w|yB-XB*PvTur;#yvRWHoWeZR9iE(AiLPVPWBSZ9nBH9UQ>Z33n_j|0 zj-dbdHrjQZW@s0-39s?Tw$U~}#b05gjY~H+UVHu>8*TQh5GIig5P7Yl%+U{Wf;d~8 zC;mWODXtc;7r(dB_S^6OmyI^39`pEd7By|YM@r_mK`2j=JXf-@m4*HfB$p`sYRT70 z-Yj_siGKTI(b&i${=Eu+fQ0;{!ukG-`Y+1=brR)xOLC>cKN73uZ`MtL9)~)ZUIP;8 zaF~^BE&t9W^f)$2y;Bt4R~#b$(IoWvLXmni6wWCVOmC6=FCn3~Qt}TKzE0dK{~Jl@ z-75J$g+CxZCjaM1=)EBMPYQoaH0vjzJfA9@bI@3xRMFV1BHY-jf^FsBLF`Jx{}i!U z{-=v$<v&H7C;x@w59DubQ&CQiN;17oB<@wpC3CEe{v5Gn`8e!EJ|_R?NY+#GpA`O< zSRwyUMaDJt6k)Ss(l_fJAfFTD-%0GI@KZ_T(_ixGl1Gu)$BC0kq+`}Ofc|{R9M)p| z66swoUaRoy6>e-x5&vd|-!9%Oy}csu>6q_6(X3y9cyBAbl0<%o6<#H}xPY^KnIyuq zB{wCZ6Bpadzd-CQ|Nf#`p8)BMql|RUmR`;E2#n1r^j1jE*os14r*!Q41LcZu)*nE6 zcS?RxdV9sE<^LiHy;mf^t?>6nv%UcGIU+q{3ktcW4QLb0^ksXrC6Qi7$vsK5N1-@C z{=-P<jg~w`;WNbZ<-d%Co>?yd>90|Esc6;*fd4M(nRNmn-$xn!|6vmHGYbE$<kuAb zj^u+1|3or>n_2EuF^fbxjY*WJh2%~O&lOLUe}58s=DYuhH%8&(#i`PpC%r|IOB8;& zc&+@)NTj!2@~sNLL;RWiA0?spE6Fb@{1x#{`R^y8cS!OP$@DYz7PCm!o5bEiawih) zlPhu^M(WYJhI)e~k0GHqUYsibc_j8ll1oVFT`pcL|1uK$cFDJr(7QwYnfxCmvHwc) zOC<DO5#N;meiHj3$zPDr3*iBW%p_5d1|-6oNIpT~oy2bP?@dB)faGD4M@yb0c?OB} z=ZK3GzFfRUEK~S4@kaUYCXvs*lJ`n}l0>@Ch%YMqPYVBw<bx#6hrdY<!_D;4Nz}KV z<i;fS*7ENn7RtYm<e?<u50^YaGHxSHdGIq~7!~>a!E!bf&FdA&oh9=*gyH7C7A%yE zM@Hj6Lj0z0%Pf@M1tQ<1GTqC>QgMU0Rpg6w>fJ2fF5V;FFFq_jCO#wnM&$Du(|J>T zU)(Pq7OO-)NKoH=(+JFzoFz6FTZ!$(&LXYz7{8A=NE|AD(>iA~;A8wNL|P(Iro|Cy zzHtPWOTJmWUA$NPxwuz+T>Q28ThZKCBfURLep58xK!X2%$p=NdzS-X;$8?`rM{FXt z5IN6@<<1pP7W;_iz8c{pB%djo`)l}5lRQhb>zgf-e6eWmv!P$}TQutwzDX<>ZxDBj zw98>RX(LHKC%z=UB7Pv!YLI$|#VU~x^z;vlaj}iaDF6&F7DtF<#Iwc8;w+J`{26bt zxKzACwBL*=le|s5LA*)4L);_&Tzp7;TzpFWt@xt&N73GQza!axGlo+EOuL9QGNo+S zFSFl_sVo1^VxD-0I8t0BUMOBFt`zP1W7kUNG)CrU?yo`njhCn8zfXKgwBK;~L~=dE zVf=>TiK6``%M{5oMEi}E1(L58e<<D}{#5*?b-Lb=p1I$JyF&6`#ZSf0MRVT^w_UHx zenZ9F|H9wi_kPv4Q%=@>WgpRgGsUjYWxts+OW{k!i^bLAwc<8$r}$IxF45laJ}&ur z@pod)`(2tL^LTi?4+Jwrd%s&>vi;^sTyh)H-1j107s=g4eq)pA^%2c|Fl4))mbo8> zY}eB|OL{f$i|u+^3lv@=UM|vtkNI3DmWkWM8%1-U487fw?Rr|jkZkUo5ngj$En4(3 z{XdKEiXVy}i=T;Ki1vOuo%flDUrVefHWb^5wDhB1zSvznS?nVY5{HT-#j)Z!;uLYV zc%Depapq(1yRVXbjkr$SB%1qg=>JIa&EoAMZO@tB!{U?TGvf2&@5I-{H^leE3X#U^ zOz#UZ1@~N()5V(Way5~^xle~)Tge^7Jh7W-?$@C=Nb(utNYUQ6pCfs?I9sIoJj-*j zc$s*W_(O4>xLGv!^N2@#d&b`_n)`XkzmWW>_@wxp_`GQE?_ZNlgMFs=SMgKvbCDMO z43CNR#YSRWY$MwH{anc>iYJRjVzD?}H243=XR>74Br@N*;(U>x5TO4R;u^73+$?Sv zcZoNPcZue?0O|Zv@}uI@;&b9lBHvFj-#5i~#QmaqUO>2cUI70te}2}0@v_C^#3mv? zXTb0rv730J$j=xs+&n*kBPEX&CyCQUe$IgU7mAmPD@A_BfZ-d(ZQ@SxX7P6MZt-U# zKX1VJzZPE*Ul#dU1BQPf9uyCY{G0*9)5MrqN91P%7=FA+^MA@cMH=T*9wO2@pYl24 zrQ%AF2Ko%YL!^a1<wwL<#XpOG7hOE#QLm+VyhtN{`VSXreNTCsSR!67(g2^~dqmpM zQ+`6EsXXOZL|VjCt`KSXPWcOw_U)8wi?m{=+(x9WI^`ZBEz&6$i?lnZe6~mnbIS8Y z+LBYgLZrnw<;^1P!YSV_()ye7!y;|GDZe1nlAH27BJH&)SBbROrkp9Z5l;|lvCZ%^ zMA~Ijo+8rvn(`8nHrA9^iL|7qyj7&VH03))T18WSM5OIA<(I@)#XpO*g=Y9?B5k25 zXNt6CrW_aBi5<m!vAalHXX+0YXNYse)#A0{9pWDG_u{J}t)rP<RIDo=C(<sO;T^<0 zv71;Z_7(?<Lqyt9GyXZ^h2jsyP2v`j_S4jVOngTCjYxZHhQBGkFYXs{d-=8B!o$zJ ziI3CPu;zG4T>fpuT#<7am~YMTlR@$yDh?AziQ`1`z7X*zNuDOo7Z;05#f!xf@p5sM zxJE1!w~0H&UE*%>9<fTSN1{(Ql#F#cPwW{_Zr}7Rz=GWF1-|w-e+#gHjgCi}0)rD^ z{Mf*me{Z-bR<v?bv!a$I4U3$Xdz^oK_QgM@Pn!Rb^RW3ouh9*=y)Z7}4u*j9|4SRb zN0q~I3pY}UFnolHqbQ#FjI>=pu?c<52c+%#i7sP=)0Qzt1V@BOV{<0IJY@#Q!f8K) zW=a^TA2CYd3==c_pf9a~lzJEbX|2Sx@T~}lM6o{N$8e<AW>8{%#PmnuhxHNfW8~K1 z^mi%lk{EGPpQe0cjA>`MH{id^ktd7`^B*>M0mMvvZ|W2};_!(w1NYQZ;N%`<if(VF ze=bGl=k}q<E>f!j{#}R&F?U4x0xV(`bBDXRF?U#K2?El>EOC}w#uV8UuA5C{b7o8S z75E3Q+V1hOx|v?ANo;g#ZFhugo=CsNXA8L0AX-Yx5@KyZ<Y$*3j0Be-Oh0=0!Hln5 zelRn|@gi8K5Gxq+n*vdYT((eRt<@`C6gCg}#I9b6^*u7y_QlOrmY-a^=-|2q(ZVR| zmEy20X2W0)W_Vm;W_hs1;m-C${QvBHFzF*03<Eje-~Ufl%xov>V-Got4XMcw6b$Fs zXJX@o5!k>HQjU;TdBjo548e?gs}QhAX^Ie*npxP5X#}^R9L1pxIR_E^U$O|)?0K^n z|5GbLJ>t0Wu1#9CJ<H6pO|Hqq6>k2G#eKfr<k3KBP0rtDeV{MT8})1K_-iI<UhtQ- zFeP`Mze-ke`slpix;<v`p2X@tTG%JKj*q`Y&`~7}#{SMG`X;8n2G<ulZ~A$Qrej)h zVwoa4Ti2hvoS3uwpFEG1zqxkfIoc<deR=o_@5&Hg4*WB7SFvVN4i-FGob%;Qpsw;C zKZ&&o+6S%T@V<_le-Gii`QN_=;<*cM>S37LY&G>5#;qN8-i~~eUM_TM=F4lY-RxDj z6Fe~Vk=S<Pxr^y@ZXk8IQyz)kmH|$)ZYb9uu$y(B0gqsMHzU2?2xNL}1DoDNxNRIb z9lqcB10C9I*aFDb&7fbR!FW?(Hyd^yJc9YYkKekz@MFI8xA~U9ZR5ac-e1J&&}PFf zh8#@qX54G?2a@UGb|8`7dbn*IIGqhRFx0b~4Z98=!SwDAq=zO-q<16SHV)I+#q<Im z*7+lZ1=D*9>Dl83XCl43;AR~52X6PEA@<rtAk)d6H*P__#W+}Od%Ib^C*Xl1&A0yV zK<`*{U3n}S$8H=4XJ4}$j|aEkVGq`W&!e`T>mke@H#{CC`aGOwT>M=+wAs8!drmQ^ zDejbd!;{x6-m@@0rq4X>IRvLO0SBQk9olSqR<;cKe;0FIgXg4QI-3*AhsF(To;kuv z5AUd!qdt3Pa`PPatmNi7>>0_;b85pDG}vF+;>pd!hirnIXIe1n!}W?<L1dfocYt$U zgMESRY}U1$F?-=ey9QC<PU6@TV6B3qpHlz0W#Cqqt-<#MIHYDy0{aB#Ch+!>Y(%07 zjwhkS{zm_<VlVMjai}<4JV%@^&J`DlE5udeT9FSZ%!jwW<WI!g#3x1eb%wt!9v00L z9sDC`NIs*RdtH#{J?BuEcl%&F%FxS`KficNnf;r310<gzc@&9sE|Nd8GcwNslaI*b zL^&?D5j%=qL_VfbkLL+FSUgP}E!sI4=Sn_byg*zgt`x5nO*<mpZIW*gZxYS%$92S( z7v82EwmyHC%wBu+*uaVT-TjAs^Z$Q)Y+&J6j16!uhPxew<#Soh4Pyg^JZ}EeyJy<k zeyf@v+VR#h)PAelO#29@m{}p=w8cm)IX1xN{pzs+Gbj)V^9#G7aQHVSW_SyvRGt=| z|6S_D*uX}AY@h`TkoXpL`b*R-ON<S?N^x^?Y+x+qEgBp63xsbT8(;?RsjRda8(@lo zu>t1ym16^W#~vHl%@o%#;`d_%=E97oFdIAH_hSP+ySJY(bKa8pVzcrqo=4(#3D*f& z>D8?Bx}adfl-ctp;DLGW>?vJlPMz8XYMtkuKW}<xuHo9ntO(l$!HK`4!)MQ%I(Nx5 zW3K6I43@`N{6_v0_UivbV*}RW)VIj}@<W<^QisXPw;mNh)r)4&T|9l^?0GYbmdu;F z7%So~VoOf^@?C;yfulwXtUc*h=_eO1HiHEJmq!YcLj-orz}oYEKQ^$)w_g34v4Q^v z1J@?z%KQD;!1rSVM?V99KQ`dsnS4Js;J1VSpHDf-?Z0?z;A@Oy{jmXD`@i$Cfu3ku zK1&Y}i$y-W(Et0f0ki|2&HoF>2D)h?z|ms^SST>p`1t?xa&x<P#~?yMZo#*nH*f+6 zWdDhI16&OzWTJ%K7#~-E3gIip213+zBW4IHltSwaZ!Ep+yn(+WDpsu8Wsqh@4-%6F z&VuKc1_Zc9l$k7GMzJE{Kbe?eV=og4PeN$wRq*l_y38{zOf^gvxGnZR9O>^c=%+C= zLe$zxUrO<gm>B@WWP#-r@05ti0@ty)yJL63JHwp|q2_?V4EUMJ0(8Wo7G(y3$pTE# z?alN#$P{IMZXb#qLo$;EnCw?f7GR09+}okxu3$tro5<#HUpB|4;Pw4vf$t{^{9hXo zn9()=TYtdre`rA9TR-I=^P$~%non0HUd|umDgB=qAn;q{|JDS6V~$Lqpn)j?UslmT z3f2CT2Lo{BjA9Rd^Qs{)r`q_A=znOg09)?+xdLiu{IHp=9sctc#J=Y&@VyiNtzIsC z=;r$sZqy6Dm$XkFo#3BN1#KH}U(EFR{7xP2lt*H>X~Sve3UC!WyIJQM@Cc^24e9kn z;5Us2&^Gkj%oVsBH&fqcuE0yU$J&dsFkhC()}sV&TUI!o*=Wc(9olTz#gK#b*pTJF zqhflQ*ll|2;kI$$bZ8?P9E!JL*C8yJ-mXA;Xre@VH^Ob>!0BvedI1mX{1L)}>D`0$ z>~VuLksj~I7>E6V+bt;ggEkS!baH=Cw0hIgaTdVOZdUIJc%Vr0t^do=JIM;5O}ge> zfqHny$G&DawjZ~e;{gu_+NB=CZ9CV78~G---bnA=gp|ye`TO_Veqc5(UcKSTYu4Az z6&Qwt(DxYW1-y*Y){nyXa|Qm@xdQ%={MXDC2>fdP&(9U$wT<He<HgD1EYZB<M))O? zuN1EpH;7xso#HRVXGES8%;y8~Q_;TTcG0=`Jf1@0<UUSpN+Moc$sHwklg#<(4DT;_ zFo|^L$sgGmndcJy`Fl?CIz`6CHeyGyi)fwzp~v%s`h&&O#Q(!{1bX6;Kd~j|2z<pj zKwfUw0^i=?o9zeQXn@KG#sL~)#!BZ84rZ;|y^m|tulKfls|L;rum5QzWutTOq7~zs zrymM?&iXSxEX{Pbrmj8X!^{SS&2BBi(upZM8|`T1ZVHv2Q&d{Crs#&Ev~{l?@Oo`K z;EsRofK&Y1fl%W=9B{^85BIMQIKALr)~52tIV~%1T6VB<+MEHEmt#M^_+aJa@!c!K z#RDs^7~icjbx!n9cC<%j%J_bjH#P2BdDSu}$2qubg|j8?P(3#jWyvk7eIVSS&w+5` zbqAb`gOvj^239&5yDO*lN~tXAb+B?^;{laU<Mqh-F%#au*SZ7lvZ6|-SO3bA@dqpW zb?8%hRflk;GiP_@jAExURGeB_(qVVy)QptMt1@0Z;1>6*Ol$nu0T+HnjbAtrTGp@f zs_`NCrB<fFulF3c@}@ZlEBntGSeY`XcV)ZA;mS0mJH5mD1EJ!+mF+rQb|5q-rShf@ zFC6eto*5atEBo{sSh)-7x9hdLax%(tQ?Xmw4r#Vt=2qU&Av^D2<)k^gEBhhUDdV&A zv%9)I`=NEhl{cV;S|jbgjYE|;pha4vyf+jtKYZz(?v~JIe30behLX&pwFjIIf7$Og zp4EKMemBD{+Fd!IF<Ka9?T`HX_DVy03_u-I(FR3mBj=#&^sWpceP<5aB&8CoKDD2> zZ`|E%wHuJqNBiAn2P=z;cUMlw@fnzbV}TDpw?pl2LT#orc28tGqRo*00Hogz>EDF( z+adj%P{&D#-G4le3EJq2IeqpuEqd{Q(|8wB&Mw-GGNPW#aMYHe&f^bOPDMVGi`S#Y zaRkTXNb!giw=VK3OXl>ebkI&E%X(L~oP#<pd*OhK5)5b@Y8I?v=)|<jILfdSWr(8; zJ9~vI<2W`eQNNw2Uwqj^&p&X;&3Nj7GiTKScg|A>LgQB*@RmgmWk;4D-gQUH_SDU3 zTROT=H+-R?x1+&9FLmO+a2a}j)H(Ezo_C?#zegKyMi1t(Osj0w>nhZz5BkIXhn%d_ z_Fa!s{R-uuRCI8^iyHOoh4$_hIrNWioluM8Gg7eDecGX@hZdW&`0gw28i_J^i1XS3 z7peRNC2lz<oa+{%M`olQ%J!P>gT^vyq!it|pFMHrc&~Eh_`A_|=wZDOGQJc&m2DU9 zdP1{MVSgOkaOLG0Z$0mx^45O0*RJOyTD6{~@%^?ufA~FT%b7)QVV(LC+-&&w8)5fU zTyN2D@F5j*Z8-WLCgOB}52gTx8O4q8P7CHi_d(y=i+`c+!;DYbSR$PAR|dSxfMK(Z zPuc(?lCp|^k+csXB}e>T_f^dFF*mZ)sD!UE5yDlFQaCh*fxh<eN)6wP|7jfPI|N6h zJ_>|^K9f9Fp@$a-`tAW>6%_dsBi<=7;-u_l@b1_HK)TCMu(&jC!a7X4J#&}BkE_sM zPDf{iWM)(A3MP0u#X0!rUP<vLiklGKy^4eJwcz59ljE*ts5>^w%-ySvaHd&-{u;V_ zz_-?XtU$kp(lSV~^Qphy)C-x#S|`LmP`r`Jn9!*xCBN$+u{C}ZWBh%0H!$vv6rZND z5s$-<UChj-F(SXe5#vpOyOGL|P~1mlBX-4|aIAhJlpm{zT~2Wmm0zd$dny~TABqs; z^O!Ggr^v(LUe9*;9Yy}?x@8m(Q{>HoFBV4Nmm0nl>RCK~|N6*g(Ecga+CQZQ?Vln? z+drj$rTtUJ(e_U?hYHv~MYZB8&7m@I>>vh=rDB0lG*i~MScsLK4GXdEW%UzVbGR_; ziD3Ab7h)|o3d4yp#yNrbA$HHXI8sgrPhAMnaynv21#2=A`;Bur!L;Fv@WkdPJc8iI zF|qO;!S7>wSbMRYj!%6dQclMgz7Q>^!_Q@0GM5p_T*f7`fP?pIu)HF8_W{c*g2mw) zuyC4<Rbt_>nBD#8Gf%PKIK$gOtI!mDEWu9$dCrC5a(-in*%`r4z;B!#CW$lojVj(( zVo|ejIUP%VAyQ69sV_v!>DcWH7njq)%Nk6|Sc2d9PNIRS_ri<I=@{k<OUsjGJ97$( z@<Z%__GNu8#U64>2a~K@2#ax&EF|3X$(~3bI;U}l<&|=mfd}O#tFT9%PkOiiq<{P7 zpPbgdRXKeop&*QD%K>*^HWCX1cM-YLcMl|4K==<O_sIWQ@MXDen=-CFlyLKQ*=&3t zu^YSbL;gdt!#!vgc)#!N!`Axx(iR}Maej_Pq%8@*s`PD3QV*qIr%iQs;L3*@W4{tR zOGEmlywEIF%$@-M{<cTJUCa)_1##dWY!}7}Ig7$e;m2OUbV$Eei<UkPxA~eE(m4U; zWGh2w0BOEj<y>f}mhVkoV011FvsL}Rej!TcZ!F^x?97Md>g4CypX`Y}+rdFz=zJP( z-+aM*{BM=$!iZ7KQu1dJ?!hc&bH5)9Vrg`Y2Lz7gfWWaFqym`h$R@u8#iV-VVzSEj zAIcuN&CjPV$)1QjhmuFIqel*Ek51YAlc6XfeJ1!N85+njaenhErQS0X=ZX31H&dGn zx8KgCMeIHQTFOk3?Lij$?t$bu-`$^WcC+s;X4^IPOFB4EQa&D<jV0ZJ9qIKW)iz7X z{l5PYru{=dy&*e6wapL`5!p6_{k9o`wxQ2BKgS_~9EU*HY`i9@ZHBN0ZIp7LZ3eJy z{`r)7Y+o@EON#?-Q^fK!b=0vpS>n6<vyIf#ivuO)$!0dTjo%)n1If3sBaPFUUT;5* zemg<6$LS<*9MK-detVpb_Mp!wzdcS5<Z`;nLOG~CPG_m>DP@*)m)vZRe=X&Gewq7` zOg-c*n9o%E`z7iZC{aJEaN`xH6?XiN5&H2O2B+B=+yHwD);!KXzMRgU*zr?G_&>Ab zV>mzSVaGCVL%E<P-Er(#YZFRlW0SJt@ZveapBkiLPi*`?+w&SuTg*Uo-kBi^Hgj1t zE*3So1!eOkZKndk|0R~p{s0|XZqedtm@%9)i_O7zT<1+*JPVR(ixksD-c_!9N++*T z+`Ay&rm2?^_om$Lo%|72JL>E;;%ZPGutZfJmu12VQFC*Ka|t5|ShfkP8)0RqC!C!8 z&R#Z_Y%27AhSjKEb;e;)EUZ~Hz{@Po<C;ZS`Ut9UV2!Ma#T~s^F;;jQ#&xE!&J~v8 z!g5dN=5*q^NDZ+pR<Rc^#+p;i06Ad|rYsW|iOkH&>zKzisLn^x>R>Uc3kq{{z2~sD z(<@kZ>-SDPH@BcmmoDBmtZDT&e6UVf<M`0LQ<-%vj*yNFy|9@7m*kw1mj_3uE?x4t zfL0d5P4Kdu&Ye3WMs8kS-yu-MB1^S$z@>#y=$KcK*Bk=sZxV!F=UgV{{qfSc_k;_@ zF5VUtsJU4M>#y#N^<H{T{<$4d6)f=NT~O*p<I}LpQ(j*FP;YS|ByTa7dO8Kg>eeBD zyoZ&$GUB<c_LR8SE$$7;@h*4c-p1v{sJ=Y@hzyp-Lm|wP_$L-}ntT4_#Wkj4Pnmme zKUk`N`3>?h%(czROkDYAp}+9Y!hExu)Ixtzsf7iOUitoWX76A7+BNY;n&$MTkjE&g zT`TI_y`uKdi-g7ZcV>5<EFH@_W$yV?&mD=W<BoZk&h?krYCHn|Dp3hb^n|_o46d_< zCiR!wGWZTwYO2}19G3r2n#s=J%cI|2w+URrc?Itqi(ekOBJIl5t5Q~lS9@27u5tUs zdPC`-v3Wn{oOZU=fBfrfrwN(@KLba-M~0Z#{9noM-qyVna;TZ_;lMAj{ayBN2@=I| zPC&rrwopogp+(r!>ChI&Pdx7m{F@E`cb?-ayn|rAcDp^*aei+F(1y(lxEXvI#%O-P z1hiSbX^?~Yj%w~WZ@`23Ql0f6`AlQu!0CK}_n>h)wAnD)tp?L8IKdwmV0szYZF*P3 zZR5b{G|%?+=;mg__yiS9uYVvto;x<ZZGkv&Iyp%1=f`O0GK2-w8-?^(Kb9|s-KKXl z+$=*bc5d(0flY6X^ca3O_Ml#4jIbT+z4U|7L-&{unC%+qon!^j)^}gP&ETh?$6HIg zv3%T~#U8B3gh0F0MVPHe&G*u*D92*$X7eQj)pK?>_MhC2gFmWn(nFJ)JOka_*@qJ_ z5B_$u=~>w_=>J{3mu9(=n{5@}$HVP;aPu5={=oKSn|Aih<mQ>Ha!_W^NNygU+tEB` zyvz4xizheQs0TONyazYhv^RqX+l1HnW3Jca|B@g36}0)8^RIc|g>8Btl!NbQc?}{* zisQwJ;#_f&xJ+CnUMFr6w~M>PTg1o37sa>4kHmk7sc0a+&o$=49L%PSP9GPKCsE!m zk_#lCBDtUB(<G0OJW*UCfAjG(q`OM~<~#F{ub2EI>HS3VZprsbepvG3lKI5Sa=s|} zb;)l@-Y@x(<Z8)00a>3667jPnH<E0|e-M6x<jxB3A-Pa;k>nwgM@t?rd79+elFfJI zksmj<H_s-L*H@C~J=st+PoI!GOXm5{a9*d#LXnU8lt+lxM*nQd)5KZg0&$6Wskl;H zEnX{b5P3~!J~xTCiF?HR#D~O3#An3ci2NO8I<Javi|>nn6+ad~7rzksdWi9Biw(u5 zVr$WSQvrH>O+@{Z#J*yYX!<R}hf6kNT#zS7o-WQ7`J##GT`FE7t`_b334EbNy>jse z@pjSlZ-oC`@<XEO-|&A*@^3}c&*A@?<Tu0*!~^1Cu}Vy1|3kb?v95TWXxC3@ExDuE zMKt{$@lTT6PaG(oA&wNMi>BWro>`|1Tq6HV#1-Od@mg`CxLN#>c%%4J@h*|~AFSU) z;uGT2;vdA<#dpLH#6#jI;@?FVzZp!ou6Uf-LNx1`A-tpHE@BVyB(a}3P&`8%De_$; z^O-4{^~@k&D0#VfnRtzOop`-y);B}E8zkFx819sOxA+V3Vewbuuf-R|--~}1|00@o z&ydewC4VMXiykg)tWTO)a~%f0Y-M;mv5RQdLxcZGl1~*!i)V_H#p&XC;sWs^@e<Lj zkA`&Z`VDL4zft7dUY3V*BFVeNd&P&uM?|~6gIPBX`oEL^AH+Ascf`-cYSBX+(>@|! zFH<(_r-7{{w-dXFUB#0`vz{8<!zGUv&lb%(YH-{2B9_Si5^;sNS~TmZ!M#!PX7NYj zjiOy&;x5U20nYM2AwDNQFPe4L;C@~5JK_i8A@LLO@1k9AqAs4jnEr8M3$eAxH|`AY zA)YGs6NiXrh-1aG#Ph@j;zi;mqFrxdt>n$(cJW5>7SXOhaj)cu#79K4{u;{roMg@k zVENw^KM)UypNM}G%{pw*Pr>ar<JA&ti><|WqFs-ot7J|(V7!6i8RAIMu0JtR@=Wnu z@e*-`xLUkc<SYiJ|0B_^H}O-+_liFk9}%ArIqiY*{~*30zAbVd1jDODFXGE-Voa<f zHWHhO?ZwU_CsHuI-r_)Uh&VzVBc3fz7H5fbMI5HD{myfh?qm3p<EU>L<>%&hOUzP? z$G>r&Vt($oo~PK94?@S7r+D*j@1UDjzqJ0gHf!r|?!9XItRK#*w{bx8mYdFsq*h#! z+Pd`kjZN0YH?_UK-FoNX))gxwX+^`DH(1wZTg&Y$_e8qab=#FS+mv?Db0Y;g&Biv( z?t0wX<{Pp%HNL(@X{+_4sv@0UuUcGEfAb)?MpcCi2VsvcZMvat5vGA3%)G2sS@xDD zo9k|v+4Qo8ZkvYJH(FnNZJiDEwvVU^cWSUTZC#HhDHT^aS*1=#=V1Pdf<5&%r)?cx z)o$3Zsy5lfstTO!9d7Am)hV}yEBZTGTeHhYR>e!Qx4vBEl)gG^*{t7JMfRqxzoI&Q zrn|Ml_D8GTnU7Sb-}YE_=(cC8y_tV;TDm`WYOPP(8d)1%w-T0$PgO?|8kree_j*-f zN%of4tKxfa*^`~m`DT%dsOPkI4qjU_`tFfc1;a*GwJAkz&W2epR5^RoH)NDHot0Mh zLRGlr@oER@hi9g5+c)be<oz^KeWE&Y+vC+KGu;z2wj<S&w9?f`_lfH8ZF}L{ufNlN z(!NneXBUOmM$2k#9*OdgtaA63&WdeLFC91T`B@pInQOCWM>Zp`oe0_Om8NZOh@8VE zd#l|6&r~}zBb(F9nA=$m_f|(|MmNXGp03Uq0NtI9#_gLOUYk~$0gV@`>XklQ9U1UQ zwKE{I?DeXGk{7BP?j2bbVLCH^4PS3_sO*vI%$d(1-4)GO?Fp44otfdXw9QC;0OHO> z`iwu5^$3;4^HKT}Zg~F3MYk1YZEL(GvOQFt(y!5$to6rjcG~yamxcNcE$KK5M|4Qq z_Cd|h_;6K}t-E5+V>oV)<2dl;CR=xBQKP%Z-PM$uneK_J_dJd^+lzLb+P|deuDh~} zM$H;l72eu-*2t>pu+WK*BJ}C%(9G3)Ld~AQQDy6T6+@AV)BgSEYj3N+z0Q_1KK$dN zejg5T;*&njYQMDT!+~k>X8o&L&TqJ_cIn8bH}tKuzSh2tRZdBrZO@^MMMc?L9(mVk z>$J<>vZv@5MLXVWvbpIFx8lmQCfDaIJ-H~Q;>u97t<AS(m$lg5WL>0dq#%+zyLq$q z=<lU1)-FH1>W+3h;#+WLlzryB*yx=`k2Gpq9$(*PW6QNp7w2HB6|J|o-qz^)J0c64 zm+on^y=8g!CeIDy%*kod7w1jwwT(9&w=Q~p)AfzZ;@eQuQ|oW;Ull&7*3!nM@eK_( z;xX{x$0gD7re#gG#WtSQ&}})VY29@V)@N;~wKk_HtLUR9+1u-tr&VCJ2xyL5k+&yW zHnytOq-c3~R^M6o&x)2$sQQ2GeF=C~)z$Vn_uS;(%mD%fNWvw|Q%FJ>)Tj(0Kx7CI zB?6T&1OhS%K@pXNK}{4jfiNY3;8+JlKw4*w7N;t0aHLjjZLJ~*A1JoBji3JSyU*G; zhX?}wZ2Q}X{bb*Ftv#$go|ALdUi<8J$-!m*f;)^=^}(g154{)~y(OqD{BV#5S43&( z;UUH(e@b%j=E4MwOsV^r>3U#ScvVpKcz;T^XH9hP@WX}+Gq%)o7+YVGrqy|>KiN6f zpEBU$+=>2_=sy&A%0o7^Dri~oYQ0%{Wr3&WW#Hn3Ed_f}T1fTwT`OXvd%ueOD>ICw zjJm!4VYL~VBQsMnC;CJ4kbC_tx!w&S>)Xzn=r{60H-xQkThOB5ZNIy0tUt8&ZOqVD z>ODh#?>82Bx4ep(=&5<zAE)N>tM$P{Lbrs}tcWFb)vU0Zl^LY&OhC>Bn`WUtLw4?B zDJx=qy<b6I)S&CH_8mYCwq`z**|MrdrBQFa*<|y`$0Ij=h}$#g>imQ|jHh;aj+w#e zAq(@cbl=X*oo&_Z9FcJMu8FN)tv5=SV_uHuyu7S+!BtmsR=P_|cUgyrSgv@~x76r* zbYH~As4dNEMjzV0c*vpQRz_SVM)lc25fxGEgX)@Zil~WL61grZGXb+Lw$ePjBB;%} z@TykTvGFq!!pmB%FFNtJ?d@thZT1|#*=$$daZB^cSH8N-Dl0I$&#gP)Usb%{Z)6AM z?f1I}OisuEdh?#yH6gCKc}w2l%>DlG1>VC!W_0iSk4L*V4SF>%Gjq>Z*bH?%+U2X8 zjB70>Z*sx-yy}7qj6ihn_w%AtHw|i5cXIgqd2zF1rc9nSeoFPMiYb4X<?H?a6d%$Z z-Z!9C$C{SMEO%U;nI2K#%Di{iAisMeW{$gRXWGs&es`34Q5D0&Chi<j5S{6|XaIU@ zbwSmxoIG!eE8gh-)xIubR~ueA`k3K`54rHOHk*fi+HO<bXT}S+^Ic5+>vEen08MTX zdrJkJ`~yqaR*16PL0mb5c`eO1VFQfp-G(D6ENzv(#1*uP5qC18?^H&(JktPfrOg^$ z$PjnXZl-yJX|iuoA)YZzgNFpeb=^k(dV@wY+U*IqK`9eV?@V%D_ZupcJ6WZ4^Tj@> zFKB#@e-<F9KLV_|CKW8f?$_}PBivs^a6gB4gke1qaS4K4*lSPCnDu1Dc*VLxs6eou ziuhQu?vSQH>uF(OkxPA+^-RQP2$rq)S;&C%zWG1`XRbs7ZoR*Z1hq=x?;?RYf&z2N zUdvP?$*v^(Gscc$w^L;j!Sc*(#!e&4+L&X=#zR~L)vV@NvI7;%#N){R57~$S%hUfN z63OPgi{F8GDT-W@Y?zm`AC{Awra2yP7dgu?uV59%pcN5W%%|9!!A8y&hELQ{tr$06 z^;P+Zh&=(0kHZn2$zDl02gp*zVNPKA-;hlXu(#nEE^<kVVNPUqcybj+hzg2mj?S4) zY~|1aNO6l*Eo@ye;%8@KOJi7^LeL+2@*nHOfs<`&X=3ZJxQ%keqRi;Cu(;)9qQxzH zBjETX3yWI<m65PBCD=*JEBHZ&fK!vm7(<u}4~x}op5w00k^~({2ZC1&Y)1)|@Rm`& zt%!teHCw)_h(rryM$v>scqCd?L?YP^zXWiFBF7Sb1Fz(eSb^yU=9^_Cc!(k_e+Xqd zoJ6G^ejngY)pS&XM5WDqvy6nablXWS^`WXTZz3?BX2|1ez$l01KcfRYiX<o%u5pc* z$Zmm=lA~8L*gLENYI|%MiQTGJ+0O&iDdt9b2JszuSVLt5w|Cc&<_V8B@2w&IZGeZ# zF=^BbBao2+%!S9mIoft?*%pMc?o5MPDaV>ib=`WDQAR}(3Y8>khbJ?jbp#pc0c_GX zc!(Q7d>S6-RS?(`2J04KNOglOV?je}z%(=kOhY@6gUy((nvqSI0}p%U8*6l%Sb%O4 zlIz-T1Mv|&dbhZU#24@$GVDh(cqGsP-WoF9F#RP(cnz5m@G5^E=Hx<nOk6@WysCp^ zf)*ErqNgI^A>!Dw;P&1cGHDF~;WcD3;MIuZI1nQN9x@3R!Yi312H4D!z|3N6_Q0co zgw=M%zFEeKJ*;Yj8Lw-DMElYEtPf1Bb+v+FR=w0n4Ad$Z%hKd^rI3_WV$mRV?>cO? zd38}(sIdiiLfet=4<Bsgu619z)~&NS)9AEp!=K>MU;>8#9r58Z5(s2CA<u5xhsz2< zNJBqdMxqQJZ6k1%NM-&81ebOclLcZdJZ3zhOox-$sKRpy+Z35g*beV97A|4e#lfQv z1i9&axJ-8*!u4q4vQWdzej5ajxlZ7?N>q3c^kKT{#~cE?l!dUJbb2=8e!#YK>Y>I2 zApY>r+)(RuuwV3n<WoopR=-m_V330o$qs{$#IY|aSmR8T@YQGwTM7XLPEt2-gu$Pp zMQ&BoD+p{8ZR{J`HjZsefcIeey?2KjjYKkRksWZIk=Pn-=&PC(xWaBkeb=Zu=MZ=k z$E{&I3gb*c<9HM0mFYOWhIek-f?LMoq8bu30!QNsypdoSpDl9SBy$_?i&sFta_mPI z<}y;$77JR4Vtfc3Mu;eJ{IeL#dlD<e<oust-}`fP<rr+s#l!$1Gj3y19{Nu%t?D9u zX+ikLB3@ie&^cg=i+Igsz=K&;#2eiQ@E8KZrz-q9vxdaC@S#R|PH@PV9YRiYtkJh; zOqv`Ty7E>%N!{EE%^DKC)9FZkU8y1)?snsJ&67a%hsVAUg52J7bu_z+v(9;0l5c@& z6l_db>z=sQO`12o47o=J|1J4%T?;WC)TC2f!u5t7C^OCu#3if<rn5s56KiybIZJfb zh7;czNB#tSuyMs&_xQDL(th|RY6dH@cHs%?R^3`v+)hfm6Z{}t56;@&s4AOKsIMEl zlIs3%S2Ivyk&J3n4m)9JBU$R-hS$z^P6B_ZA2<SRqiI}8VA;6c5=Ovd=vh}UFY6z^ zc_FWIari}II807)oC!|n<ex?|m!TGB&H6|@>%$=}t8q$$Nn_fDlNKzTKXc)fxxN{* z=HxH(by@QN#b=oDa+tqx!F-%pkbh1mH2uaft(bJ!Ehi?l>ufFcwQUE>UF#a3)xu|8 z?}Pnr7i)pfiuQGAZ%xm&+Iq9bAh=idC01^_)qaNcGoRHy9mc_(k`s`5?3niPePP;c z%}!!|WNe*9E90iV6qOQo%swj&7Q9K;bf48c35LEsk-V1`I%9mVK``P?vJM+ay9Q>` zhp~BPbP@%?dKgKshkdgT2G^af8NR-#S1+qYQYUM^&lb=OvV5H(&x-J=?4KCEUTM~7 zpB0tM5*MS~q?FF6d*}A??NOQb@hROq*>XB1v8G7TMiDT$ZmxP@Da%Fb_Ev$i#12K7 z^L;7ZX?Z;vbXT7>UbO{g+f&nF1l|rpdcmHV1t(dVY=e(g=v-zsOBy^hZB!a6*=r<h z#eIF^X%T*HQm>Tu;5uZ-(+C`q$c@T$>XpJoF!yfP301ywWV}^{zBy?4+M|<fxxfk< zfG_e{Um9Di@0R6S%S|6J%bE^7f!nkmG@rKiBlr>1>&wRIq|vvtezPpy+HU4rZ^0sa zyP0K0q<3sH&<f9j$+YE5vI=}Oy0-sdVhx*i(36v_oiM6CW~N(Z$o6|P$+{o>XTYcx z)@=y-3T5AcvcE!-ky%zk7EQEcRhgZv$q<+Yj>6H&m|fxN?Il~1H8S1mR>;a(6MR+= zpOxe5(#cwy)F~dFk(LJ2cQzB2@5mgR6mMOp_(^t+ev4ue+a{MnqjKX>ha}WN2~93U zF_)%gTi2zhp*AU<(vY_k>lNY~X<f%g#-{)=1PE&Z(f6Wn4;Vgl_r2)uKN>ze=pY{G z??lv>7)~dCXRJs_?9nm#O6%>LlB`EvzIN7IAhx^Gfj;Xom(SXFQ<n9xE4`x-Ny#*T z-xrAVA=1Y%Wm?}T+=@(}kP<&EIi-7##FY3;<1g%M6~oLO6ZLDO(6(+bgxNH%{Jv?E z3ylSZFgX`{_XP!07Uhq~pJyyuJU1EU)-+=u1?y>L<8Gho6)+xGCfMg}>Hecxh8Ip* zKpXpi$~xX|?cl`=At2Bms>vG6%})xZHF<w@)ir&4;}?u=ZLYsz-jItj8X2i;Q*lH& zZ?uk2qy=o%b??tCEND0lPM4+%p?pP1vz=$XEf}|OnsLg1K7lXJH>v}^uy?B3&6=mb zb>BP1m@*C8C;Y(HYUtp>7y7zz0CUvA0W;<=^bH(4(l=!8)conw^QZf=7tWuSzi83? zg^RlS)ByqND1nsJ<bJ*`IQ}djbE~UwBC_<-=MD5so7}JG)P*<$ufVr3|JqrL@PT|^ z$^~h?ll%P47<eK6qfX&*1BOY(VbI|D3t>^-b7Yzk9N`%m;tCCJ>W(p+n=P$avz6J} zY-7cl39dx51O5$%BZKqXY#qRB$A9Ffv~RCP#(&zry;0|$w{h3q&8H&esB`4&FWv!^ zOB2+f)Ac|AY5n}gboXfnw0=aRwngUwY3xUuyX>bpvVk}%NvXKhZdfAJVMx~k0eG`e z@>s74@J@L%k?B)}X{Y1nAVAZM8wpk6pBx3~xB|pEf{i7Zgn3BIa#<eRK~#Etpmx1t z48x}xpq6(XXs5jWcy)<J80A51K;E68bsmt$Xvkwa?R4C22yn_f=#a<jN7rvFXq^Y7 zF&Xln*Ms1Qs|M|q=Z8GDkM)a$*YdW3raWHMbn(zs_h~e4jDG^&nQm;GQ`@-LA|2+` z0)TSALb{7I1JreY$3d%TJoWc?q|;5RLzuH2Sgj27Gj!L1b~FL4=Q73ghrEwTh|}c~ z9nCX7^qrlJdpPFl1zH$T%X0)N(i#3^*tnzn98UL&9rW+8aZf-|4fB;f-r&y0#=RYq zvrl-RKgq_u(JdPP|4z1ZZxqi`dE5DCY~A_4i#FAl3XTw@+JWJ@g87281$m7#{zk!4 zL0;1guM)gR@FBq+g1ly!?s>tN1%EC0p5V8F%61s(m8~$a2Re@VFAy9+#7#0oklHia zh))pIHtot57~%66j{Hj`{wCpX6`ndG#w%N3q^}a5U!tMhhlS^YBY9;DjP$<}UfBSH ze@poHCH=?3^Xov&_rHQ%10)|sL_TfP-buohZ7;$vkodt8K1_HjLz#cP@Kc1JA^Za2 zmkFX<Re$lSVZZS{O5}Vd#tPzAq4=JHc)n6R??+6RE;v|lsGyoRh@T|<G{KpI^92_P zt`xjgP|YLcr`nO_sQCn>B9Huog1kqQe_T+_EAX|#?-5iBy9ocS@M?a6|3LUZ3w|be zOz?zYz2J9(UXB~`hYL0nY$@my<TD=A^%P7IJd-UuS8AD0txE&dd<8C)@TG#Mvt=)r z_;rF?1n&`iNbpfXwO0f3)jS5iEa6;@XFa&!O#GeTUj#oFJTCaPAeHt^&wnVy5WxsR zE<H26onTkNo`PJ9X8aJr5rWx*>MIh6S6|uysy!RP0*Rk5sP=9k{08BR1aA?%O>nK? z2Ena@_X#$(U4K%-pB4O-;H!dlg6{}^DELP~F0QkEUkQFA$bZxfj}UAkm>|ey3&!^n z>@CPoBQSh`ptf1RO!yqZT)`=V`GN}tuNBlb>$eJDCRib;ZPsgqe^5{@6QUlp7GQl| z6y#TJ$iFH0uHf$k|0KwT45m9GcwEpg_>G_!H!h|N7mO8*6Krg&o+RO^f;3-X{vm>m zZPoK7e3l>$9GL!Q!PSCgf|~?43*IOApkQO$bUv3--b;dwZPVYA@DBw4Eclt=QNb?- zwT=3B!Usb(<>R|v3Y!Qv7mOEdC)h=h>srj$NALncwZH|M>mZEJ7R(WxC^%X0YQgz} z*9tBbq=^XSaNVBR*mixZgmZC-@s9{TCiqLirv+aZ)HduN3$Ja~zYzYI;6DVpWJCEu zcz_~?2sROHE*K~16YMFNB6x}5AVFHaP~PQ&T<syBCpc5^YQcqq*9y{1hUrTM*9fi` z)HdvQ3;(d-cEMi?J}pQ~8_LtR>+cHxJHbB+ej=!C*pCbE7yL%h?Xl%~1)~I;2{yK6 zPnPgB!HWe43T6q85*#n6ZQ7>`Um!SNaFO7Rg2jSmf)#>W1@9BoHtjowe@;-_wEtT8 z-w4wBi2e7m;6cGJ1Zj@Mc)myyqXlCGeS#eX=Ls$pr2P`}-6_cRck=fLZWr7o_`2X; z!QTlU5bTI&LFVr&c&*@4L0U*Le!bvk!Mg<?6x=5Gq~NoHzY=^^@Hc{Q31XW3pe_A3 z@*IU%^v1UIz43Y9fIG7-eZRD1mHN!z{H@~)8!q)U1zqrrYk%|iz8%fV+Lbqh6@9bn z(t`YgmTQJ4U@gF99v<qR=xtjTSKefuZ$o@V;+pobrQf#Po7r|#+s*AN+pI111|73J zP0QVB&Es9kuNyHNT2-`O7g3f_e!yQ)e84}wtUv7Ln^kwkZ-2k*#;)**OJdhF%QU(f z$8KABMO?zhh{~2V&DSr76@82H=(Uj>qAFrDw`Mlk+_XB??}~fvuwexq9vmFLo_6<{ z%hzN!sd?J(-VwgJpTAl1F27N`%kL`Q>yO!C?An<LE6mUf{61eFe{|mHLjyv<hrL+8 z@{#<6@J$i0mF`fsJi}UVbT&Hus($s%6o0Gak4vm-Yu%gmt6+y+GBc=p^r71D*Xmb1 z@>2b(nS1@wwWALed-p)XUVoS32-wv}7hBc8s$V`cylV8J;o*DzJ!+MWJ#EQRzdK=L z-`gLZom0Y^Jq`=)pmo6&z5TxI-u_m`0e@^+MahfxC6By@(hvA!iuaYgskqXaFQdHI z>u-L<g4KC+tv7W~eeol65^7P>v<$0ain6f}-3W<0dP8zq$pN$_s4Q$F%Gnw_p|?Mz zEV!%=rMh6DA6@DybHf(?_93wo+?%{*6Jy_~zYTWrrOI+XW^1bn!LXq3u){2W9c@Nk zU>$!O>r;Ec-=(a#KWxV?f9O`q+~p51-dFl&eesY3{<zv&)Y`kz=Ddn~6J?kiwRBrr z@etP9LJ843qElV{CuUe>cPlIVa9FmxtKIdkaV;vt*T!r#x?BuP;N&ul^X*UhjpXR+ zA+X>snK}AUMtGOZw*M&cbL`l^A+WOFi@J1qJb!0g3DUQ*Ht#_x=(R`8{;PMfk6P9> z$wdE@r9fIviB;Jf*7h&em%`S*Wae#gckgobe+~W1ex|j(RsPw&NR0Ley<ulR!1yXE zsAF-n%~4fdmwXvE=+Mxip0A=@9sEsv%{S%7xzb7oMU_QXgw-W2QTr>_Cuc@fKB_G4 zX>Y&jvz8lUtBk{gJuNqMSN8UUgIZO#-V{;Qa$TFvG4bB`w(+wQaAlN5Y-qEl=)^VK z+gHbLY*!OkdABh*_NLgJ*!Z>W*2PsMV&8#rtl#ps8{1a3t889=t2b^_#0Cpr*se(M z8&T_8RK%8r;>yY`YgToE-&M316n3eU;i|eJe#u@?G0NILWO-<H?8cT=;p=*3&W>vr zYj%uW8@;A!dDyy(U^U*PI%3o7hb@=;@E{|kj3t&V$GC3|t!c95X@BsJzW%1!PhjR^ z_U~x5>oOyvIvM@&gx^)0>~EL%LJ6-iURUhR+SvSry3F5YzFEJb)LMslALbRW2)BFl ztM#|QR)2X|*x|vUFC#v*%Gw-$IMn0qZ5+nie$N?o;g3%$Nhk@b=;v=YAl2V4+Pxm- z)_Tg_o04JkzPV&Su9elLoR@Dx7Dler+U%+J_O1n0kT5=DEN0f=x_<t!=!^WW0j<jW z`9q^AA)%yoMR0jsX)1VL!$Ipk72fioYWJoVC6A*Wl#JO^IyQrHTWvw^TF)k?d>MRe zT!FE*SC!V*-&{IAgEjDOLKy=#m+mip1-V!cceS-STGiu0smC+0%I~lvqN-_SXub6e z?le21YKA@@vMIdU%lSGHv0IlVOgB<8Ll3*HU|8qBTwh%J)XqzGwq-o_jkM3Gt-rN2 zvMxHaZAr4<^+x=}D{yzXwRA<C>jLX=hV=^Sb8KH&b>yb#&9KQ&&5Ij!X!v03t0+%9 zjQ@j!!pb7cgX@~r9PsxjyI@Ipd01x1XDusZs$JM&)UqtJs`;jxxRD8=8=9{xI&olo zTy<h4XFyz;ucle`bKbiP`q#x_Mn(<xratL0k9(~F$5s@_RaXz{|3dko8{cmF`9Nc^ z-y3fF<|KGi9~?9)&wa>no5!O)E$e#hZ2EZ<V<=)>zR*85{XE<7z>oENuf~3a5eUE9 zl{^%bH`Uwk!9hp&#Z?|L#<v>YDsIC8e{pf_G0PfM7q`(BAGa|)z8vLk9hCM0K7H6> z;2~JQcdr0V%lxLttf<k4#u+if4}ubGcAe3!pe}B6vC*So?VzBH@VvNnX2wg{=-a9; zuF~uuQ(|SJcP`^jos~Pg>_kt)vL7K~A$2(=-b^3jDoWg`BZ`;Dxi0#8Uk9=24-MG# zxA`otvRO%3aZbsI;teHt6~9&T>*Bb|f&@3dd~d3L@}?SH)?rUVbV+RS_>#%RWhEPn z-!Ji|{-N00+e|O1Yf+L=oL!QO+%?7Tm3&kjT^hUGI_~w1E4`t3ed+VX@0H>%neIW4 ze_MQT--6FtZvN;mv6(g4LqKycycWZ`&Gq;-KY>!=2nZ^toDRDNVvx|fj7o=Y7a<C} z2C@Oxl?>@Kk|C}j?&r6Z;-l|m72=^5)0)CGqpx9zJBWMstydV5y;6mE@|lLpUDtK% z_!~H~FAb?0KH%M%dEA~^1k<~M;B|khM7T3lKKFhE23-Q$nr343jG!#i1?CLW;}D6h z1zhV0c5?*)TMHhFpqhwV3m%C`Mi93a>|(lY5jl!=g*;F8(Fng{-61}pwOv?jE$GN@ zc`Slj)KHVUO*0EWu#m&!DxDdQK2}=`NKnZa?n4%H1O-kbTh3G?$x<a3PJO94Y6-}Z zpdx%A%xuO|K^4K;n99s?0Hj2;Av;!yBFnWEa~xS3U`DhFu!qR9fo9GZ_+5&3SbJ*$ z`{5&UYHI<xd+^Mvwid7oKf_aI#8n{7E69EaR&6aHGX*V-_(LG>ZLm?D$&OcDhn)s} z$mKHaMR;hA;8#J+D_Q;ovX=!|K6<OI1rt~SDp{jxz#fW9zsP1$C1<`%IZ23X!V$Dt zBf2Tlu&tj5(!IxY0|V(43*|ptGbLxd$pn*;AVvwYdCm#SYR>s^=FJSw9SrV<oee*c z%?x2U>9MJ4;*x8UX6UbwV}&Hl<jZt33Bz^^7uc8v7Tt3e`ye>;u@7PgJ2K$Z%rw;T ziX01As}g*Q%push%Bzb&2V6KPBqij+!%978H3n%HJn29>5LBbn@EEWW9yXraib(W8 zS}-L=B&frKAuYi+phY+d>h2Jp2cQux%x(!^!Yer>euK+G$sw^H9`z!8q{B%Zw8Osy zv{1c(N{~pj8JN_9kd~gjB_dH(e;k4FCvS=9gwXyf!5G2_MP?In;bG%gt!x}oOX-;b zMAiZ|_AevBk%V1qD4+*C?fsVl>x6bx(!Y$A>;lh3#2)als(00ppqc@UyM{!n%>>tw z$bd&(1_ZYct|6@y4dB;<W}7Gu)m5$wez`D)o+dC_nt^+f?Ro%)!DCzqY$+sUaQtmc z<njz6#|E~a1U8OIbR#iBx{V+|MXVp*GOk%xqua~my17Wx(AsO9t-Z!>?I6?=xr1v+ zuyx3x8wU~<RPJH?z66iHUsXhcZ{pa`unL3Zsv#4JOG5(OHDtJ!CIP`UWG2C@{7YT< zDil1XHi1fU^!~CM#}r;wGyt786&@n|*fim)Aye285L`oM4ZNBU6EGhN;31Px0<UC} zSZy;m)}Wfou{B)6fmJ%;pdv3P+-KLZxQKOpO;rrWwyYQp)RDfyP95P~D`&N^_kgev zJ{a~63$S^Dv{dfIGFHy6MEQwjdYF(21uDmZ&O`>M-81<tCD7hL!2)JGc((o?cxN6h z+8L(HV4KzQ6?&R@2p$8>q2uZ(b`%h}K!i!lVGZVXijDBtp%6@b(59DhF(nTk;gQ5S zHoc5pM!R@O)gy@{%k)5E%K-+mp`X;Sx*h%W$7NLaa=@5P4;<(lQAg!o!Y>ERWn8O} zBj&<4qls(?ihyObo{g9nA1>oeWW!{e1fmnk=Bc3Tu}y+G5+6XdISp+AEzqs3wF@Ij z<WL~y#Ij&gY(WUNLm(?c63J4n&kYf&9WeCM(STL(b`I1^xoh#e3Lf*5uu+lY>M-zJ zyTC1jU|;v@hIS#YYJ~DyzXcv+lFhvUq<MeCJ_26*hv2a-%B&%ALNSZX8WQ$hVUeqb zcY>?bb$$670IzM-q2UrAhngsthYE0m1unQ}i-J?)YRD{uM{)vhQs~lWi%9H($9*Q3 zDEH+1iW=_8m+P5`4EF{8`z=H{Y&dsT@IP07cw7YpP8du<{@)sAhZ6WN3=&os>6;>R z@_!Y#O&}-XAUx81vrM<nxo?A${tI~AZwYdBZ**SWTh-Ogf{_S=X87PSg$d8XL-wj7 zUchB`L8}A*{2aH(BnVGe<%}iBe+J&(m1Cnng?C<;<hx)N>RlGRLtYE-OrtL&XP6R* zAIjuRcgB(LuVmUAASjbpv@^{Q$>jAV_Xvf&c(Cz+FbN)A@yRkIQH~F?k*e1&*FkY$ zFH}Ke*`M|U$XFe_2mSXJJpN-hJXVa?v2h@90m7lr#jzW;<I2s`@Xx|ilG8cU{RP?o z`?fBm^no=GM&K;I%Z{xJ>Ambd3kleQ(4ku&Yaur+w8I{N)b>{X3@hQ2x!}25;mUL? zafWr3&q~B@h4e1itdNv9hI<t7Y4asMd~!Vr`va`GS+vSuoHWF0oeQh~tT?L`zR->h z4A{2N9LD)!Nf*IFe}=UgX4r>l+n;20hl%_8qC%U62|Cg*^riG|--VkT7AEzv<{}?R z*wN2Z5_(#BNmhyvnUbtOL&g=h3~Up?R)@Jh>=d8`RH(C+=c7SB%T8)<12!(CwC`?p zLpC3OVK5KbQAzPBoveJdqXJpEPl4MA*pigKDd5}p!gu8_wnDSGkz$Tc(aX9#P?HOM ztW#jGLidzW*5WLy3A!_B6u)iXCqAuRPj0Vh;=}d{>{w{ueNcQ#FYd~~h6`*}2=(bb z3%->d+hDuG3U*1nwF!3fnz*H>bsSq2ii>7g`P-ljFs%p7ZTK?$3@9C5;|72ddxt_D zb|`E!3$1ssuV9;*u68K=%t}tTVDyho3fv-))Ys~OT^G4&+#uky9*2y*u=>YVfczwO z%tmYjIF8K?_*{IIkaq!}H+|Mikor9KKg6apHr&^Vxuz<~*a?!4Ef%up0g;fHWnGzM z_4ZkJA=`0eADJW))JN=*0(%E$_{LfZ91Uz-SeVo|jl;pb*f;?p_=-Ko5A|w;iH6`w zJ`PVCicCVSSxoyhCPqV;3!4}0S~e;!IoAr$O&QePTAI}<TWx6Ieg@glfSyyECNMj$ z^I@k0YSrAApm#K2ch0@&^*<OA^qKLRbr(kFI3}PISC}i>bZpbn+K(LyJ6-QtzrD%T z*|eT_WmzxXlxx+xysufch^lq@o=hB;+#|6^VsRhq@7VUS*k^rf<X();4R2xx#Dgvz zIdD@tFx?8lxd06HS-Y_xg7_d1n`E%*0rHcqT`nFUpd(oFZe+*M?7t~X?Q%HTEFaq( z&S}rXH7R{y>;L2Ie3(3W;Mk!lhWgb1f5!%hl)k6h2r+r`*dgQ2Y6C<{pC4xf#5wGD zQ2!ymw_)OkH$b48KhX^k;rRD|y8RD)8aU<t2P$uVoc#~zxbxrm*H2*RRpmP4*9(s) zKjqQ{HRyEIfsn>aigHX>?Re7D&wry3uJbTq9n!>V;f}a0&`^8^+&ArU2oN46TOfoF zij>ECO@MdGI~WQ(LPvlm=QK(eg2{H1qX3<*0O=gT#<os|Q45(Ym*welZ)ha%mga`x zLzs429#<8d^5&%2cD0lTu>pB^g4TIJ8V^8T7ZT!h+-=~U@@|AYYRf5)*N?8>R?s>R zNaHt<_qiSfM_e^%r@U>D$97U4HKAJGHqeyEvgn>@4ZAP<(O&V4qo>WSGo1(DEWAdg zuzz&ATCf=Q1v+X8(s8jyJEo(fnqAZ6cOzYY65@1|>cBhOvD?wlT(HsYXad?X-Hb0F z?_-fg&}9)F%`?gp&u;%iE#~R@{SWN%26ry|A9$ZX{r(5_|L_O)Kj0P)$9vE}v;P58 zM%mk6A~;wuTkvwhse&^F3k9ziyhZSKLB7<}j-Q%J;-iAQ1)mXoN$_<+&S|E9SCI3R z{1L(Cn55)82=*2nESN1go`{>~L_xLx0T;_P<bhOBP)@P%>RagG?-IU3;+5Sv(%&n* zvKI%>Wk}|KR?@#H{2Rj83IBoce-K{TizEM0;r$XHj0=zT;yNx-*@Xi;kw-bn5`MAZ zAPHA?;Yc?|_{oB^B;7pWmk588@V5%TPOy@Q{@Nn=b0W%B-ztavUkd+{#J?i=TM7Ri z5$Qe>{tz+D{)igo{6pfulXwiLDv$RlmdpD%F<LNIP-#;T-cxurU%~T!$n@!gYTkk$ zDm?$^GM-8s;xs`uf5Fcao|-(yFBPO#h&=x{5!VQA65K5KfZ)S|j|=jD0rNdC_>$n6 z_FsG~>HZ>kRPal|dcp4mgE?<dPN-nx{TB%mo+#K|u$Lf}Ys{Z6$klA}V+3;rsV!sp zJV7qflD}S1?HdBWO862%b^RcGqwre=skmf*z3*bD@J|Y=>k09Dgnvy?T~7#qNB9GR z9}DVz7)ONvtKdHbEzUdS_XtJ{s_PBmKH)nE_7GIp9pVQFpCQQQampJlI8AV-pt|l5 zzfgECDKNd-e+0Zmc)kCkTzGXoB7U>*cMCo!xJ~eJ!6yW31@{QPDOe}?zToc#KM_1A zcwF#nL9Xz#zAnqg5J9~!gBnuC`vkiR_7tS{l<{0;BI<n@qlKR&m?t<(aIRpX;1a>* zf;S7^DY!;Z@58uP_#J|~1<!Rq#v#dfRPbxTzYFr=itXapric-OO$1vD@+(t}?<m++ zFjcUx;KhP^U&ct`#|Takyh?DU;MIb(8DTlg1n(5&${oYE2y&r~{C2@zf-ecaBKVQu zp9BvH>U|eq3;%aPzMxR9Tae$3A|E3dC+HLGBB=LUqzT_&aDZTj;AMiN1;-2OeHZz{ z&k|fLc)j2X!CM6NzKb=&-y`^d;C8`Xg4EcvJ<kiiCite{yMp@6050Y*{TG7ug5L@1 zeHIaTaAP{X&!Uy^`iy|i!uJ*AY8ulI71U=0&=iQ_Qv?eH`GqZpFA}^?kjrrlUm>XX zS==Ffh2Ta(?(1jz2L&G!d|dEZ!CJw_`z_v+@DBv_nE`(h{tLllg0y8~c^00HiP3^F zf^7ub3Mz{Tq@xi8)9ZZ}{e>SWI7D!SV74I5rI;^YaIWArf=dMTK8uyY-zs>gAlL3F zr%JF!@aKY$2<{U6rQi#KzY?Uq7UjGz_>thB1P=@TkDy;r@54CL*#d3w!b|yW1-l6L z5Tr>M<1ZD=6wDH&jTqyv5^TJmW0{1n5L_d;Ua;|gj+Z3-6~VUz-xK^)@Q@&%HCcYJ zV5DGE!B&Ftf;3HI`cy%hpphRUI6^R6kQQi+pC&k4aGoHh=MV1hKs8l<{aoAldG;HL zk0C}i>^JU}n%w6CUxPc-{*Sc&X=!%qGw=UcK;;WmNofkY7_Ff3@c&{>{qet=^({KO zGlOexe#%qXZo!UN<9P8P^TMu~)AD8%_s?8oeD}9+zx#P!*UVwN{Lg*ct{%3j>iI~? zahtyYn%v;c&A^QvY*UBgS;A5;T-r8u4pPA&buZFe;e68Qo1;QJs{z)Xd}bRxgCXvq zRA%nVG}%j3h(|rDdzCdSKAz1Ny9O)NvCQiBtOo?SVTbwzd9OQ21-tVo!0YCDP{F1( z#ykPu!zWI|b0L0<JQxULnP)hDZ}Kcd$c-NEm%YKmx7ekIw~%$Y(yRsNW%XdYIuQ`! zHjp-ypTfQZL>TN<pV0QI;mw)n$q34HwReT{y$+wkR@<iAyTdt6@F{GY^%~xBjD9Af zDa1sW9T91cRJ8=9J}XQ#*<+FTg61d^K7>S$FwD`(AXp090Gm{jcadd@<`|a1cW&;> zf=#L_u-u5|W4JkPF2Y>p?k0m6cR73IGx(<6_=DWd%(0Qz8s+Zb#P(EGTd5tInPXgw z5uWW*x;{6X9b<mW?Dz1;Y))u?2Y;&YgP<nZh0rX_ifj{kd2kbRtQpN4yx3+&qS2?b z*^Dy6@ERHHHp9aBk!hMmdb$`^WE@^9y^;yGkQfD~BoW%okv1&C{&2K>ojM$BWW&d* zBa+NZ!)+7G&f&wG(e83U@P<L*EG07N<WEk6Wlj`9Ydt*Q1Xx^F4ogkOHZ5IYqQxl? z)9g0bLc2oC2O(9AT^x%_3s1+bp?Q$O8Y_p6HeAL{@b*xH=1n8usGWqR2q9IGIfTA; zD1HMj0Te7c2wmY__|7AdscE=4riK*n0Z2rk2s;8qz%>O0dl`bxIacMgOB@?W2!i5a z$KxzzoFnZxowf&vBzTvRTtlQ_hwX`a*GCYmqa90gIw$YLtaGVPYW~Det#^LUWY)Y{ zh3E8z$F~i$LuRVgjHVHt+u|JcPVGk}cC=<Bsm0ZKi|6J{S+GcdI1V56nmlq~&alZN zhKw2t7&3P3=&`5yx|m%LSZYiuT)fB#<QzAA!jQ=$hmV7p!NY!F;Z-f`p7eRPQ=F<E zkeV}OT+Zaofx|~!K6Z%lqpiKp&7Zp{|DXK$T%c-L6TW=pkWo3(Stm8AVeR^)VErMw zfQ(TCM-3m9d5)i?3*;Vm`QX7r#*K3<#h(3os$)L=NFT8K;iXu9i*DiE$={zfqlR8S zVjylCKdH~tDZQj~eU|qq?RYO57#X`VcvaBN?pv%|U3_pkmvvcuY%l4gv)4~`Blzhr z(6&K8p=VB7C)IsO<bOQ6cJNFG6^nBG=j3#Zqw5T>9-I_s|1T3#flkLKBGUMWtQ_T0 zZ>*jEFT;OJAvzHF2_B&+za>1=(32kzuVsTY=D<@wsGW}E|2wC=FCgzhL{c8>qUB8k zt@D6XpEIZ4Ry$2DMu4Un$8!g$|J6>D*C4=IZUq+pe28SZ4A<qZ0<H6aG-?syBOy-5 z6@z!m+uan;JqV*bJd*|FZ2+zFfHeLHc}%CBj$4ZWr@TE5d3c%&$a@I1&O;f;Adl-k z+G+BB1UTir19^Jf_!Nw6idXL^pgAAtVlW8Qw`xau^tFOI-Te^8KGjaAdmRB7f(1If z1uAioW`MeW2OKn`bC6EUCeQlO{SMyQjt?FE5`#G14h(1D+5l;+LZ(9`#OZR0j^-J; zc)lKhKt4`Fw2~JMWSRO}18HnTr)7~4r{!s0qci-+_>P@V3s$Im#SXLrSFh$d!VS(k zspr@p&ddg98Jt-S&a&T+0s%aGy*)Vghwa$o4bCze^X$O}$93LLVA&`9f53Iz!41*2 z+*AL@3_RzZesNd-^ETu`2J3;49g0^5OyM78y>|%Zpo=)}#PNcY1ZN4(6TCrig<z>5 z?*YueMeyf>+XZ<IGX7b?Ukla=z9;yh;GYB!3LX{wTF^v?Q(jlW{(?gV)%q{urwc!y z7z912;B`b?0HuP|7?3~P@7%r0^pLN<3kFo{yU70u!%>b}S3)=)dCqDgUiuVrzLEC{ zb`b0?*h`Reis>#C94<IgFh?*~Fi&v0pc*&iUnsm<uLpmV@OKIF-pq0;1=To$e^B^s zg3k!PAoz-)8c(EuNBI4MR3uRDVZpBi|0byBvB$ptn2wI9`%8^8cwU8#^kf&L*orUp z`=8R2;e@e(o^0$H^kk2s4d!l45I1~dr}boNp;hb2IEvOSoKkuZH`g;oWi}a+I0SAL z;Z%AuHRRr)4b1NK@PcU2lVvf`?coEf(vv-=61o3Z$#P#sXsjpW3ajBs#cz=Z0|q_W zK>Xh1xfUT>PsY1IBRv@>rtJ3)=*b=m=*a^6x@|pKU|+YbCwnxYCxZ~~>pode_L%6& z;t^?%IK7^1q*G5eDjBJH6-Bx6Khhk{3y2qittZP4=*bWyYBLw~WcQ<y=GeIiqn?Zx zqnUF8zntEu)025pPNOILE3@CjpYwXM^LnyWOa$KLp+Lj0a(p34f<Lb(o3nU&J{C2h zP?#}m;pFeX9-qT@qPL76(v!)-3IUbNS?b4VAOC~;G3WM#fQm>R$#7mjR@k6aY|xLX z9Se)j>d1z3Rf4I>5*Xp{E7i2F^xvW<<CcZ<da|j|mlZB7oI)(n6jfqI()1~XQ;ejk zix$}muruh%{u?$joY#|`*OT%8Ki|dhDj9I+^<;KeoY#{DrUVGSAvd`Hay{7@HbK~W zGW-wtm+8r<)1$s8Tkvwhse-cvmA(b(&g;qS{yMKGLq9-&^`Fy|rKI*gm7WaBvt$)+ z|E44-_wC!)=S%CKY6tkvY)_UKib?+?*^{aLE=ru+d<_V@Cm1KfH+ImIO^4zJTl~?n zT2EGlRIn#&$p~dDrtQgSxCP}Ht@N!-{J64}4#(}egAv{!rMC5Yehy&`%CSm{c6;6f zDCOAS$a~$fD%h<w<6d_zq$wk^&mqYZ49@Vt(kGxC8-jqFJp7X4jUFDpaD!(TLZBR5 z00u^6RET@OLA15d{5j|lH&xp(B6~4{pK+uS*{=ddWXcF`Pr!)m5{h^^!bCb3jL47= z-)s~XMr727S+7QT5FKGo1q0<6Ye`2<1D$C?293x@lJFsfMq~}jF=aJ2dN{(Ba*QRK z*({I>U@EVn98={(ImSH~=2#X)<=7>R%VBu|<rp_zoY{zs&5nW96tYu~2;~@|`3nA& z;RiwIjmXeN=Z(nDE60+04WBpd49c+?y;INnTRW#vj*W&9S-x#V_D?9s<Y-FN;S35g z`^z1t)$mckf0y3u9JN;VC<e4vKcX?(*(ur7_^5MK19kYfXwJT`R{cpDknx}Mf6#u6 zm(NfB10O#%z1TZZ4R%O;OQ)yR%6ND@-2~hmQ2ao(b~=vhKp{F1_#xzNMPy6RTrb!1 zrh(RZKq{N2v#}q0%sM;!u^Z3Qe(V>hkdGA*r|VP<-r0`oruL&F+wnv8WA8$qdc<rb zud!Zin?oL6xdZ+35NKToir|;@&c=T1S;*r!v3}_CfIL2`Q(iJW9ry0t(P-QlUkmR{ z$GuKxV?X9WCtZZR+UdF<K!Bzhmw|M;4&*rx=o;(AoccoAO)wqX)!2Tl5b_QoTsvJB z(a}6(SmfE+kCmX)va~Rumgfjkq%-`y{n-D-e$0M2ID`Ed{|Efb^kRI?rCyBVPUJm~ zs7y$Jyho8=Ab6eNGQrh?ytbLHTJT=MhXr>ED!U`3ds+B51osKPFUV_$^8PHyc}||T z7ewACi9H3=1xE;~gA)+1?8l(LDPTBo4iVSQGQryg%ZNy?bO(s95&j{G-!8oRz5&wx zT6pz61El{QdB_jJg-1DbPCF<*N08@zk?0fbAb8$x?BxDZx(W2t_wB|~`t<QNxPaZ* zY4l+!DgFA}3b8Zm!+d;Dap=SJ`C99Dc*~lW4=C|&YErhkz`HT3Vqig&>X0>2mG1H> z39iG-4V>}guJSf3k1UI+XpS?sE?(Yb6HXf1alr4}x)*0Y-R8|sShcIkrl^gsHQ~wT zG0WB7wAPqq%{N6>Hr*IeZl=Zc_Edze2`!6W8(JM!*}kAz-jsqdd0Pw0^8Q@#c3!35 zh>lK;PQ$4%YeFlqU+dTdD~840o*7+bpd6goQ}$+TaK-3jW{9!TU1?Uia6(X+*LAqW zJMM^;Rd&RjP<O;N;SWb<EM?voi=+N=`w92qjG&+6W57F(&YXP=9=P;T{GT0^`Suax z!Zk;Xth!e2qpOw<IqIGrbad71!Jx~I7@=<;F|*2A1sydIQ#{*(&p2AVv<@+AQAW_w ztxL084L-Vcwi|xPQ6KZPe*1_u!Mvb!S9EXd=<=l*N0&pQiP+^!o3=8#zOv6#W!1Y& zf~%}*qsN%K{m7A*@c0*I*62gGhppO$lUhvo(YsMzJLJ0?<+WS7ALn(Y*FCgz;}N6V zS6`UjrY2PE3OedSniVM7h1TRx7<6>S(ql(6ChX7Hadc`{kH=#(k@~`8UzisL9kq~h zB~n_m_h$?~x^gLERLky7sD_+k)X{*9j0sk}ZsGonV@Ib=*l~1vmeJ#P`&J(@mU2|W z4=;DUbi|kqdO-N$Jw~xjCkItqhX<P>$ITF?xO)iFEDb;I4iB$G${pQ5bN2d5?8oKA z3)vW6MLEXdm1anJXeK_sQWdcY<K&J`b{$^jitark!6*w^W3G*;C^~U?d-IK$J=K=E zFE*+&viy&+Z^t$*i&`6_PNC|yyh$}?;MUQHDndezf0w*sm;0DyHmx?wo0&12d~MCt z=-zFQB+T}dS!;sJL)Ut$BRA&w-IsbR2l(9=HCf`Rh%5^#=WO|VacGU3=ZzJI9`?9b zXPTWy#l4F2yv+5k3e+_CspELqI(DG=1N7i}jNGxKnXRM#vHI%)N0+yL*w_(^nbEEC zh}rFP<Ji$DOBclsI-0l?Gi*X7W=lrwkfR-!qJ9^>!+yhDH4hJRwf)EKU+<0$J7$K3 z9*?#%j&@pF9Lw{jo<T{8b!#z(73j^uM?H{ZUdTD=K5T{!#;Co0#Fh1X@CNt{%*3@v ztk&RLn-@R2E2dR+?}3;(lr?}-x}nT&tj*dZygrNxl*0O89#hI}TS_NNxv&Z)#$aBv zOgGC9EsnKI49Y@YRRg=kU{xaXvb42FJl!Cxn@iQe*PG?B2G8%aHo2-@_2vwtYTDzu z3Gbo&-Cvj!Mju)ozEaJ2)?`M;S38IAM6YI@_`;k$Az|&VOk9OCGOBj^GIwAeBL*C6 z^$vOhTxfN|y}MX1cl?YDqx<1~<|eb>U4ssOVJ?lT^KNl(T#xg$IC^^IicYkDZ1Rz< zOLH=x{w$<2sLFMCB~BF!uAY(*dfXivTwZkI%k5E>F)BQ&tXZ{p)1I&HvTiFdy3eeO zs_t0OT<%lmMP+%Lcg>3Xq#(k~Zso$by^|MJdH?ZfGdgwSpclU~V%pbD+S#O_RbEcP zq`dNiO?mGWe3%#Ao3SnG8izNT)oM!4tVvTW<X=1M_Plpy;iM|dhqQ0z9o!dHIi^)q zd9$i!xa-E(MQt+EBMMxZ_wE|xcTeO=Q&l_Dc8>A8aZ*$j!@?%+98nOR>ADCr`?A#q zRl9QXyeY1Dqx)C;TF7}(;h7<oLqCnqeCM;h{Qu315k|gL_?!FySqOY%hjokyB*eaF zWYFsvi^#ZwxM*hW!%tveyfVvn2l*NCKa9wp%cO2k3Ben*m0?~_2B2XbqaOp^o(%-k z`=m;OP4NtL=c{~fD*uC?Ll9OW?nh9t`*Hl@=%p7USZN-;6j+4_`GDyHs}LbqBPCWL z)Du~IH@07ceMMLty_7)y)rgk?q2@^Znia^8-8}fOOlQ7~vU&8<Iubn*65a}gxt;=V zBKs&)Z6F(uoZ)<gGB-{Iy987O%QGt(yNN7oV^)!!3MmnME;FmiK1Y^inw!aff|uWj z&;ZMK*N9<cx3J|rE?6DCR6{O{+_YrFRNM-3vkY@9tHAHWL@Z}M#r}zLvxVUf@Tf}} zclU7ox^UJ~eSn*Q$cO~g$-IX}bc88&MEd~C&y7TM39vksH3F$5aR}bx)JaVDGVA4v zOEpZz-J!VN!o3VG;#2m)eXQ+qMovpH%=?+~Qao=)PD4h;jZ+*lDsCn?%z`&ig=jTx z|LHXs=idBay*J<E+?($`d2fEm$$RrdoqO|7+L<4A^3MEl$5woHZo{ET9EeD;-iCeq zQI1&5g6Ok2F^LlvCnovPF%6E-<JgT);7TA46nL<R#4dQ#m_Xo}4l0}kzXODDf-Mi} z8>FF{O5Pa4LU^1=kxg6*4;ed(NbsG>!pSi;Bv#mrw}!->HWOY$q5>XAU+loiC*d_@ z_zs05AqcFOl1D;)`wIoJe*9h$(hyijl?Lepj#o222SC^XkN9mxByNNp9IQ|Q*s92} zgl@RVR1y+@#U+LlF}?*z(@#EJsfQ9hj==bn4_D&tv9(H&Ltvla1d#`Mq7vyfD2yLX zAZ}6RXA{^u20L1r!PerN4yek6SU@7YI$sFb2_8~2g4?@mNcRZv-Wt;U2$dZlUPF2; zJo8opcL=QqnZ@c+W*SsdIUjx#;qko#0_Tb}L@g!THD2l_Qk0Hs5WCc^#p*bSQ7#$3 zS@4*T1erer9P?+lWB%Z9sD}Bo(4If5kqw6oh1ZZ+1CRN$Tg@Lb9A}I@fz`vn?k*zn z4Lq7Sfat|QqkIB;m%+N1k(Hgzjzt*_Jq*U~-gG-AyoSUj@W?@6H%nW+>}sBvfieht z;F15v8urg26+V{0E>iW=eFagdwsNfh9(axr@iq8h&>VHrZw7d84e1l`Fv{n3-vW11 z%UI;DA(3n|-Wn3wHWOY$f=UA9;1(Y02S5_I^%t3Mtl^l?foC}SX$8E6r}`Qa{Ei)F zCePqm0FO(Nz~gvu#ot&%qQYjD)SyDj)#BH#WG;clqPYZWA#lkx6quVBDB}v2Q4EhW zpgshAVUtQCe%wgN+6Ajm#@X~O;9W-9XyO)|9`TN3)#-HpJs^}4#3&n1R0<EHEKAn~ za)wjB`f#67HcaRH1_Y~)_+ceL_8REHj<kG;P>wgXd*E>`5$yRn@mq8)?>7k5SB>N2 zBD_Q01CO31$V7fNa1*9X3gV$V*+{_p;^Z=pmwk`BlGB{>nc~-I{U@s9#<TzITikeE ztn=WWTFB(+U{;nDO+};ZVH!iAbqK;M)PD|^q!Mwc>~>tq4u4BE=}L~;dU(!W4x3&2 zmAuHhsrf&SaG{$2I5~*~Z&jf<h%Y$g%MKwYI@ajh6|Siq9>K(0*KU=e(5xZBt4c>U zTtMScd*#?YY>FOoK1%YL5W_Ueh1#>rNb8~2>2x15&X#0%wNq9w<a}hy8tITV@&{xE zrbFX;@tdfBvSp2+VUE;m#1F_Cak_d%Le4>3)@YnstYwY<0a>H9EZ$V)Qph6ivE^Jw z<j|u(oR<af-OBOiBl#{PDqSHi3*wu0zRPs^ka3x0GkB+xOK2!Bp;P74X;VS4(YPE4 z@+go?^uy!AA@F}T=H%8Q5=}8X9M=|Z@!Z>|9KTm3B@d7wqeny7KpmFZ5H?7M#eqOk za416@p97rE$=|Im!T&+@f6-TeY(+kL=Pb@e+Gv{TeJy<K2fkg~c2=a%THv#yeHM=u z@(oBqFpfu>orN=ytmfXP77rN8<!{@h%X;-nvvQNHE=g9d&+3+BU6F2eEVE*hkjKjM zS+)3{>$SdYoY#VLlW<hh{IZ@st&l8;P2rg~OMN)iCB;dmq_t5YQAyS<IPmDG!4zrM zaG%vZ7YEb~zQBsfvKI1)m=siUaCa+)rzZ94b=k;{*40TkWhkvzuhe+dRL5A?XLae7 zG7yngTvD%@Gp*Yp_G`n}yQ`*>t<s{T(WuvV#(UN=9I>;;^z}%zj^a?EGSf#Q$(o*y zcU;qY0>=-%zAV?;V}5Bpi{PittZW`ZMBmPO0Xcqc`mA5#<e%qoV9>9CHlGAc>W#ym ztb3MivkoE6dYpmt5fDc);Q*hJ_{r*SEr-aPah6RzPPtj(%C$z~>?xe#Gh-x=0m4s6 z68g%H#F;;c#Nj{ANb6gb(Z_R-!V6EA3+Ez*r(Zh9TAH4MdZ(ZPI6-Quul>Y$ge6@H z()uO(=mQMh132>Mec(gjLz1rN5l=Yvs-V#N!bq|z5cqdvMM7eaj>#AIvR=nQJWt@H z9^#W|#A^z(6h7|Cnt&k#on$@kN=gRZy_fZCa8KYcA4!sqW1u3l3R2>SC8u=nk(d&H zY5awKtQm#4dz&UsW9e<EqkQm_s=q#^KZ|r~YafTn(1L;~i}FY0&odS+o|}Bi?SEX< zvQ38;44$7qW5%LJRzg$fTs?SRp>bB5?Ee2b?<ZjCgq@Cd-M`;}v$r3zZz{I&*5Jhp zA@W>Je(W!Hu`;LJ6K8kws5BL;Y=l&G1C^gXfAQ2g`6zYfy!`1twd--gxP{XkXZ2v7 z;6Kq%=#x<4_`l<{=jq`1H^u)_r#!D`2@;*lccD(Z*NwCOCvVPU{HN@BdSDpvFBZ?Q zwo3yzpDgtA2Om<IPP-1su+M%(Q9(M64{TJIX*b7bsN-a*v)}^^<$2*J!0S99jjs{- zDG6~pZVq@&GwSyceuJX`9an%jN3ii`A_Btf^J`e1F82n|IuA(Wie`r4BOy-5aZUat zc|D;RLm1l;3a{l+xuo-eG_Hj_rqfQx-G%_Cybm4nc>QR3TS4nQAdOof?|wYpX{Y08 z6X2Bh1>~`vtY0L&mbVQw^CZL5b#Dp1a-(r${1fobbh)igZKqR<bg0e(fO4-R-9?%K z>iWIopc(Ckrm8;)ajYL*9lWz0Cmj8PrU%;5*iI)M@;;^jak^Zhqj|<3p`D`|0?k(I z-Yl2n!!jM+Y9#yq|2VIQ%EW>|1KjGqz;{L4>0YxrOI=Yqj_rYJ+~F)WBN_rMHFKRj zXI_J|)XZ`6(FW_o{<0lMq`{rbc|N?Soc25)`=4@$LZid<(>~asSl**txcFFWe)ooR zg4kRTQ&HK8bR^;;=po@Lf|m#m5#+s!`Ns=hB{)rxlbrF_2`&>{Ex1;&Qt)oU2L&G! z+%5Q_;9<dk2=d*W<+Kz`Afmq#1v?ROFY7OOF%jv93a{*Qz+WM}I^PF;zVNdpeWCE` zoD#&Z6rS(Jl($BBwKxX;Zs8x0_#MLkLiiVi-z)fgL8Ti&eYppQa{ngb{J0F;q3n4O z&aFv|=e`<-cM?8X_&&m4Dtw0UqlD*&p_%_@!e1?Tz2L2atBFXrUikY2sqbRBwSpLq zAG9xum3Z}bj`6{*N~Krx3q0>{9Jh4AA%epNvjuYme<nCZkpIJ&e~us*{KzjCED==m z58)NUZxp;+@P5JVg1ZEt5qv@LHNiIpe<!Hy#326@;SUNP7v!ca*6V)-wOx^y<Bafd zL9S4eZztGAkXjIi_Yu56aDX6JY#GnZPsGuJxq_1frwPs!<Ps>;-zcc&H~3qH=b9+v zHw)e;_@Ll!!6yZ41*w~2zBdGaEBL;kn)itRv+$n@9u@pj@E?NT3Q~7Qxsigc1-TN+ z@NR-hf_()q6dWX|Ht`|7x-NiYC48#j48ggA*9fX}uaJJZ@TG!x2yPP8=kDAu{6m6V zMP@x;6nstaO~H2r_X~b3_!q&Wf?o>O3##+5AeRd#ln=`ag{=hR1v?0K5lj*6BY3gk zK*3>xBLsPbIpyaHP8OUdI7e`S;Prwx392Ok<iAb$a=~?iN-KwWKEJV?ZG!q7o~MM@ z=kV+i{x!jUg6|4)nVxbE3LX<YA;^a%#=8Zh1!Dx;2(}gMBG^N)kKhG@g9I}L8=t?E zE8&v_^95%Ka<zu_DHOa(aJgWKAQy6&Zmr-3!L5S#3DPcs>7Ej-72G5EhTv}n-xK^m z@MA%Biag|Sm4fn)3I0v6UN97KjOPj|v9(}=U?)K?GB7?>u&-d2;3&Z>1Sbei6`UbB zPjI2&3c*_h?-X1k$h8ZWyG3xj;4Z<Z1fLV+QU=rOb9#O&{M&+m68u!~h~RNSnhr33 zuwbNMQ$c-BPrUGaM`Zdgg2{quf)@#1DwrvlB}lUn=D$jCrr_0r3k9zgED~HPxLUAG zaD!l#;5~v52yPeLCHR!!bAo#WUlZIX_^zNnx94-=zZU$vpbL-Zs{aI=3bqiW-3#Np z3ic7aK(O(7JtHN2jNs1%^|?LzoSwxJzFhET!8-)Y1vd$97JNwXQ9)YXuzoKJ?iJi8 z_&dP^f`1YGT<~kbzYEfIhw>r>TM5Psb`<O?m?GFmaG>B2K^p&1-j#w=1oH*w3SJ|4 zz2HrPG#6t2a=}f4n+5L|d`NJo;4cJU7JOZBpWwTK2LwMBJTCaP;5UMNIb}V(g5iSA z1X~LF1Um?J7wjcSn<mN`EJ&*+^5X?**F^qmK}=I+$ItgYhSL#oJ5>0MU<?s2U;25t zS87WC)CTi$-<j;k(~>XfYbQUm{df-g1n#8sc^3S_JYGB`A=6#u&MZiHIrg5scE!n= zM!SdAw?=2=EqKm&;bA^wsjP03=b@Ubz)&&L*umzoGt-*hw-E(H!;Ro9^$6K_xC-&a zFytO48a<IA?jScq>Pc&xLlxmwod%o3xrl18IaKchZVz9d)VC8pW=5|&f)d^CM{VwA zaKWrK4$$F?0_+XDy8R%$tcBIxt=?YS8{Wss_E1sy(1G_2vOR_M;*$y7nHBsgLzCPu z0z%EF@oNr;XxrL`Xx;{vhK5-rd<Y3=G3I3~g+82e%@M4?)nr+!Ig;!~_=quNM@_{q zz62VX04#%?D08fPv60##3g1CUj>1Ve*CHas{Vjf@&2l7=j~F!BfRH9D_)~@-g#RBu zV&Jr^`<^+S<7}IT^KkxILpq0D9W)V<4QJX!InK0+Zu~idCZ{>mCJ}9i@d7Wnfa4^E zMGt||Sd0!tfrwQ>V+ir^JjjN~l;1zE20Ak2A4`zL>N^-Z@z{XX3=$~a5Ug;}Dk=90 zhSI6`T}AOuU^~iiIwv27k#-pe5&e^2jShT|98EflW$51+W*2Ko61=ZV_xMf|)w-Wr z{|PJt4Zd*F;F05okF$R#kIOGyyg))S#$`_)F>C6iaq|~1oR&WcD?kkkcw9fy-(s9P zXa2OS$Ki93r&!8sDD0$lvokG_1==a=hvV=8&wPh2PR_kK^}3>3#A;Z-lr_t1aOw$H z$WC4Z)5~84^A{HSPO74FMeIjA>?W{8r}{+-myLf73wgShsPbgTg5Xbi4URVm?Raet zjEr98zS+9v$2gBgCc{sD(X9>o1=saSYhSw0h%RB;0htc3*~+B}YG52)XLvrYoGD#0 z(ljo&1H!b^ciA2Yz@u5f?TE1Db1j2u=vm%n@VX8lja>NcjsQ&#MYvPmlaQy^M)>Tf z<xwB5^MEvHFUGsMb~<hr0yNDy>T`an6FK7YK|9O!LKj<$Ft&r?y4)q8o$~HONBR(^ zosKI+fK%RUkjH<alotlC<=qZi=K*O@zvBE?tK)7(oKxO@hdgvqK;CB1IuA(W9mtDv z1ZZ*-;+*mhLLSG7^#d7@_Yi32;e4R`Gb;X+76P6m{cb^>t{Q`N4Z^h3>7GLXs<c3d zN8ml{BFzA`=zR{F(Zi6Ab4@$;AKhMfXFHBL`XvT&x}WhX5Sa5IjY7!#h=e#@E>T}o zjN)m30}#l2Ra1D%<M^;lv?Jhd#2~;TBH*;VK!6a=@E>CjJ=@sebg$SU|Bi1<a=x%X zY<F^P&gKjj`|;0yoa5SCcP$F2<Tm`z4*dUbya*@v`oLa!%KX2awbC2;xtIGw!Ak{4 z2=c{(@mC2>6Xc|3_;rHI1Xl~L6|5G#SMXuMor1hSQO+BJ9|(Rf_?@6y!$7)bm{fcR zZYkJ?hzY9p$OBW!BVD?L4-{UlT_B$KSH@3}_{qZ0B|@%Rb3;6x^IaR4hT=I+4EG6k z5ad`gyqDl5f`bHy3yu`LT<}W4s|Du^@=cBMmI|&AyhU)Mpz2?wQ~eA4xrFoo2lZRJ zzF?I+UNw}f*NixexfaK3Ue!M}HTj%$2mO-mt><U)Eyh2h|KS?x4w@V@gWQ=3nc@4~ zRc7(T*cCX#H#u{H=nlGM4%oHex$k^D&-bq!BPGIZJ_R)S5*3ZW#tu3JwPtC0IZZ9T zQoH)7k#GgkfZIC85dHndGNgeHp$$Y^P4N@ZA*ea+4cfuPUJqBd8gvM1ZP%?n%BXY* zuc<_CZbyR-VKzm2-5nsU;rokw5odTV!LL4?gp=VW&r*ck=-~mxH+VRV`2J!#>muJ@ zoQ@zIPSOt$5^lA1_eb*3gZQ!9xz(&{Z<`TVi7E;@h)^zMS?z`O8e!_Qjb2V|tApDF zgqwfIuQ?&`$;EDvPG`P{@CfK3CX(<WB$7p$lUPy?S;{tl#!7GsDh-Z#71=tlQO`5+ z<f$NeB1tCDobow-{|?_I0Y4uiK~r@yZ&T4ngt^%z%}f`wZeR{Gh7hxYKO7tc{U7xa zXK^yGbJJhw$-Df*^v=L=r<S78QM}l`>D<E?=}=7IT8whAc%zSY?C?WulLoa#Q-|83 zS!1<D%xTmX9KFVB3trGrTM#-aGKbK`4ka*CBV|Sl6*PvR?gw~uA&Ra-A%)nA4N|rY z{*MSa{zE#2jzi}gThowlY#<W|Tg@_#z!cc{(~xkSPUr)X2+u=Dh`jrvk@!{aREiN+ z(dnFgET-Ilkz(W=4jTD`VOk+R>pI$CTM<4OXm^TlKQYm2<FhV5i|;9(jru~>=KEjB za~{ll{@{_BKBWjbN%0c+hGC-vM;e|EwMK_0yPVZ2Bmt3U@ma)%V)(3yA_7V#t(FPs z&YVgdr@DwDzCZH+72ihW{ZKpJN`7oT&iOM!bno)cZoAF^zOP%trwMA%>5>sZI#?o@ zu7}VBKKs%!%zhMAtdWlAU#$;d8hY}V!E4zdjXZeT3~8syp$Krw%YeMCh-`^))<w%3 z4_fB|X-sgPT3<1%QMy@ZIR8~?r^$Q-ILrMc+EDNBESKTB+$ErO9*_p@J$xj@>9|7h zPI(=m1c1mh>T&LdJf_o5%exf;PI+k#d3?pv^=quh*@=iaEd=Q_*;tR00eO1d(B%Pn z4@n-*2fDp@-?<WftsUjj?-tbQIziYqI+4UNU1L4Y2BbS1J<dBwcdGff7c!mwGQ!a> zF^JRs(gd`g^B`5Gj}&45=zbwOnrFO*&K-b2-m4&5$>aF2Os72R{ZbL8otCG0jn44% zdYoqRtq1$*_bl`{r#u%#{<r?<dYt|kH0n2o2xbZL-ox;#1g8lu5WG%Mz4Id7YT?gT zkE3i@k?&>6r}a7egnwV~fZ(464+;KNP_0!$PHRj$*0-zRrGm<~8sX!~<GNP1)j*}k z!34jC;lOJpeX;Od&ZoS)gy(mD$Zrw;Ug38NJ|p3^M9BY0!ck4d^BQG%xFD}D@;<>1 zf{pb;eI;D!+mL>s@IwT11ak!^3##!#`o?-9HEsyMLDJnUSSq+t@N{~j$0Yu7LEh`w zju!>%1m6+-z2F}NKNVEth<tp}Xa4^c3}U~5SBeoJ{~!L7da2ZN(n}>@Aj!{c(~`k| zB8~J?{eQ6{&n$Ll#%IRDt|cqstyrVocYpi#yZpTV&neRrmBnrLL;K8$V3a0A1vdP+ z{sG$6H4VR(8BA5BWqRKw=L%ZKj#0By>7gu_$IEzk&~fHD$RB0PV!1slnZveavAmvj z;6x9FxOB$3J=_uy<c4%!SC&^Pc`UcP*5*nP8~hl>UuAYh5NuhRJ8W56YCV$o6{e33 z*s|;;+p4iG%Ql9#7F!nD>X@1MvCR^Q<_fU1Wf@AshY;JAW!P|#myo4gbGRSBoX+7a z)yyKx*=pOeTs9RXZCPT0C6E)aVOfj-ZNpN7h;y@H5zCaY;my#94n|nmC1DnZ&MhQn zLJ+k*=&zru4U4S=IoXDVcPZ#e2#ju|_Te)JKAJ#i2~QgqB2#|fhJ`me=ywQ`*y~Ju zvJHzvsl!C5f=+81mm@$qyTT-SQ?(t#oX*M9*vq93Zuze;XX$s=2VlHmn4RL|p|QE{ zUv0;7Hu@db`}@iZ74rSy2Bm`5UG&pi<Hsq}mVlCBo>P&aELpy9v;sv$e;9_Go$X3P z(R}9WXZ&kzSsIL3v{j2%&|K3GRsJcNu&8Gn=RR`YinZef3Ejs^_bPl|;!a$V=dyQv zVg8(?M*GL@vH8iHu>8mNjVEI~FbXH>K2Ex6%E0vi;_*1(s__iW#~<F8dHba&&-Vt( z(=HnXA2PJlWF`Wf^6rB?uCY=cpY^o7D?sZ!AdSC*;X{sgI<5c#nr7Tbc(Hv^CvwD1 z2kk8P1pd|3A&liRT$g(tXs5gy%P@Qh(@w`NLV#1=9=sdt|8aO42*|q)w9Z2rFF+pC zX{Y0EL4Z>p&)d-Q&_w}x)u44A%J?nh@j*~KP4daeDen`=)8hs*An!rY%##dH_dYs; z`{=YINzdnfXS&-lSf|o`Jd1Rw(gJ{T-yq$obRTtybrfUt$J#UJnsyuyx;NpS?fAmc zFMI&e<JMUBF&*;w?x>wEi|EvS^uROD00i=0^+URkIT!@pN0h1Mk#;&~_>ZCcz+<Pw z>0Yse{v9?fT-%Ed)POzS;LgT|r4^F1Pk3*k?xSl%c3W<vf46u@IF$)YKYiK*Yw*w5 zuXIB`zQ6Yq<a-7AA%eVrksmMkuhM(`QsloO_<`W(g8y#&6=myycGK~_n=_1v%R(W? zp1e=6gJ5^TUV^GWk#3Oi!v#kQ^68y&t`xjlaK7NRf=dNg2=czje7v6#dH*BcEBJH4 z?Si`maohPpy}=1d$NALAo+T~ioc6->JL|nL!*~O3q&GO5y)b-hweMMOlY6ht1(3p5 zrN$0+EIy_+z3(Fmdtu7KS&JE@_rlnlR)W4lymg3)vZwOb?V++9AKk_;jaME4G#Iap zVW8Wy4T6;M%3o9>_ctoo{gTZsLu|0c0vDPbB`6JgyN`hI@>;U`1UA6DMz*ht;s%)C zlI<rfH^4lMfZ%k7_ILAg2{o%Awebd+B7~_8FeH3P7S3WE8(=8c9Ki~3u7$Hyb0k?# zrHC11N2#k_Ho#EhcbW|_?5$|E<;Gmid}b3ulk50%Gky^Ce>6DxsVWZ=(e}ocB=$@E z`3*2#C(WF<*jG4z{+vZV{Fn3P&z+h-eR}@%Noh&_e5uJPsn?`UnmTLVB)mM&nKiZ7 z%xTklAz9CP^XKLF%%3uKRxhMW>fH;`fxnaEXUTDyf#_45pNaP*`|B*2-T#RVFb)6S z<wc&K`#Q;d;rqK{(4Nd$a|-hp&YCwfbMd@sg|p_*Tg1MZJY&|v$?9BmyG`HM8=OX+ zaMG@rb5b3M&Y<DMNc)BTq!u_e5dT_jfz}bI-8ax`oV>$_T^q3P_(^FF?B|Ws=?-|4 z`H}4=*i}Dy<v|<%<ALY14qciJfnS$U?SM>&_cG-gr(+ylXLvrMoGBgOgBq91=R)oD zTS^ZEIN#m)u21<b;hBb>{AKXE4j|S37p|{qr^%rRaLU^TdBYG%dF%r%kN?$m9*{;o zn1dw5>9|?oHO;6$qr>>Xp`DJ)M}V_j>JDlV#&Q|1%UuFm=K*PKLPz>Yh|_U};GObz zM>V|bhapbOyB)O7LlOMmNW;5WL)@*1bINn-BG5$vd7D8y<-HDhXS4ssdG;r&8j$yp z$m4vV+mDL#+fv$*q~9&5)7^o=I+gB#Yl*1R0)TSgBHgKU2m27~D8@+loLYCV7wMe+ z!ZSzNe;l_Mc-_y9bq58I_YvZ?(`6C$HO07Oyd(`k;F)v>3or=h?Izgc4eo4o2d$Bu zea`#;ne8U*M}ku*4|uQt(d{NKM4hNFxKwb2;5fltLEbx<ZjRt0!R3M_g5`pIIbr%5 z!3P8%5v&#D+YQrko)S+8(psN9b%K0PR{LOp(d2P4Bnql;CLmpk@O_EkIiHzsi14F@ z&k=qq5%O*o9>pr2*ODqvkk=V`pI`^U?t;ApFA*FhI9za~;J;dLfLqKD>IuG<dT{nO z(i8MO7d=5ra!PO8z~IdG1qCfom_tvX&s@B3N87S?Yui>CC2gxG798-eD!#8Ewrog( zb@=As$%i(26V|q=NL=SDZ@s3~`n~?u#m2E)map)(&5T*!%xt~Ix2eUNPhz(oUSWjy zZdn#rvDcqd++;(u^-as;*EX$*sl2G5$(Ck$OA4~{o-L@z``?1-eoZ$tsd+aqIyGiZ zO2IP)Eh?kS-}k$VU28qZ@kNtv#<5>7H+%>Db817^tjyf&k1bx2X=YlPqcW=x1{u-S ziwi#VhcAd;x2oPK4X!S(cMsY7xp#<bb8&qTC}T+Q=9}wXpdyF#DX_!BhnUqNn|Bnv zkzpQoheT}p(C-}(TxK0My{@W<GhCZj*1JsPG;gkV1HEQS!B+**o6Tz1+U1BT%~(+% z)IJ%ddZVK%@5xyE|JZvI_^PUNjeGC2Pm+`5009C72=Oq=7!nABNEJdjU_hCXNeQDt zK@d<Wq69<@gEl~b0D+{|S_Er@(iSaM9P0qyV68=~ty*glBn?hI8f(AjS^L?^AvpH- zyZ5{IyZd+6`LA~k?;7^n^BQsrYV4sUIn<K0Gj_+VHMYH`#@8Mi+V9PYFR^#U?nv6X zHYYRx)|@>#t7_tguC9r*eI?A>t&r}hu@KhZKB3eu+nW=!E2(c@TJTI#@K-sP<OEK| zI0;4Tjy2x>Mr3Kx8z}q!oYdVD!ol!);TAhsH}@4-TdnO$C0KLq1#4qLO%OSbwKvoR zK)*dA?Atyr;=jfoumQPB+T_D3m2o?czL#Kc&e>2CYbWhU#Oi{{hdZRKOqr822%)X6 zD=WFGV8_ZgB31$7cAEsvSVyw}y4N0k&$kwuz}U5cFf^>q49D$8N^te9eIpV){L_}r z!$~{-oI|blfY~{ta*{SptZWxP7`Do=?<%^7gGKAY&BDJ52TP_x`%3H+pS?YN^u3_H zx+cNY`)cHDGE$wIAKc1PtV4Y<&v(Gxx0!onbxp8+?Dhsb?2^RY>r!I22TnN&zMUOX z7Np#P8ram5d+&}K$J9aWCVP9*j+heP?)Wo)2Wu%7ElAmzmT<}nB%bldjm&vFoV4+m z$GU}4yA>V7!@{ZIxmMHC1=dPy)X5RfniD6i#=DzguOwyVr#0T%w76N(%8zzF(0)hD z?V;UiyU^ly97*{k<pfrMY*`%InpV_mbKC8WtDVFVm1$*fL<+D5>7a^%=d~`oGHiAH zQFuxuCe(808<D(%DPs~(#aI`FbMmq8x&_Ysb0AyI>~OH!_W3hP!XNF=-ak6x?|D<c zKXY}B)7~Cfl9ODyA#9!T#}CP$hPCx_^KY%O?82N^BmN}cK(x*FD4|u7gS9U0lgC=^ z9CdQIf7%#-AN!&wkELNh52~<Et@ovEI<K_du37oN+n-i4FU_By5Ka%<SmkpFR_I&3 zzYEhm&mI7&b$n$`e#`J+xUb0%WK7FTY~vg7@v#nCx35LG_3mWs$<5)1-?biFg&P+4 zp2crZ$4SM`#fkfO=KON@AKo1v=Q-UDgy4a9heeRwS#!L1hrIuG%w&(=JUWLM<{?J& z=F#cHFgWj9z8hEaKM=Eo-hnuF(5-u;$lFf5Q5;Ozf%PVc|3yad`^~8~;6DP#7~TSC zLY<i2aBvpbOW_pD$#wWDGj0bRYbV!<!xfB^>zKEk?fr54=-WeLzzX;ug@4Q*21@t8 z0>s<A>9jf8)3W;VB8JW81f&V*kTjB(9&kx~2LbF$sdgsCDGYTP#WyLgqVFjBjD{`9 zOxR=SyO1I?VUMNwdy0HTYLBDHF?9`=VJW`x%+_9{)nFOcvoq4)jfC~=j5GkZ#SNDA zmUIUtF5x$U@i;qSgGI|Mdm?o<Lm3(@nrYdWQ{s$#!S`UXCo$-=6gjJ<EB3^G3Vv8C za@slE(R#ikaA^#(dhN+9*+Ga6uu|X@3dia9H2sX&8#m0sbjCE|Jcy|4l_)~PrU>+} zza5Q!EgBm=;to67iJBJ(J(Ckn7p?f{5qD=Tu!qS2qsQBEy*(;GEKZ9TJbQp0*V~I) zV6Wk~SW%CS2P^7Tu)MWf)T>Z_T*UM|1fLhsrHWuIEIPxx$J*^m*wDR-$cMpNH6<%) z;Vq<N-RLi)g%3#(0%f%Dkbn>@qvaMDEOl05mO7)5g9c)h(2@s(1V?v8!fuuk5`k(r z-q@nQ7Qq}K;=z@)gj_A9SdIgCkuXT3)TBY7FAR!AD7n&=UUEi7RC=XnrN<VBdLdL9 z<6$*hC;ShBfj7Zi*w*DynJG4zNjGUvB$Re>ZQ3a6@RRWP0*3aeY!ugKqcao_H_FEo z%tj3M36|r7WVQ_aXTxBWA)$&nU@9gb4k%)I)IP$3aCQ3#3&L?9tuVo`933sUz@Yxh zYxmI_IH1-Em7%=03{ZYxrB?{l1<Mkw&7(i6NaeLfx*dx47@cE?`(b?64Thhig+gV~ zN?ID?7{#J$M0aDYZ5U{Qh-9{P_@@R0B=))rPTWEZmB5|gw6#!t{1z`qa5wSsw}J6s zkbOe=36RRq@mpxgGMSi2P#rQ+r;LwQW;=0qz=>`^&({av&M>G>VgQWKTHz<B8Rs!X zp0SQ6_~8oeiZEY8trbC%r9;FOOpU!yup%VENq%vh*S>T!`;yg-wn4D69P0*u87)Pw z5GbRCg~jS?rtC)FL?%<>TAAA0#yXkcxN@_;9xZN?OO}<@)dfGJn$628az_=f7!?x< z#^S<ioCWtT7!=Wq#OCMjf{d~%*g0XnZA~Q(z+fkyxP_Kq822%R9~z81Eo`C)PtEQ! zdKtA@<4D^tONM)eb1h&!z$}2*Nm#E03S8?3fF*z{k!_NmFdT4mH-UK6xQ_n-U_Nlh zArg#rf>%3VmxT@{g~`mq6xYfuus<614B=*B3=uJ|<Jl}{y6F;bZpIRajq3#F26Y1s z69_kB<A{K99sg}I#=-VDUI;e}<A~3U>*dVCG?Uon#QVlNsje0#F|nXY?D8*5tTQq= z5(fQg37z*VYR?vRt#d4!g&Fv7ZH(Mfmz&Yd%~+(1HgNw+?s!g`j0_WOU2v~h>7|WB z4{FR-Pj!wPbdNco@g#;y`;u50h|7~K5+HbmK?3)zq=olFC<q~K_n1><E*!jt{%FrL z;ieMqa)T3zS72~>t}LVF9T*(8UIt7OCfE2&g+Y0-A(z@%anW0}{0aj9uNWIXcg7O* zd+nY1t-Bfjhh-1!+1dGi=sXSwpPPPuN2lRHXHI%sCm1s8x21DAx5aav1);+jIPP>9 z=rkP&p)FS9njCWaV@b9UE&bBlb;87ZZF@SyLe9ll=j|0|X}Z%0E4_udblP>H%&AUr zl5^vv32mH|kTWOLDHC4ZoNGf)LOPd=L+aOuoWxw`hFqs<2<~Z4atH~1kN!&rwmpv{ z*KfeOWT75y&+9q-y!K8a@{A}6A!li*JqEaE^lZ~J!^zEcdWYJ!!{ThnN5~l*az3!q z+qHFa)1ATTSa)o$lazZY7hKC85$@P}uyZ{|#Sd)Py*;xFjXm3@IX4WPn%Qmih{+eW z#VTXxvw-nf#ti`zLv4}P&pI)7xAr|pbQ#rsMAr@(-Flvv(RM)F0quGYL$DCC*b(T} z)3syVeII5#ZFO4Pc5Sd2Ub=H9^7bKa%HGEUZ*9-AP9NypYrpcU^ZQ%oIuG0FYlgS$ zo}bpv!rF0Z{5w=@yP<<~)g<Tq)yzw$i_%7R&*<K+d%FUT@c%s)n8W@&&*wvD2ea-O zJ~sBvLWg8`<t_EacIVrx{T6C^U;p<lQdakY*y}Tn84Nu~uT^`Uz`xrxj?wFl)fSiE zU%%pe^L2fDqplFZOrBW#P4??QY<pSs`pFyJOr&nUNK?agUB<mn=e4sW)_&UdlCIUU zbjG6Ewd1^g@c)aJFf$LX(ch0dM4hL3n$B(*S`)Y}?)KO_Vha6hoprwT_TYwmSo<#h z(9i0F?(MGs`;P2YHEPpr=i@&BgR86AvP2JFxbASEJysqJ*FWkK>sf~>oPt^N;c=UL zZ8lDxz9EqC>7sU9gAe4L5st6Z`AUlVm@hs^cyVC0&L9KN(xT1tn*-Ui)9Ycp*La`r zHne$uGayH&dw(0O1q=tK%k;c-`E>5Zfz|pkzDI^=(dPLrf*h@H8Y(miZq%0m<LSEt zb}tUB*3<gFNjo>s?^f8O_05mc7lfauk6i%0IIvp3gTB|kq@K6HFIwLU=wmsVKfdws z^!*ri>f_xUx1ZtV2XCsqjaHfp(F^yJ<S+j|{4m0yO)Ub<meTCYzYiaUZ&WhYc!cY( zI0W;@?Kzm}a;%A}m!|OZ%F!5huPwuBZGgVlXwl}SMMhPZ)wvbQ4o7}(=6fINOM+pV z(fTH#o$|Yww|V+J*<-Z(zoTzCsyS-&YQ=T>uUMOm^}_maH`aLF_HEWCL-m^d%=0_H z51(_fKc_Q!?K#_=b9`vDZ2$c3$$d;>*BZ7Y6D_MFi9kG8(Z9FI_hXbV7DtMFX+n2C zwve+#Hd@Npi>t-8;x=)&$YY1$?-w5u9}yoDUl89E|0aGRCZo|%Pg{|%MEG5{yU6y* z@4eaLFcRU%NS-J;Px2hee6!7XOC|H|3FTWQ-zk}okknTyna`07cTjvrd|Bkn5BgV& zUx*G4Eb3`M!oP{+RLN~6cav=9^F#OnlFb@qkS~$Umqyexm4y2o$yZBWDjC)Iwcoe- z5|{O99v8tLk~2k~cj!M*93l=AFBAE|%y2Wsx#9xxI&rypi+G2)UMv<%#2<=379SLU zA)0oCbf1)L+7smeko>0jj(AEm?F``}l0O$?xWC};jo)uBxs_<zA^bZ^P8WNL7m62) zqs8&!RB^g!+9C8Uk-S_q?Gf&`N#>At=F7B4aI0i*EwLX;HtiGs4@mxn_=xy~Xxc5p z@skeq{y{YD7xKH3`HhGE{}BCbSC9i@W3id&tsT}*vT4r<-&1mbaiBO<H0>JUOuGiB z$bE)r+Be*<mAqKIN#w^G=IeHGow!BZF7hiC!#yDWTzpu3Tzp!5QG7{!ReVEyM?4|& zyBGC)Yl-=Ap`;uuHWHhPt;96(e6gFz*F=nekvLSmL>wbd5U&(xikwS{@fV0o#bqMD z#nFGgxJle9^6MP^?-RYX!wyJ3Bpw!jBfcQ=ofYHrbrt!B_?Gydcv}2e{8Z#+k@37W z#rS=Ya!WBJb`X1rnc@KPB5|mAi8xvuFHRMwi*v=R#U<j6Vu83utiOiXZn@tr-Y5Q4 ztiPrhKXI~rN5q%K<Kmx0&ehFu?~7lxhL|5=7>*-jNKDLW*i>vSo+t8~D#P^_FBC5p z!=ksg*cizZ#A#xlc$IjK=&dbwgXEjVRbrvILEJ2E6TP*@?w0JWHTDb1kBU!-&xxE9 zi{*M*JTCr8{IhsctP(#KKNWf7MLm8oQEVhO7h8#K#g1Zk(OZkGpJZ<>GJdtD{!!xP z;#6^_I9FUCUMDUSSBTzPWOqouOWY)G7k7#Gh<ikSHfMegiBF2Z5&0#Z{;!LF7XK<% ziyw(K;y=YeoEtwVHWgclA+dwVPxVZ%pLmg&EshXJi4(;sBERi3{sNJ6#Zz7;t`fa9 z$~H(Y62EDUvI7ctP<%{$QamD7ioX~CAfj6S)&6|_GedRTH?4t%6|*w3Oz>~+&ll;0 zOyLzomO*EH|8HjXc(1zA){3S@$(yahraPOWKVOrgVQI-FKh96wSP*bd4YT7nH`vm6 zTX0jt#%4uUwX>>uxXF$tWpPD;zNz8Zt(yZ4b|;i1?g(st!wO`@RXeu7cxlT<TN-Xm zDt3x|n|!;RvTlVJ7R)RBe!&BU{_T$zyk8jT<J%J0^?5;{pR=v;dw8hn0lljml*DX| zK`$rtZ;Bfo30z~fZC4Z9tbI*vtC-R!Px=DmBY|!)#hq)Kw|?TJ6W^t#d2{qcN^M_b zPZ%HZ4{TRs@9k34_#i?icB^STw{19(5y(7*o@!TB#ytdmE85k>O=w>ew|7h=(7jtt zi$YgRi`me!0`3#g%L-cJpru72w8VC*X(1hUaA+kojBHoqpW430zZbqfXo1G$!rz#@ zB+rGGY3*xb9)gyU=hwtQOS834os0`~u4y(Wh*BMm_z$lSLrd%PYwUg<klU#!Pv@G( zB~P662fNoap2*U`{d)9zGo^tW^WpD+(%5Bzj9;FygNbJX_QS{gC5^TZPDv_hSUP`y zT)tN>=Bgd?9>_m@(sA0?w3r)P7Pm8I$0tosHyw5IME{r%8`*&m8wEz4JUQU;lYyLs z4;v*6L#bjvY-Bxu(h3iY_~!@uU6JPB6uT{M%gT@1{jAycgwn*4;I8MbpQOZ>CTzPk z<-U}=QxZ1^H^y&DE^C2hLt~0&S2o!lzY9I>ik1Yrv}w`C*=%+2Z}e?TEJ@hqO!e>X zHm+|=Us+$2tzX37y<fyPt#8B^bapnb@qLg~6BF|7nx1w+IIh}u{C)j>ZhYvb{Rn%c z5B3Sdb?+1L4NR?xv*>4E(4@w(+En%mcMBuT{RmUqE8<_@8$AIL_7K9Z=oRtJ?~OEC z)x=w^YvSTkYJ!WJ*Th)O+q7xpyWp*3_O9U0$D7(8HuAL^=gV4{W^dbjEWRXWyLD=? zFMd<wvZgzK9hjDXL1lbN{EpC&K(AGC{xbn*#j*J9+q3&WR-Ap~Z=1ZAZ4HS8Qth_+ zX@Oq%WzWsCPTH3LOp?>Gvj6_E@EIHN2N}ZGHsQ@C?+vyb7`R^}_iKEa=sOn-^a}L8 zFS`n<pR{gExhExlOXFS5svRf0GQMm;oA|P}ZHkfN53;i!vqE+#=VY91cPoaS52WZl z*eF@{Q76aQxp=*JO{LX!UgcV=fBxp|MmeFp_-(<QCr&wbE0o2)Af~W=I3e6V;+x8z zeC)-S5%HlHA6(drudrpfZNxWXO`$(yTEY5M_Tp=<UVO++VY`Bx3TG7@EG#ehtZ-gh zApCa00MjFobra}ibuO)(yuWc_%Yre5lM6N$ZZ9}i80htCL7=zY{|A-L3)77I*23Lz zf3=|b+O$;;TJgBGBMP>zy{q7rwMo5v{cUJ^vEan98~?gD40~L9cuyGTgKZPS8{Tah z-i0~zKjV4ToVWaT1!(huKMtl4H<-~I`VX3C8#^!+&dz)UalAS7pQP|R{&{ogn_d!p z_HsteMQ}`~|2GPJYH~&|GcIukftX>G0&#pnQP+R44<z&-{4rq%9wh>Pz6?VD!NDei zpKlstIDEwKPKF;df%bee`C4qyf1cZa@F+t0YbVB!!<EePx2x?x_(%G-uit+VXHHB2 z=Jp>n?{ymbY(A$uGZ8#t;i62eUhks=?7gDwy*+#4WCZjjnJ`v-FNE|pmkO51Rna_3 zMXenwT)V3x#Z?is+d_vu9C0!03hrpR*&krnaccfcXyJQ_hDq3yb}l;x@^w|ieGD~% z;&F-xV6jIs-$}6XMpu0tds1Xo*rOPjRn~~_gY3~1*+-!fA7AY;&m)S1cF~A^Ph2rf z@w{4*OE2TCvggaEpd|KIG~FXl83{cZP47rPxg?q1kyP8wNbDU+=_VtwcO<2!DX~AK zk*XjyW*+ScEQ@dB=tiG{_C!j9QL0AsYQ^^;HaQPrcfg68T@*qlnqC4Y-tjg49xPmL zwkgL+@E$I?GeR|+0LekEDt0+6qhajLe0(&qxhAZM-IW%`=ABhC+q8*YY+^TOOfEOw zi0>QhZOr0N;M2$q)iUBE6z_q%>+A4EdlNP^9*qa-UjADq%KkIC@N8ND%aye7NL>j_ z@|y_NtOU{~Mz)#<U`;?RxryGxM7cDoo2U^FQZ$Jg@o$W05@muJh;Hv44h1IB{&<UK z5*<kk6Q#1`NwAni7r<HdbQl(9&#t(gv02LnjKo^rObhGjAy|_4z+&p@^Sap2B6cO* z?O#0Q#ZF34?Dn)U_7GT-dFY$i%ONS}M_>uD9X`z4Rg?3(7>W7$AuY`L<BUBVp-j$S zHnE#8f_wqnjoC-PW_6u_k6T?vtf6SC%ZOQtUZO9<Qo=-^ywOYa8hDySZ=r>WQd#n0 zFVWqMY_(vcd;*5=ne12gBX$a_%T(2ajLE_tgQcYxsudfhVlvkAF!t}!l|3rvJ6=o& z=dhMuD8+2e7%#$fLOXX0`v(@bIl{VyHDVWvrm#jF2+?Z62Fa)|!PxKQK|u-|q$%hq zgfIoAqLyB$R&0=#)tWJm!|*6`6FtF1uVpIcC@|tGiY8GbZh`0~%BZiy*i~G`xh~N? z2w@VXqLyALCEA>Cn@01%GQ0FMCip9+U=lRqixf?QMtlom^CTQ+J<pCs_td&rI6c%J z%(ZHw{jKJM5a5McC4XnqAJryp#1;*_q>b1WVskEWzxV7|4Cbmf!K~y(wMo~;i}tr# zet=R(VA_~ccQe<l??FqVDS#{EO@}{%gwp=&J8rujy3Y?@(lnH4H^nJRJ+@;w_hw?2 z+h5xVXRWJpRxj^wSXD(z)aje6szmkjZXD%{L$XO5JG1FndqV7_#K|T<c;%C6I*TVK z!WHL;EXmC&Gsi6$h57NKq%aEFUn1rQX@XYTic!$$U71l*>k`F|k$^(7Q+nFB=yuMl zJ-VYt(2VLfv?oi`chVmnpU2mEy5lL)v$h@F@?g;I?Z8S}@?D`QsweaHKGTzVvGKXy zUq;Ift`J*BO92deG8dsIb8Hy}zMzK2qLs8va)kpci;xYz!ZSVyRlD_t4c!F^ej-6{ zJR%PUK@UbNIau3W5uWBdGddIVbF+##iO$?Qz+}U~o8T7~bQC4>V9>LBd@R;Fs>|K| zE0b~LWWgZG`&Y6@<8e<2A<v!MeBZy4maay)(Puimrn`c_jFxOyh%KXKBn&#-vdGL{ zRNvc9$iqk&bbKW8jCCTxfNt)1xiwpN{Evmf-Xijhbu^)URUEaikg56barYI53DCmN zL>L(mTSiL(4E9xV?Y`Oy2V{-VZYz$i@rv4tLH&8v0xKRsai7ho<GuQUwXSxSM%T_# zw{`|0ykjkmEu+P)o$+iGTaBK}304;NK=I1DTB~ig%z8Nt`}UGg!Jr0-Di}JmbFTSn z0lU}SqPqeYAlw0kB`aajiJACJ&R-Sn9{H0;V9-aI_(0BI744Ax$>T6++=LG&0%q*X zq8*0c%P@|$$X|xAW?O^*QW&%Xf;v!7CA9|?+~H(427LeqhZMnkMD&TpSdjjywD*T< zc=6*HufwnsyngyHBHzmpoDtS+d+~n|1|4yUmyLBY!NQ~Z_+5|RlwqYRUT6{ByWZju zL@={4XQPepIDUI`1CFapvNj-FBX7rj)}m!3YY$~O#4^zSy6d-seAl%vJq(SkHpDSB zcLa6)-I;bd3|b74hLEVhJuBH{Ho=%y$CE%d3<9yO7r`Lek+Hp7mC?>q0*b@7{}GIX znd8f7`N9Z`?J`<;R5;dRUm1^!X)sX6B9^;WLalsHR4cD7IuG}-$>(Sy4+iJ%X(UHz zAe;BBq@{@wmaHtJr2`C-A#@PmV-Dhr;D7>5VgYF9xfmA(;xia1+pv<BFN|>g$}(Ei ziQL;QCPk!fHtvj*FwqB<w}a5Mxo_z0H~R_}voSzagJb}&LDK#S4B899R)n}{tL&Sg zmI`;XmE->@7!=gV_$!C;j{Rsi=0n+`_u1JghYO!0uqO!yaI=$@N_#`33@?J&fft52 zWu@|oM{O5@%ytj{bt;gH8Q;RQ362%diJ_vB<1ynUNBdVKm-XezJ%AXfE22(O|5Vz) zv>K_VUN!O@z_8J~kZw_RM(?xhi~;JEi|0@GT)>w-X5;CBolY>0!gX-Zwoy6z4DRj@ zrcF6pjZ7Ybi8|*{c~qq_uvclce?_GYI=9liEJc@=Zc(LWj?XSFJ&(hn3E|&thw)#p z@zLpZ7@Tm-i6|DS!@d+wW;=}ks4~#oD+BFcQHGJ{mVxOe80B^2YN)tg+oMx-ewaXX ze&`>yE9mX+3fi<Qcv`NvE0_xJTcc}`ZuRy(ouX5Bqtw*~{p+<2HmK(HG*hdtC9s)| z!J;aQF}#D4b{&+vVRds}dn#qbr~)&vTVUE$;JRpI-PGKI)!X~bRdnHa#fz?52Imze zx{mlv{x#PldcO!0)#&*w{xvb^ecZ&TEg==S*SXr<^8vnIDDRm*Dk(<%nxv?})mxhs zpV8^Wn*?v8TfMaEV%Cl{OTaaSSEZ<<$ye(%#nZ(F%G;Sxqdh0SRSX%Y@dR~X$78@` z|5PtX6@sLhAhowYAHc~?Zju)&f)EL~G)GkcHNX{{-~99M-a4zf`zVJSFHpQH@Os2c zz+#viV7zSyEafoY6pmr;fuSy*t*MJAXX;uWrLP=*-zMC>2)7r8I{HV&uYlVD7`A(! zDF?!D5X>zwJkNUDw+%;K2Vtm-hc9*INZ0LA`WWVr!uS+sILI*T{)KRdq3bD_Sj6SI z&pR#N1^cEbecv{mcfM?hxJ_Wj!%TwN3bO;o+rDi$p3ZoFp)Q_IsB0Pwb@80yZQnK= zb@41iU9DiKD-VXc?uPL;hWR55!?c58nAtE4b1#gyecNzvBMv7v_yFb;n9pFofZ-9! z1J~QUaCGOP>TUJodG7UfM!UZY{U>2~@OoRc8+Ar+(Z}Vzyu-F?;QcKZ@;GN=%vl4b zEn2+rs>L%FgyznhKW9m(^RoVZ|I6m-tk*x;TYh!c!o_o@&svZ*eaXB9v(xi=YO0;7 z6D8&drUf&W=DR+4MPT-TbHuU-_QfErw&$JSiG$?kV6<DC5Jt&4OX^16h3IqTT&F1q z-#Jb1=YOZrh@Q@*kkc6>(Jl`;Pgv>BRUz62re`^it{mt*Yt8lhoYj~i!*<r+JPD)2 zvLOG%HxTl=o6}+c#7Doa#{Rak|Ipa~<V)`hj~^M~4dYS0V$$d+ZMjAhWB&`9a<gkS z-F9=Xo2h?E)z5BDcZN->Rkr@-|5UcpQEN+`zgPpEcA-8{ddL?-`JOXMA2XHkqN$Jv zO%1+|v_Hb2y&^lk&5hkMyY{-oIdjWE?xg4JS3Y(Qz<$IIfg$HLJ2d(7o|i2gamlDu zd`HCMQT)4=f2;V{lYc#GZI?M$r#r1e&fK|9kJYU^w(jVxgOZ5-tW$W4uZxX!H*%et zn{%Bze1TV<X*b**?bp}2Cii<idv@vQ+=jrP*mE!HiD8+v*B}?J8-_*p?AZ-k(w$H3 zba{UQZ|bkH(_1?a-;#bwXRZ!B&Rq=H3>nl=L2m@!ylcH-d04a5b96i8!(saLahHx9 zoHNOaUgNiJbRCDmea&b?#r~4@Wxiy(*6Gs+j~$kY6oFpWqMRj5|9xw`XqcTw*nPJ_ zhTmpj;o><<uAlFX!W?q_VpI#NCbKU_8-AO?h31swjSZ|FmN<R-*rDV8Eu#$04*TCY zywHpj`Ks}SyheTL_`+qlRQ)H%7yjS+zIAs4-mSs;a0<ZS;%K%k(SsMRI~-__l?TJ^ z!M^e~&pHeaUL5+p4v#PJ4b0m-zaelipQGiGj0D&}vpM7#80urb_}t{hfz^5~=-vy{ z&du|i6J@7+UPC<5;(MI8dEsWjAv#@uZ#OK{WqMw^OJVooz-m>rwyY2>+C0BSkfZg@ z9*q7IaHGBi7*F3FuzPV}wJM>n#H;iuzguCC*0&V;iclfchoaZ&+a47MRxAHJJjZ;A zzAf;J*5}6#VmYY~RaC3*$FMVh88F<Mw0GZzx{~Wh{|cDsaD6*|yYYoTLAVP&Wzs?M zXq27uI|$dG7HzCQZqLC)mm`RBc=g;AeqKE{hCQkptkh25ZhYavuI{tsH;pem(e3}n z7os-+YPoLvF5?S%e*cp3g<rBxZ|&`jIp_Rm#uu_Zaa`I^F<0c96}nFo=ZV*fH;Jpo zwc`KV;|oJLz?iRz;w*8y_!IE~GRCqV6n{bDAbD0~$1UnH<Er3pUOPeNGa|#ClK;n& z`OM1jrtcyA<MDJvxhV-bMKWJ6Q9fUCrsTeo2T2|(d6eYwlCP9JUtBI0id)5d#0SM+ zlE{x44+Z{*++UXaA0_{lM7^9M(MyM4W|&`grz81chHOm2-;8^L+>tWkb&-32g&Qp9 zilgK|N%C~b`6TkSSnfAUUM2Z<$@~Py^mmX*Z#Rj2{8aA05V^h?!#yScqmo~iY{oAk zy}!!+eG>8~lF`-J$T2wPsHYK$^qP`Lubtf4ACUf8;sx^0mV7CRcw^+AC--^cV$qC4 zg5Cnj>q*4>0SR|A{s`&(ME(_`8FvK#M<qW;BHmFF?!1R!{{AAeS0d#P<^Q>4&XvjV zK@#=QoJ2S?t_X5R$zA22CHW!}CYwZjGmZ%HrpSMmm@oh9B(ET03dCZ$?-K8p`@Nz! zF6a@-FDl&c#n<Kjrue>CEt+vZD2IdlB$g{cLT)0tg=90%2makCBW_P|h{BB&$H<)> za2RfeI7hsegnl!Q2l{T7%-)<#e}m+$lFLcx@y6|#@j3|ixcr}y|MTK2B<lN5B+_}0 zMEEMn5y}6M9EbZz`kV1Nh}VoV;+-dVGcE^m24(p7k^jZ=&yhSv{$?Bw!gGB)>NVqW zATN<@#^FF-E%^?`GvjaIzC-S1B;<YauaL~{rqpZ3-N3*8c$?oT+?xvb7s<HHn0iFB zHspOD>y`IAWQu6sy+iIHIa4&(JGc*&JW?Djn(H3?r%IkJ=8OC=!E~+{ZxL6E>%||4 zC1R;~pZHVp7vdx0lj3j0<KpY$pT)n5eAmzX@O?j7BmPrN;&lt|$zp4f{XXf=KG$S# zae#P{I8<b(P=@0>ZIbVz$r<7t@mg`Qc$2tNEEG40TSRmHhCaSyrrvwSpNS8NkBE<o z<~ok>$0Q#Y&Gj7af0q1L(Ok#jZr(qGe9Ou7%=H{(*LBLtVjIzn`-gj1$?0N0@j@|2 zWanP$nIKLU^TgTW0`WT0T<;O@7Rk4XYsDh5SllU=iT8?o#fL<5e*nFQB|jw|6_1I> z#n(lyRl;(3_YKvOKN8J-1N`H7Jq5pM+;k^}>nipV`-<$xPd(;-0vs!OqIiWkL##h; znjQZc-`rP#YbD<)7K?noWVmwi9`S&9P&_P}`wWEl#!GWORL1|k_y>_=3+Vng(c<+N zvNtX|QL=Zxks>)&H1`__-%~Q@9ASKKd~{fHu4wK%;6Fj~G%-)i7w3x{(LjAG#oNVo z;zn_cSSpr_=Kcin_ep+G{Dt_m=-sDOO7_M_|3R`hKHA)`K;H*)|4jTsMCV+S--e>O zZ-Kp)<aS~wF<tB>av%oFJ5(Ggjut12SBP`Pt3`7^1N-%oz46btN%qD+^F0L9*)E#< z9N4}4o4s;>Nc^SvP2-?nQMlJcjwE3^r^S!Nh{y**`g`M^8%S;}dgGnbBzxnXyGZUO z_7$_muz0EH-5*Vne5H7mc#XJNyg@YgN66oL$?T5L{FaILihD(GJo916zY$*$e=q() zd|P~1tUs=qqiL9)xnBY~eui=@k;7;xr;Fx33GxM!Il6}aUo~!duKceSuM@8q>yKOJ z_#Emh5jiS{vbkRZ&3zL1sNA0xpA*e}68vA4Z0?sJzazO?{7B@u9_o)18;VWDG_jr7 zMeHH=6E74w6o~pR6FDM?@=S4_xIpAUA^P7ca;OmHts=+tP`*#(2qDUc#3#kyh{wd= ziLZ-qiX2kJ_#cX&iC>5bxCf<wL$R6IQtT*p5jhHp;RlKwj6`{~c)2)L<ftV2Undrb zYeWu5qW?CrO#G3^QA+gxrTD1$g!rQPlK86lhWMU%TI4t<>f;Mok|UeQWRU}$D4#F( z6nl#t_eB3;;$`AkahjMXUL{^5a-<aF-y(8s6y;4Khe%QWvG`MQzsQkO^nY69*eS}# zMGkVJ{JzK`Q<VQH#^TwBa)Q`gY$di8JBl1*#qj;bi^U=0rQ#TIk~mG|Ff7JjC~`Cw z<<;Uk@h*|Wvgm)e$T3)ye<409J|S{w7X5!Ga^w}|6JoXak;nmA^p6ucR*G^fk)y6C z_YgVkit-?lgRCfXB7JhII9=p0D7r5eIiQO2Z6ZfhQQj_c{1oN;MGlXm{Fun`QIua2 zIS`8SUqp^+q8t(bDLQzjq&r71k*!6Ja-y6ra$FMS!6GgjUpub@P8%jXk}>q2JCh~X zfBx(&_wHhb$ZteUxBm0!Ai3v=-gD`A$&<usVxBl#%opd2%fuC8fw)F26gP-PVzF2* z-Xrc2?-vh>hs49;Q{uDY5wTKyS$tD`M?4|EFII~mi4pO0F`(zVpjiKTH%0ELqIrLe zdhZ~aedM?w*vHN64{@M4NX!x0-;CkdSB&(YgQrQ(6K9M0;(T$DxKu0<*NF9>kBj79 zES89+;vVsSu|hl`9uyCWhsCGFO7Ug!xcIvGrudF{LVRD0h@Xp=o(uhAKn#lYpRYr5 z?;v&-xk?1<H$%)4+3S+--n?YqbM{ENj~2&^lf-Fap6ES)FOs}eTqdp%3&b^Ip}0XT z5lh8#@g8xHc)wU79uN<UPl?ZpN5o3;W%0Q9x_Cl-U#u2C5+ma0B0m7K{`_K+m@KA< zsUpXjGJJP2L(CEfi8<nMainOz10eo1$$8>zagn%GTqdp%3&jm$K<zA<$3I5+r|3LT z+tH_Yuk?jIL!sJvbuvOB{II$InHd><`}T!@pWYd+%Qw%fbGR)M!K<PygSvTjdYq13 zzo8;0Kd?El%PEX4s*D86V>VAps|wh~{-U^z{!;~s@msCxeXAOUW46bX9F26YI1-p; z?++)Oa$<d3Q+wOHF`r6xT+H!saX4|)fc$48R_Ocq_b2@d|ACAM{)5(ldCx|YLf^-K za?-ExpOO)o_ovfuEq^wWYK8g*&V&M6Pg|{CIc>MvdfM0ZmD5hwt*8A1UO62zVC(7F z+}JazPIc8)xfNAcO#!EXSA$oB%VE}8-L2}x)osJ0!$qg99h*<1D{R%;<=Iv7SNp2g zUOl8Leu`7Ic1liFeD0vC_~n(S?R7J%;uAI_HD6U{gxhnqQ`H&a_Du0tb)GV)s&npO zxEG!F?U+%uXYX%M`w(vJa_B+0wO2#W6boiB^bLl4(P?Mz%&K^#>vZj36<X(1B_Qm& ztNm38R}ZR6m}0{Wu3DFy4fo2^{)Bc_>-H|I>bmZ?r~O@>s`BMIRiUewRo%DF0tZ)x z5Waj$c2x-B%b`1F-K?q*bjJ)>R<&-OzbdeNP*vb+8)k4-;S^t00AUMrhrqq^bZkQV zs={^D_1n|217;&0bS{TZgfG7uIuX8n$`I%t0^R))w(@iw>LD(-e^r-tXkNYT3lL+S zZDN(9_CknNj@k<$R(URRx_sqFm;TJz>D%#Piq+$$$_L-K6Y|qO#J?T3tFWR=wT<!R z1*lUCu?tYA7Gf8m?k&`P!E&q1jLMXU5UbTgjB|lqwQ8NO%0~EAs9PK1S79I6*axd} ztE;kG4T4`q)y%HdRYST~RLvStU6nJSqACx5gAxW-ZVsn}Yu>llRZv&e9%%Zqy}1V| zcV4~;d;7Q8^A2X&L5c{w3VX>$s;jU^ZS2uiXj?N|RUoD6s#!=a2dU)^zzTal<RW)C z!dFxcLdy9GPaF&NefW$Wzu~mCZo_GN`H|DUt2dl>u0C?wKV`$|m?=k2$L1b69k)Dq zCKO!x(e)3+?~L1SWBtR<6^T2put&DMvE{y;xMF+T*p`8kr0Tf1;gxYa?Oj-Ju%PU8 zYVMED_=EoMT@=Rpc;}748g}EtzeC<pU&vQZ*TGe*yJDrithV9KZT!`?A9+srbpOcx z{tUGJ0k5652l%lUhg7vsNUlr`qpjy2c;80--<E*VPeGf$x_z5KhVO!KRmX&?W4<@7 z$9PKM3VUy(7N0t1@9>?v!?KI~+hcd$6}SR34cVpb^1J5c<>%-9D1Tqx+xgdFew~wf z?acf-F_={-saMiNllLd*r{;~xpPW~mUz&F;KdINNc}bXEr&;CM?yu%0&r6*#e%`bh z#q&yMIA@aVm*<6ghcLg+k-Ybg**p8%J6is<rM<~tnv8k6T2<PZ8OWdC4Ko9AZ9i{j zAk0Jcwd?x@D}Mcc?D}7ysBW}q*x2x1tnFvM@tSj=-@X#G|BSz%8;7(1Fe#3i&)AMN zw-t5(9dFRfJ7zgf+Rg@^x%-;?Szlb39{w1<<##wek8kum;}XYnEEe+{Nw+{;GEg@e z52pjdWIRI%J8+E&;(x$I@bi8qW)c3J#da4sVdcKw{!_5T@y^QW;~&a!?X9@k6#E)4 zA6D+;_Xnq+M6TS&8{8Ovb#VIo55OL8cY|QN;|osu;eyS+0GA`K+_#>V3*eH-8zy@L zQ{=d`ME==#QuM(iaSDC!V&z>1TacNsi|G4(*cvbs_C|{Klwdy!n<%uzHM=2K+}liv zGnF>v95zO(M!Lbb7_^u<UWJSW*>}MeF+G75WETckyp$qBNxYLHjKrf9@p$aT#UoIV zbLS{7MP6-P@lJ>hIa|&aCVSLKm@UUhpBf3X<rwLEc;nQNYY7^O@4Xr>%aX*mSPhr; zkrW@G)K}7ABlVMX8zs)UW3=pt6yy%Ix3Vtza;72H3fxA4Z(xEyVMdKOi(-#j@dE~3 z1U)5t;MN_*ZHW1kT<LxzA>YQYB$0l|w~@A@-Wo1KzKv9jr^JSfkZ&VxLMO3?i;!<4 z6`{@=E<(PIbXO9R3HUF@ZfbM}*8iDn6M8EbvVzW@#G=tx%o%|lWMMV15Xm+Ldw-Hg z4(5+I0c+is3M+;R6RtnjcB=Dr7c<A8^ImY9VuD^y<iVKr32B+@3V||O=DR{LdVYc= zGd}?zgApgWjFwwmA;nBqu+9}y%{pp#xx$JvT6hbJSqs(x<uDkdd|>6fC<e0{HPHe> zTH|3b@Qb*}#F|FTHL+HtmeE2rSUip3Hy?zq(>0AcysZf=7#mDnW~>tk)lc2z6d^bc zF#h8SCXIn>6_|6uPdo3cF&Hi?^QC5933n<93^%5w7mSuy^p{cK*Csgx$|&U4IRwin zjD<l>l&<85p$9#ot|$|cw%I!4->sIZguDMH)$PA!{<1u{yZdih^!{5Gz5kX)@4scy z`)`@M|J+@+EY<8Xw~{9josc(77C^A57|`vN*s(Cdh*w$&Zb>=M6og<IEi5Hsu#B7o z3f8(3PC~BD#@4n82GvY#g)s~A(Xzu80%f%9c7<RWEqA*@QW-7xx<YapEf2UtN*OJ$ z!=M!qew^>HMxVcomPxJ<D5Iso6@q276uClD87+MLN1Ws`THbVplrmb9aT$S6Y8fp9 zVUWro;4;@rtcEd*0@f9pU5(9loc~52Cys!{&CsIg3@wVz(4y!JEpju&Yb9o$NGYSm zon&B9s+nYfIl&o$7;da%34RR6bO$TS_F%`pXj(QKlG(TyUWSP^U8vmr6hN)dDjH4t z5f}C`WRhzi&7zN&eG>SR?EEOrw!^qg>KPbMFYQbke#5<b@%-GJxaXhfyfB?tT-}#N z>Avi{#DC3=e`!?wOTSBe)A7$L8W|OT<adexwwwNlsQ4qkOZ<1;__<N>bH7XclWzP= z(oy%?H<x^u_*HKFQS4}-_@llmJ`ZEI2khf)(!9j6iiUXM>F(`o9_8KLb~c2^fZ`1y z&C4FED2IFk2JxbG4DodE<S^dVk;Aqs9XX_VQDhYjC8Z-LDjzwX4xUqZM}#zn^2nEt zq2vKK?Jy}FL!)#I^>o|~ONFZ=%oB!mgvo=hj$x#9grjtXJsmuQDc&&hkQ;9}sd&Sp z;tliS?S&<%usjt=$8hqn>pqy2j@n}n<sI(n;8}8z8+OoF#q+{?Cosexi&KsJ0vG28 z?|e#k?hDo>hTjLhvnkvMvu=(X_oC4xPhSX=Oe+1sOy6|gv5E$HX@+1K2!m5C;a(O; z6S}P5UwhkAcZRFI&hT=;vkK-u;t8cW3}%H{Sw>4=7~CN-@jb>mp%boGGtD(?A}?Q@ z1_oF3Ju5jq3k42LK@5U@Hq87ov>Y}9=9i&`7d<n-jJL;-HgaG#Uf8o?aM>f=!c69& zwGIYp9b6gPuT>d^a+v5lB~%lunN=B8-4hDn=2mynxTxwL&+2xoxM&=yQ#r<Ys(+SM zG>$dG(g&=M2X*;)aF?_)ZwJ67y9*rC%1pNT2OS+?;4`Gl$7A6`i3f24T^3oN_~FLf z@PI<7p>8PVslp8v?y@LoLNRYIyP<};=~7}Yp=(%|MM)-<mut8U@3JV_xH0#EvvN#{ zO&_5Kby<{RLQ!VfT(@P$Evl?2nOD};2<4XOE6SQvSJv@v{&KvsdV9ew>ll@u<%LVQ zuJkOeTds3TKm451qon7Q9_2cx^eRJC>9fD2^l&?;^w@j#N{=#rMd`H<xu;oLlw#<Y zlpbZRS9<JKwh*s9A|A?hPU+R=qV_#XdQRz4hI2}<GDMXgE%2Ou54UqlkFwS)J<9kM zrPn@;Dm_}T+s>o*J<3|I^w_KQN{@1#Q+n;esM4dP=ae30IH&X~LsaR}CeJB7+|DUI z%381VDC1X@Ui&br^f)rlDLu+suk_ff^-7O&ol|=4!Kl)sr00|#WjLqwDnnH1(I(F+ zJ>1SIJ<3|I^eE$3lwSKVs`O~V=ae30tyg;N)q15zxy~uQ_Fz=$QPOitk20K7dX*un z^k|dklpb#9lpbZRS9+B3D@w0@7*%?-;B!ikveqlTt_)GfJ<4@X>9q%=N{^DBQ+kx) zoYJcdQKd(lJg4+<JE!z0YrWDBKD+d(xWwUNfDM;#ZM?yV-lF9MT%LW_pW*#2=ehXr zoz~)<SvKlM_v54AEMDDf=d(aHM9pV`a=JaeaaVJfZBI4}NY4*pR*QDMJ2_Z1dM;N& zc9w*=aC5_SCvWan%tqlf8OSxC+oyl;d*5@K4dj1P$XS%`WT!iiTLUpy0XFC1mFdol zR(c>a(`k!23yd4qXU<P|TBkd0qFk`9a)Wdy^&FQ`>CRE>Y}YH&oeUGy8JX_%F@9Y0 z+0`&F-RXUHaJaX?YSZaXlINb6?j)yYW;h>X5(s3@dC3mBS?lTi1hXqVh&<o!3pt<N z9CCi@3uRvHEJt=RUx%ChE7LE|bX{DB5zdW|I9H{&Z;vIRn<<H&&Wv;f8i;6aq*;$R zY3V(k8*>rT=@N3Dhwg`cwV}(AlMre)-FeUVtg{W%L;MZXL~MlX`(RS;&xU1oo7V<2 z+WYM`{ClC+mg!tQ*J&A|+HR9L-9$Tg9*MuM2sy>hT+SKc(7xRX85TS4JQ8p|u_7JL z>2gl**xGp?E<bW|^SgWVQuJ{)+&tIk^Om2+gc4a6{xEaItXX~e*H3Fu*Up+?)<*vC zTYdUJGxNhYOx<9PqS|tDDvY{`G0t+1`q-|8y4@)vYG-<=i$^VW>q={?4pW75=P#V0 zb*u9iE?yd9$nUVww3mn4=|1N6?&aq9{}=N@WJI0Rc~1Y5c_FeJ_Kz9eFlKBpW_-fn zhWUu_ug?*Ix=mj^XMXzZIWw=nYWlou=PtBlpD}ah;yE`&`_5lDD_Wefc*eC?%~=xd zbgs+%dDqVIG%i`X*h-(ZaKVB(Xc6gy$6lH~W9ibx^JZSZ^lUA2F}>29sA~8|YioqS zMFOw%+$~G=;9fhihAA8v&RjOY`r+uu?R=O3%r}MWjxhDp<$7@5=2^29&T|jp!`x6$ zd6eHpu;X)9?e<Y8_vybmToA=v{h6=JV7$D-YR!S;?`hHI`Q<_O?DS&a<_(-IK%3_` z4RUn4rCl&D1;R01rpI!S3t_xCuv*WyvaAp-+C0B&AV=%la3NmN!j1a)&B)WY8g?%Z ztkwzWV>oZ~{BD6mw7$|PeL?tn`if!q;!sBf`Wk*oJr}_*THpQ9$MP|M4PiWe<*-wq zcTC2np<bdApp|A{y>Or4^Ek&7dYc#S0348=MV|Xrp)a2w;#mZUO2)be;c~o4AoIuV zDVXST9EhryubUs@L<s$gsX&{T7U>-;^eX7+&XCyx_GB2Q%l*SNqpH=aL_2K@H*fRw zd9uf7_kYLw)BKoSxA`&00`{X<Imj*jgHdu_SmvKcRNdy_4OjH$m=;mz;+U2jEwkm- zZH{R<(Q=Z>59`a_zWe+U%@C1w#_Pb@^GBE~dWWS}huP8h%1npnKpze==8pa7c({|) zMVfvb_}YzKYx&UFc@Cp{Z*hP)SPYB&P{eRk#OdOEaf!HGEEInrZWXyy1ohk_-X}gP z9u;2~KM?;Z#-c*lZ;|slk_}0G*$;^wN$5A@U*Vo5*^GOIY&x01|5Ak?EB|SdXGk{V zT@h}X+;10)MKi7y{y&mz`s+eA^HLz4U(y}&<MMw-a;4<oN&b`McO+Ly{!sEiB;!`r z=rga>p}!Snu(QY)#*}l!v0|Qht++y5C;os$KDSFY<4mDvpWMy*ypSJ}{FK7IB)%z{ z&oK!1xn%PU3fc5K1v}w{!Tg#2r64!cUsW{i75kIN3*A#h^Xv<`gXGR4`{pp*_rx4= zxX6Aw^uI!!E#`|0MbmB(ZiVCmajkf#XxcBr?U4K<ksVW*PKC&GJmp`DkBiTXFN(Zg zGu$7<x5anGzlk4;>}|yGUi)t#xv`icri$h`K=>|_GemPd!2LqW7mMt^ME&M?04Gbn zLYyt;i|pUT@HdNV#6r;=C-5(kTqc_11@8Att`Hv<e=R;Qz9@R@W1Hgz@!yv_ugA>C zr=mGtAakBkx+jS2b4A%3_uWCVIey^ot&iPX?gPa^qPITwrIII#Q^Z-~RpKIXsd%%v zN;JnG(!ERa7SSAsa4(m9k7$lZxc^M@L6M)~SS~X^4EUU6b9_R6MY6YE_FIxqi0_Nu zdfES!9LwVs@y&4zdh2Dkkb6k%AodV5#ro@J50m={alGjDBRBKOAe~uqpD%j-$iFYy z8wY;7WPXlfJ~xZo#B%W-@u%W`@e%P+@oDinu~K|lH1p0Nowp>jpB~fwNc@Lr`&DmZ zL$QU}TI?Wp7QOYd`$)b>%oaz8qr{2g6mf<)N4!>CEV3gZ^Lv|Ee?0gux&Ki7rggF( zS2%B6xS6*G`j5%|Rq+k+J@K^ovG}Rj0CAbW#$p?>t=L8EA@&iuuo~lCDvlAoao;l} z&l4Aj-nj4YOTJUwD0<_(e<=Ar@u%X?#fQbC;xX|J@h!3b`0kJ8{;AjiH*Ti<VjI!S zYlAS}IPM;D?;~CyUMhOyx37@w&2KYL@&fUC@%!SP;zn_o_(SnN@u%X?#fQbC;xX}6 z@eT1W;(Ow!;y=U~+<vqC@nU1Knb<~bD|Qiki0qZh_=Cku#7o5q;$(4#I7jr>qxQyi zuaf(1;+^6~vHm*LKbHG_;(qbx;?v@D;xW-1=lzD{x5W3v)8fbCry~2-vb+t%W@1aR zt=LiQA!dr~jm!8$#Y@F8;$-m(k?$B7ex7)pc)fU=xK`XKZV`8hKNR<iKNo*3J}y2d z9u<ElzAC;Y{zW`3o)JG4{~^ZUp_TcM7aNP-xbXVp!87EaC0;0AEPCU@$4h3nWa__4 zyjEN+-XyLRZx`2z8^tYRsaP)lRNOBf6c33{i_eMPxbasc|4IC_$bQqz?<e9vL>teJ zbPtH^Ku)=(*hXYeYr1EM><mnKpqL{L7e|ZZ#rosP^W{EYTq-UT3&b^|H?DlEWcKxD z`W51%;uGRa;w$1iqBpKQBKdRC8%N#{&nncLCbkoMiG9T!akyB2y!d>%FB0pI7iaHu zrc)~J6(11mj}Nbu`^)0n;=AG};%8z24_MS66jQ~Jm?36~*<x6nC{7Wt7QJ!dD<l_) z>|f9Hc8K-IgCCOnVX^*r@HgfDj#z&@cr4ywP=A8hN=y^Iap1iq4-#|4@!}*gUz{&4 z7jF?cLV)R&i1&(n#Ye<P#Uo;+_($<=@dNP_(T{gA)E^L2#8k1nm?2&yW{YFRiQ-)G zYH^vkLgW|+rngnRN8BSG6c35di!X|=i*Jh6;zuIiDNui`*j#KSb`{gbf#M)>v^ZXz zE#`|ih|5Ke(qMYU;@#rC;xEKU#An4L;%nj`#VYXwkuN5w-!CSMDPm`_yEs6+NW4rO zE6x<>ic7_1BFC99y-i}dc#n8MJSaXRJ}({@Ul-pOtHm!wA6^hLohD*)v6I+U>@N-! zM~b7xJaM+TSiC{RZJwDI5XqYK0JlEHYLV}mnJ4f4PtRVxGcrSU+c%B>?cXO8qky@6 z^Z4I4`N9~VR<aE0#{b?`5nB{n>TDcb_%cTRTFYLJ_$%TzU7D6~>bBU2YN|hMuq9@z z)y=9Nv1(l)*|sqzFY%N=Ca@{7IDTVri+}U0;iHJ>?2g&lCqE~xT^J)0ZH&~LniXER zKXboRIyc{+We<SMHSv{m@>_-n!|&ewK-QIciEVrXK0X$+)7cT&USOrBG)svocD7hu ztm?f5zLHlXR$g5G(EL{;{z0qpAILbIe@9L1zNczp_B~nS+xKcDILNp2vb4-_Y_%N| ze<sP7)cdI#e4a=jS^4Ar=OIQ?-WB<k`LE|cQxmsuBK~9c?aJSppVXVSq+a#~him-% z`c^hV{yP^<#>nAcg_GyCnP(SUTaxqJ<i8a07yUNkFMlZ#IQ-j4px~AKKjkBB`?(st zjooFJ9;pe~&}`G~ih1Mby)y4l^Jw2SuNdPQX$$rG)frzR!@P71BR`8)hu4RFI}&&P zHYK<tz9i+Lu^7)d4z&?>%U~hSzGwFL-w*d|;6B2>;2GqwQDrj5xQ>tbZ^D?z7bfIi zng5%b;J$WkIEwXY)W_eB#cucQN?aCKGV0`Oi?dIT@W-6GHP*j#5Hw6li!1VN^=}GP z2A1{sYi`)Lb5ymJ0F(IWU+>Isu>HaCU4faEuSKju-Sb|H_^o{W2SR)CAI!Ke?NnZX zBRu^a;hBK?ZTZl&{f+Zm=8eyvmbWo~d)~_!<N3N7<Jr9OZ1>mm8qaHK#&(XIHzKci z-iADk@x%yE8=8*fojBIl-q-TMoCX}>>1$OvCXG2rz#ib}PLA?iy}!%;ZyMp*u)-bP z*<kx!feGQ#W8K29{B;c9=a}~Gw>N<{Uj)8q9M1m30L=D$hi1oe3Poor?On4G217FY z5WX0`n{)ny9(|`8mpFbobXL=4)B?KrW8Pz!H|a8ZrE!VNWf&OCcjHF>2VyqUI}mpT zP&Wva12K`*KI7+qn<4%FE+&Hi131R?gx$%vX96)R;NUE<Z-+hB{~Z3~f{xXI13Ckj zT8^(lKR97fX#8&&&S@~(h`#va6#Wg}GNPZ4P?i%TF<`|kr5xAbuRwy$6Ola}5ioDP zTY;9%mw<^F9eN2ZJ>V1M>qR@43a_QO5P$XvihC&j5Yg?CR2zh?0n@WDqi+w2%#A&Y z;;R%}P#jIM8I+o_m}6M9KT+aHNh779D$Ur@u?%^okuY|29Hrxs8sK_m+2i?nuPa`y zG++(b6PSgoA#zaVL<&#PkMEvc@q4%eG#FAVE}_Ubv##&s5K+&gkda2L<A2kb$3|8n z7ShJPYicJZ4oJK(hU-}e8dzpcZ7gBUM~Zle9IPlYA>F<>XdDvH51zGfccK>i*3#S< z2I~fMSHf;kw@Nk_#{QOL9?dliV;)Ji0gQ7rqYNOrn`tA~XrdPkI@1&86N$BP5P1X! z&IC1JHP%50QM=)03EpD}4hwLHBBF-*703vm!{R25L5j7}V99{NSVQ7ngn{B5lCQ-v z&Wd1EI+J`G4rfBS%c;xV*r?p)M5ocgq%n?Q9{kpa_P8=H0I!`qw6T^k!Nif{ij}l5 z`xr(*Fm>Z@vSYF_k>9d{#yW*ymw3lo?l%L)Qd}WWMoYRY1j}e~heS-_7>p7aq{|{a z?^+2K10(vp(jb7BSKdsxd7Vly9u~?Tgdvr6wJ_C-Cm%0v=i0av8CSdM&`Mf(`oq`{ zVkQjAd8oGhMqr`M>6AXSu)N44;T9W17HM&djUkJ)bcHcP7HM&dJ)y4H<5+rrrZYqN zSb%3?P~8OU!K6$JcN!8y&dhc<{tv)l<OXrjSSJuK8tYi%O=BH>7xKCrCMtS4eB9_0 z>!Ocm^g|}jIO0thpY{D9<L@x>$HT>J#rS6yOl$D+f?*|QXlt3LQVxMKDz1c)L$Hj( zn=mFmXCAoS=pRQgtEM{6YH|o{wsQPazhiyhUz-pFHxZT^La?l^_>-xgmo*$=1WRJZ zDI%!ZSQctbuy*sLZZ^s<!^B!sHv6Y;#)KVi>yHlOhIH?4`$E96PYi&;4!6r_;U2|^ z-)XV!?K0Y*fk8OJ-Ma|q?OiV%qM3~?bS4arbv8Xe;+P=4I+oEMhCxGNTUz6JVfYa3 z{0@cE62&lP_z*338v(<IXmMK|mZ$d8hcY)|#De7+lk^zEe6O@N4rfi?1A{ZsNUugv z8ZTDe(KU{F*IDFX?NNj*d9kqH53XdXVv!TLKLzlZ#R2GP<C%(T;6yN>iA4*8H{}`Z z?_F@@7u$h<w)%UQ)_=E59ZL_vgXT-ad5NULf-u<p=AVCz6um{utKsLf-iP=9@ZQ+7 z=ggXig#_nJ$3kk~x?47v8EkuAXLQNtVuRhCCL!m>flhpSZ)bL{)Bf3!11{=*QCbG> z_3SkMtwEPzXGF;9GbtOLlH)^d(EXXl$qyltem_As=RWDqh=I<lSTt{1dbSDCDAe8y zHPER*kV-3L*eB$42qD;w?c20(pP8AJndJq<f^$ub(mCconBLyO`iDrTe)M!ichXj4 zHAHj=N9XAHfmp1LR>VVrOc&~I?b}0!fd;xcjYAhtaPC7!UPDIib=d|E=zyMkzJpHb zf3)U~MDO#V&SzM(?{+)abb0<5YvQf9Lk@Ynt)=rK=-j>5ES)E3L}4&2okvTtJ#b3* zk=@-D`JCUQWBAUMxz1K3dD05C#^u(w(F?b47FKyvPu(TgFUY9vIuyNQ)hqgI*2*(| zfY0hS{3UDTnN{(;uDrGSYy0#<{r@-C%yX;5?d5z{nZCYDb!~~<L!qu)^jSKtN2T{i zonP0tH~t~??gf5hXKK@ndg1j;v(e%9nsM`%&#~MJ{*E1~O{Tu8&$Kmc$dCb{&ciO7 z5E{R5;ru0`xeFJE&?PrCbivFyvuDql9U8rO;jB4JmMmPnq)R9(y<a-!Bg)9^mDww! zU#K&B49}UdWKNgRR7B}HM^SoYP4CxZCi(!+$`7II@Vq7S7G4|5ydbN0MxQIJ!MwE1 zm@{K0o<Mlh8Z!ia!{;pPacPzn+aT`Jcwa(n6MwSZ%x>YN*e&f=c55fqPV=?1JJ=Rp z0b5_Sb{@~P*$qQ$0=LE89(zYjp?|Hj&bQtk+;9>i{mcELqxWxg2j~Bp9i&^MDsbJz zt$@2_i5|R*S6etR93CUhRzDp5xSbEf+n#R<*BxQ%ryG4`^sb*H6b>+(ABwrYg133; z4ueCy=Lq^!(8m{^&0*&wD)lj6m&15*V6`U0ll`r{&GVZF2hUEw3{+4juactt=D;4E z?&s)4nG|<!|LA2=abUIh%_KyNHZQHEkfZel+d?1QSdIi3uN>=P_u{~6-2i<I=WU+f z9dL-&ml~yy$Bw6O7wldf>bM=Aw|_}JZ--yBzV6V+dS(6^!g%`bgPr<#JagmhQjO~A zqyGVz=y0v^e)l>?&_+KR_L;-3UnFPKSN%dy02zkcF&NKI`B~`ePm4C@kK0k0=yGJW zt*d9eYN#y-qSv-%SlQXutdni*`18^tql#yhqeA#Pt%V!K=;Qujn%=Pit5t~ry=l?r z>GNcd(eD3_{?Rx*qBgHqT&Mqv{?9z`)U{XEc-{7G*3UzCdsFv3XPnjlIr{XBJJGW{ zLPvYL=R6-8FOLJRSHyiyn*Pur4rS9XwIhi@-Q~~y#c*s_<WO;>I7YluoF%gHGW_-8 zYLUk%-HXMYB99@uSBSq9O@C;(KP~xr@fGn4u>l$l<EM(<#S6qiWQ=9yh<u~T^_ixK z(@DgiC;3{*%Ou|{`3}h&ByW|xQ}T}`@0EN&@)KgE_(zd%sF;s4B+{>u%ma*a0tx>X zVyfIbN$w`OkK_T8b0njB&icM&_CM-@?UD7tV}mqLb6^k2nWEY6a33gnh{$UY<Bbx> ziG0^YchergYb7rhzc1b_-Y%{aH;dcEJ>vc1L!xOP(Epg^Cq>gf;LevA%!g?w;A@iK z68|Ee7SD(g@pCcA{ek#NBHvC^ZY}Z+HswsQzc^4FDqbRv7RQTx`Oo+>#C&nSxJ0~B zED*hZ#&=5IDDo8z_3=#*Y1$)rpX3VBv`e`EO7dgkGvf0iU&&L?8{#|S3DNY=hyULt ze<9*w%f#b5e8%_s9fu@$5POJb9Z7`iFWKvNJXEsR?|7_auix=>$zH$Xt0iA6-YDKA zt`Q5xjp7!uR4f;NB6|If4@f>JJ|;dX^3@5;%X2l!uNLH=#gk%{__6q@$O|UJ^WKk4 z6uot)_}zo<?Zhsk*YDVjD}aB0xpRU>h94q&{f<XT=9d%t&lIl_7mD6I)diC86gP^y z#k<9Q;?G30o+b2q{fwWK`)@>kt6}=Di+>T{6F(5mx|Rs{Pst80<BXRedi{%=Np30f z;}64eay+trf8xvJ&KdFO&#yzI*KhcG$=?@Oi?@rL#I0ie{=<9a{(#62PSpR5Siirp z*H8E@`JWIuo|5tKDc10F(X4w3_c$2(CyB{o8?miu*1v?^TStmtycln=I9&ABks2>~ zl9(sX7Ws*c@oyAw5m$@r#UF@U#U0|0#Gi-{h!2YV=0^Qbi$}yt@m105XZ(re&qN!~ zF^nH0^0CH;XBO}J2hsSWIt@P+lS$mid)G(wzv$J6-6^zvQ~!(1-u-&@H(|fI{{`>I zv7OWZVt0jqSyIuuaMJccg-N^C<}b)^w&|gok3I~iO%K?o!tp;kHO$w#D6lbMOX}7( z+m1#$6gAys^|Y#+t#-oAb|h~r2s~~%)lTe!l%{Q(7o}`$v9<B$R$G1>jxUSf-M<ay zuC`}ecV+i~?AD=Kk6G>Q_TiI>KD&D{>`t}qG^utPjXF8rN`A~bA9CDK3=XlXW7gmn zq1w0R4-wq*w;P<+JI~*Kb+&!dXE%R|{WJb!)gL1MMe)0R?E-MWF)#6@e&MMRC$D9j zFGf#|*crZFH;qngvpV~u-@bwT=3Dn?C!Vrm<4;*}PoMJpQY!ltj!8@4*M8^xh;Qnu z{oVHWjrbbnra?-Kue`4C!f^YDul3Ih{e5pPC`)B8kvAfP4#)2<jaUVN9Y-U>3$C)7 zmsYp*of>Y(7yT-y(MHby{-awH!+~81r8^7T750gw<mDCqJYtnEEZiUQ9sW6bbNsN- zzxmIF?-w@O<=Ylh{I|lRkzkS4!Rq)#&Fw=wh0!Y_;46*Y2F5>MvwCgM@KZGfYY*3K zxC-;)mo(q;MkJ}cQR(5DRcq}5F=qn7n3C9CzD<wUth?%P&8=$_wm(^O+f}}uzG~YS z$n>8<k5crRdA7jndQs&g5o`3Jh&9N+<xs>wI$=i~7(3c2Zm{JMFllXYi?i!c#Fz0% z#21R${4`Sa?|LNS&xqYLvM_P;Vd!OA>k3~eJY2J8?Ng8wOX5m{yPiTWpQtIgs=*GX z{RDF1+Zo85mKH?r5|9I5u~mIX!O_U<ik$GJVWeOog^ZXY<igsInv5$#Di(59VHZ7H zh+Gyq@Qbx#Hz&d;*2*mm76sO>FZ9DTV7*XNIP}??wO73nNvYsG<qZ%f0F4Pn4U3+w zSv}M)d7@_hRdL8m0rN8SP$VH^_*#4OQRsan60A5BX;^eLl3ejfq+!L;NDAz!(9^x> z4fw5Fdnghtcwy~xHS34I5y>ce!K9M}zpM(_;nu$ben{I7Hw*THp@$*IlrUx1!5fj( zf>@+oXwvrWOv)@lJtUzXk`jDH1!zCBE6T(7g#+7Db~P%^Z8@uDtL=?8w<xhXUsBm< zXJ*7VqF2QC!qBwdXo0>hM@&5zT;(f`LEj>)d%wyB`xPb;A*Oo!!B?7><}2!4iSkrD z$o@m<sgo7)U6T><-Q;X-5FU$m=zGDxZB(JNJ!aFDh5ns)r}|1Cui3EnzSNjqM`~`} zcWY{S+S4_6to=>R?fZO1e>^rl%=(=e9(D3~<_C$5O9Lf?PhJAI;YG0@Hgb}8cZm20 zW}h53%sRE!j{C4tOuIHWrgez;rUf=O-juw}Uzt=kaGCvXd|AJDo9%3~%Q<yh+-u=x z+nSd0_!zXRbxG^p2|L+eYgOQRE4JE++m#ZtF>X`rw!|&*CB7X#%uwH<JgL-f)1u8I zL;F8=S9XVG6T<F!qtB4KvqrPJvqoE-HEf(Ua8{^Z#k0ogRsHhqcHuOhF`kcDi(*gu zVq3ptrCZhOSG^GlVE=WfK%cG*U%MCZ&+|v{rRm`#nCsp>e@v@){s@$N=a7W|<T(WA zs~s`hud=FJzT7gt2uBC<yn0naNdWWihYmZ1JqvM+<P9xc4f>M~<3Dx~&IYEx)Nin_ zo~gNQU(C+OYgX?|*fBkAR``N&99l=9R{*`e3ieq&FRT3Z{)F8Rp}qeC{lzXXd??~O zyrpn=VP)a#h0oO#?mJR*hta_p_63a7r}9A@hm4W5Hgzq|M>txOih@NAiv1-{>4Om; z{Cq{*pviyucZGlC@xRa0)Sx7Y5D5s8P_%9BLy_QN=8qwMxAu=XlHBw#Gk<R%`jOqX z@3~`v9dYP;mbfFf?7WB2+DEV@-=e-}Pa_`tp27VZxTEjcQ)rQH-!ru6o6w?nPAI$* z<+x+t-Kn>xx;p>H^Kwz3bUUsS&32>zSug*oAy(6!fg<!n8*HO}c0w9c(wc2;x@qM{ zFFugEJ8ApR0~_)OR0c`{J3>POy;jBHT<Hv|UR4k%E5lW)IQypGHhC}G8WIVl+HLdG z0=@3bo||W#v@JjSj<u|0@3=rJddLMC!q+z8%_i>+wj3C^UnBQxe3|G)7Gyo&mtBQ& zpSDJ~oZT`|l)Alfwc})026lCA6WA4MQ;ZaE%SQVS*`b`1F}B?e*ToR0JwUidxF#C= zsFUODTns+Gxzg%-Q{`H#bN=S+205X;z?NXn6Q{UFK>%wM#1yv28U?s+O=T}O_FzMu zVT}S_$>J+>3R{NTqF&b&`ZJ~#tT)%RH1%MMFT-(h_?CCk3X2|&SfO?W592&N3;%wr z9RIPQd021Z?Sfp>KaTYk=w)@@Tse7v<HD8&V+to1Y%JVfaI7%U>(v6Ztp2xGHZM#w z?pq6Y!~NBQ=4;bdIcNSK_Ra*bs^V<_=iGCX+-wk51B7rx!VcL8ix@O4xkA_i344^V zBqYe5Ac&X*L=8$cK-eKcM2pI&pjJ`QQf#eNvB9k_(Aw6bfT5sPqw&-K^UQhY-kS)D z{p`2&?}5p0-q~l)oaN4WXU1h_tr=0aY0aHwZ?1_@+ITMOm9h_y&Ho@|o6D;i`1g}W zXQPX2TgcY^o+mx?{?-w164!b<z2C-j#|N}Kss^1*<omgLopG8!2TlGU<{-@^<>>nZ zJ-a-3LC>xw!sDwR>{`!m2!dIg>Cz_~R;b+Z=csj@E`9TrOVAv`8So7~KBI@$vtvdU zhiAQdcCb^=ZZSgn^z5n`$8;SeT+YuK#OWNULONY2Q9wV~t(oR<Ab`KF8|&G98m9H^ zJ_}=5>e;={aG!@wRiY!9pX{x=us<u&8O(8G>kAQ~XSbU2mth|OA!bMXH3yoA0hJVv zGUG72qBW^#ccrp%Y$l99pv|jHra6=HZiY)!@}DR_gcQs{W-;s>lL%*~<`Cu2InCiL zlQ~q$S0JhIo|My-d@W?9Q|D3QBxJ5OWVl+1uTtdB2*b?ZA<aE_V{WnxTMXFZ5WHh6 z?L1rD2{F7qvmS0z2QMA(-Qk^B^b93$rQF>o*Fr|tNPUFLY!F`2AtQNPJ`OqjZ!GFa zRki78p>VdgIZDY}AycVuw34`qW;jPCMJ~nwTKGAie2nrljBSVS7lHwMc&lIQ;d|-v zYn4zbJR&$$C9=`f4Iuz6VTbyS3boT4%9f30X$WP9=<MK`c-kAnmN6dd<bu!5*@gZ$ zs1XKSM2!&ohEx>PYJ?(cH9`@!8li|yL(TDl)M1PeZ9}+Yz?rV7@kRDkfEVr?wvHjY zKXeSYux-2tf3QKFhv08B=*|$TcN^mgI~G;~hTVJcK7gP^j<QZ69#Ph*BhiH?!C)Ev z9H79q5^I%p3c(-XP@XwgavDYCZo5j@gCg#OLDc<#<bAFL344#Out7hEV5W$7sFaB_ zAtmS(p*_TexkapUXTp-=p$4^yQYmH;^lzw$*b}b9RDiJrvxLqQ7DkSB(ay>u_3~0* zt;e$RthsXcHNjYx@*TUB<)yT+cxZfr8wsoM3t{1|y-El~aUx-qKnwTTg*KU^nwB_Q za8}bYz!n0lX&DM*8k5Tb)>=iUg^dcGJHNIq_qIelYBL%J{sdb>DvDy;M_+)IM$!b& zH<DgkN^Pt_Uf#w;A_NAzW|UOxHc^4t5OUVnmU?g_%YZ=)Vl<43!E=WnLUyvWuw9_x zs#^utH{tT3?aEz<*(z}LHBPaA;}qMC(;tB>qd2gd7Q1n>_rNNRv$oXNIN5%Uv)0=< z9Dm_n-Kr&N;eZNs2g}h99Mu#!vLX)7Y6>s-90IE;9EVZyxvBLk80e7^+hCwwSW?Z? zCB4;mTKFa9dexw)7%d!vMn)AXXA1ZE9Guk@-tsvFR#Om7wTjX*+#I<j9<E(tKMYjy zN~-Tf(W+GO7CNeFk?Pr*_*IV%cJ-b|C@Dr@H3g|&MJcOSqN+EJ*rckrxTG4rMIEzH zqGd1+e8nPJ2d8RET^qF0xe{Fy2%nbt)#9s?`%vu1U>wHc5`8Z01awK!an+gOd0^Q@ zcItA99C$m*#^!gR`TdKxP!(^HK}zxVlm_bJAvUB~hhGWn{7YC?$`T%gL8%Z@r>sw< z!zfm#R8mHp`lYOzoGCvKgPc^0D?1+RA_wa8g;nI$d1Jki7sC3rfJ%uiaL{f6l@jed zQ#vKK0M8KHQkRpRo$2_imQv;*p;kYo{cNK!y8rse(`gPvZFa!;`%&f_XrM7^gLCo* zCtpM(QA__YydHR^`n&n3$(Hl?qg<oXoUp+;(N44L4@{Hi2~QY;eHAxE-DROg>!{X! z)_eKuv**41b;l5g1{Yzux(F-KIgkaxo(%2Zl4@3U2MpW^)>_>zbagYl<r&NJuy5kx zbyVw4iriGUtoADp9qgL|8&^UEstr`+rm#nqhn*Ff?I~p;sC0}w1R;gk<Gm5~M`;Gb zppXRb*NFF6DJ}NnY#edWF1&A20iP}&6)%&>RMsqlL7~2z4XZi|@YjBm$mVm!ewZvW ztNCWk=Lc@~9(26XFiMS>Pl>MTc`%+&iR)p|xhHYs0^0d3#f_Fvjdx&F6k52`J(}ID zriITFwQ&KTDA_PL=lc18{CrP`Ed6wVtCZL9JryVVy%8K#Zv@$@z!M31BRE(}%PiZS z;9CKj@StjXc_8`rYvM_t0E7Gp{+2*vrIq1)9fsiy3|_7~U~tL^)Sl^mRl@u5E?X!o z)z>Bh=}XG53OqY$FlZ=()d;thnTriujhRk7Q!Pcu881M7O3v_9hkoEA9oF(%Rm-ez z`;Mn?uJZNHANUK3YWE<oQxsyN!Z;9A4i-WmZQ&dZ69>~3rYB4yj6Ue+hUp740ES<w zhQjFM6=eA;4By3=z5n5#&ufVDZ>D3N)aR0QtbgS&t*}(9*qva7K=at$AtoBjUiXfR z>TNB->eB8Q=q*F&XwA&9y1!sea9i$4R<7HMbL}&ra~<fK6lmqVV8vA=4oK{o7@Zis znmW*9S6KtxOdxbpW_zox+sb#x^|6+@t<d-wD<r;0T$k7wtI%z=M*78WYeD=(_*gC6 z)(rOulp{eYQNLrra<5khI@S7{f!Nt@E5?m9pr#yeorHFDcD&_DwFab4>y==&a&t*& zEDRm$wx-1+V2m|2-s;43yCn325_tlZ$d|-Np;lbSJ0w0PmSGpcBQ(AP7yMQ&5g*gb z8jp0{tOoyPSj~!&0D>}o6ay=6Ul!lRn(4-R-m%to@yI&H%8zGVr?Otw9CvIo6YXU! zLU7a$t$|g=p^m;p<xBS-Xa)G7GFYw~K@*a!`5Cc&yChiGX3VxiG7?ZF6iX%Z?GnZ9 zvzo;ZiAox1-GDSugC+5WNeM}0c}$0dUhQMsCr5ROiivASy>gu08{)gfbVnmdU@I~| zp+mb)-Fj<n?*!<er&t?HQ>`;-SLmmsiFuN(^TrESSg~~ys^;s=7p%WSZG4?MNpYj; zT4i^HszB>Z$@|tu)2}N)A^&Xz{<%5ns#t2a7o)9$-92DpsYnkE_<ZWaKMNK0pPNw0 zM+PsMRG7ai7J=dssI%6$N3XJj#al`vKQ^fc)*grAJhbvvVoLcQq2@!m9*Lu&p_$*x ztCrs&vK5_y9`J+o@pJksef&Qd?l^SHeCu5_(Y?^eKVzhF0dng$)U)1v)4HX^(ap4O z!vf}CU_tXW4%eH{`_>how`%ghf&DsJs}T4LGyh7iQ%?KWrd!-ZY5x|q$X7~f-wx_l z>3>b7eav7`GXN?|Ct-1Sp3duW;imGUl#}AHptSGPE2r)^%q6JsC-pHFCG~-xxmt(Y z@G7ML;N{JyO&c`s>ZET^67VVUBP*rnPc8BxKZcU{e`O7He@*^NRo++kSZ@oljeRZN z!1-I6{7HTNHTkDa8<(Etw;cKp(%`q3oFCoWSU6-sUVeU&!OYZ50aX}psV=6<kG1SE zm1E{iXs-PqQtGEZ-o<qK`DDMKPX89XVEqU>{ph#<=NCPvZY^4a?~>|B5o*|PW%jzv z42M@ybu<n~KOS9R_~Q0`;W*mXIA8xaG5zw^Sf~FZBu*VIedv6zh66^Wy~m`^cqc~T zIkL%jWv0h+O@z^LU^U$6K%deghxRLktnKt_30=}xFbb^??Ux4!|9tDZ;^j8f&X@V= ze3!wl<1mfCT!y@9kwg0xL-tSaLo_tUY*`OxuhVO+)9;AH4-EM0L#KBe9Q@O(LwXf( zV|u)Hbb32s*KuGq<{-U4&{GcW*I1{&yw9cS^bf+v46co;52i=+m@rX17IwHao&G-~ z+!Yds(B(VkXQz9V`_gp!D^L&J&KPa?wo5bE{kofxjr9HqH+|@QNx$kDpT=F9PJbNw zX>XkvsMGUvQdWQWpLF`08`08Myk}fQr(ZplFQ(Ivd$+ph{g^ua87LEV&Uud^`BR$Y zy@XsSTq0a0<TXnFO5uN(PX8cuD(dz%(6PqNB8TqabQ0xKy3~*tiM&GmSBkt=WTium z@H<4lTm1Kld_d$wBEKYjM|etDCk(;`#d3s^NVl;Le;4uZDgOOLzFIg@m?QqPMV>3N z(rrfiw}|`g;;wX=;l54ed&U0&k$)kwTFV^wdZoLJ^1UMNuSvM~Ncf);`BU*%y2=Qz z)<%ZE(ou%o8aEo&w;c(Y2hR_0!zAz5B=1usZqbTr^aOG*k?{tn-1`etg+qjVRx&=n z{*dE@GljE-^M#9rjprV$7WZ=DUBV5*ZNe(yy~6v29Q<Scj|+b(d`|eH@D<^ognt#D z7M>M;F02<i*^iLEOW0J{LKr1<3;DgD;rj?vg=s>)ra8yw7_RZ$gL&evt`qn#7r8`u zi%{umz<<5Sn}j=s>bimd10qu;f%*MH_@q$HiGe#m8qi-YsRX_z@^RsNLUnz?zgFbW zgkK6R_D}e$>ke!pG8Y!1dpltVp_)Sjcj_U~pZXBwRYH$2L#W0y;Xgy<Lg75&^}^*s zy~eq^J`wLuabGXoF02;r748#0DttnCSjZ(DSk9xuW5TzD$Ax;%!3QGiIR_t$tmhp3 zr^xDhM!J`})_D)hE=Pi}zc5v(=N#xY&#6$ta_0#1goVQEh0BGv3GWbY5$+J)E4*LG zuY^oreR2bz5&2g_YOv7%P2rz}e-)k+ekwF^<6`&#VRK<?VFzKXu<=}je&T+GkR!TG zZ<uhraI!F8sOKOo75PTtYGJwXF5w2@9^qc$e&J)nXN7tW!b>9mUig;qxbSa6y=M7& zk^dq5MyTf?G)3K5zZSv{!dPK~u(yypLkxelaEvfZc#UwnP_J3ONaW>0epg^Rw+Z!} z11cfW{cd67wacFn_os!w6}}|=gYaG92f{PLPlTTf8_zXph99NOzm+gX*h!cq>?5R- z6vGb}P8RAl%p0#=ev|mG6jG^*@plUK8s!H>en$8!p<bi>4UwsY#rWriW{@oh2%8C8 z2|Ee92?q(&g(HQT!imDE!db!s;S%8u!dr#63pWe53-<{33Lh3eDm)}SEc~tTB_Wl{ zSl{=A9|+F~KNZ#q1MsfS@LVvDY%Odn>>}(TOcC}M4icsdM+!5ARBB_odBVBEg~A(z zHwjk@`N@p&s02sqHOBd2kn&#P!@@^}&k27kd`0-G@Ll1bgeQfkg`WsN7k(||J0SCI zB5W>fBWy41EbK1qE$k;u6Al%Q5RMT}5Ka+J7v>46_Q!H86Y4d`Zx(s2utK<5xLvqM zxL5eF@KK>&bNsN#R4ZhDZwQYI-xGc){7Cqzuuk}m(853o)6r{=M~K{b?eREq?<%Ak zBja5m94zz*Ckw9;QoE7iZx-Gzyi-WMM*80^+$+>;j8m(T{=XFBw(*^FtI$1E_{P5j zT8g_{7$@v1>?!0J3-iMekYbu}sBoBYq;Qf@{f<Sv@0i<_a=F`geBa!zlzx{d+o8XI zZr4z>4G!<zt~MCC>UHYepdierh%9@&{^QRb^_R_V<#BrMdeS^!HaIhSRh#K$GjlKZ zq<PjG-+cb{H^0V=fldoA_Y8XktD3I-I_Ap{+VXu(CF?Zb1F@s5Vf4dGaN`5Y)t4in zrC!LpbGQi_&+1o@BY;0rtq`WvH=7=gAP$XL>fL-yK3$vvoTO{1x8}@c$|dMF!ll%) zp;3K1((q|i-^AB&XV9;J04Fl~3uTuxQ8_#3shCdA_YE|yvF4|cgFb+tq4dB@gK(2I zN`fZf?@dAX!+k~29E80wXdhgb8?I?A%VhH~Bv%psU<uTzK(LcTP9a?Ols{`i)v~9m zqr~EOH{)30!x7FA>j-N>(HS0waE@3fdm)!SwMCcVIzl-pyg9tX&2)r??lDWrBTfB< z6Mt(ofkyU7THJ7L%1q2r%!8)MC@2*(fBu+k${fux%;9N>{M~DqnJU9|M#NiiHpi-V zS?6pvhJIPh?*o|T@%Y1&+`=5|Sc<=y4xWKvCo9>~?8d0O;b5Arh*m%2pY8Yqr)FlS zCM`m(CheMx4{T<RGb8vokHaFPSHclhY;EWzL2>rP9hYVR*CEBpar&)78q^hGqr%bT z5=p7@2J00|L;P1P4Q;q$X;{M*OT+zFEFIpW!J4H_8m?K2*GOGJ2Z|oyC*opiCQ8M! zz-jX}t4!2531gluZeL{@j*xY{?X|SC>k>A+MM_vIPIapQgIdVyz-ud-_9ithk!IT? zm52PX$L2B#4n08qgh*4?O#Jm8oneEX3egJ&>T(W}p{biYwwkspVGxK&gRwne@g7mI zK}(6yF~_NxX|@OcdJiTKofZFFvi!nk>3}J)^o4O4iPa<%Mj`N59n8z2Y-|hrNYj=J z$ZgTz9L9P0{JX5(S2(}0_y;ZEcg!&3(>%%4dsv<k8#^_rV<)R16&Js0%35+*18mx; zLD|EmjZ7bXHIP1T+?a93C4PhTD^F4J^x`E&hBvva;ZxG5jT)YXw1*7)j&<qOXVM0r zYcH@Moj29&^sMY@o<YM$jvtr)T_3l9%oXb9<;^R~D>mc{?vMdFLq=r{&$9nc%gQTW zvQS)xW@SzrSvVsnYr&GmGxKurJ=fP9_!>WcRQl*_Y2OAF{+`d)-pY?2G<x`G4>bvX zz*2PH*jeL;3`x(*`f-<|yTBrWb`Mo2=i7Z^^wr}>4#LRi|KV@lybI|g4VJ-8B3B3A z5^$^YHmlrmCvG`E<Qq44@5VxU#qk$!$p7mfyW63S&?^7!-@5ra(>UKWxamVbLwdpi zKViJbe$1)jJ3l@Zt7k34QO<^8dip4aCE9)*DJSj6XRUvF2az5pXE8mz7^pOvUM`G| z1FOOD)o4ElZRK|WZD%-+7Y6z{XzP6V`RB_$J>2kQzI501SP8q11FP{kJlwR%q5W=x z?4Mp)bNgM8>EVsbn_eaCIu5MHn@CT+Gg82z{VHJhPp`r+y>R&H^4;eb2Ug=GJTBBO zyW!`b-Y%r4&l{TDo8BX^vkdGHJe)WQD;k|Q`ac8XAFd3EEn);Y=tuKB3{Js9aW=ST z*%ev<8HNYfZqjzjJyBR)2Fk22k2hib>#^6bU0T9Vw+l{ZgKy$Fh{Ts}edxSMzv>y> zdngT#d|5=&D!mAhd7>WPV=fwPs8?!Oc0cC^b^0;BiSuWm@6fGcyI9`4hL(ATeTUVc z<yar~Oy7auS3UtY%bqalb+fRdoEs9`!#>#JzQa<p_WWhGpzq*1c(D-K=4mkeCO#0< zhf4RNxAu!Key88740-qHjs!#SLxA^>f9BhH662vM_&QIf3p0chg*n1PA@3;+f1_}f zaE)-YuuAxI;X}e-2oDKg6xImY_nH22;a`Lw3eO7vAvDp@49{PZWPjn+!ihpo;Gq8k z5@RSu!lfiS{u&`4*9^Bs<a>qc8z9^t6IqQfK<0iN4F87kPvZYRiG290n*KZ}vp19c z)lRZMkZxg|u!k@~sD1<?+yIe>3r7jFg_DH2!dXIf-VlGW$c?`t-zDxFgpI!$?-lob z!l#A$TQTo}tcN<E;Oip4CFFgH{%3@r2tOC9ejMa$4>+svy1l*@nO9?@^^E%Uw+FG* z-~YKWFQdVj*SLS#n3p}Y)kmH92E$*|*fjR=*N;5rRm7BC|HPOVdqbl!uX%97as-0` z@0i!8VS3E#v#><wrN_KJ4^#bGk9pOFH5l{yLPU&tv0GvZ0>3e@kryBH8r5*jYc%uV z^~5o+G0dMAgFWV@2D#YRd7WaEtHGGpIM&6X$GpaW3W?qPr!lY4D`Zsbr!g<|2YvK{ z<)<;P1PmnE|M3>oYyN`ydA+!bd_v*;nRA!S%Hueb{eQSPTxd{-BMLuYLe;An^BR=i z`(HcerONZ|@eThmuZvIQxTG<!3%oWWzxgw<g9p?oy~8>UM#O%!xg7rs$GjR&+VG8; zaf-zZ?`8{X@`kr@{yWFKa8h{6zTGE&8uKbP;%7}So^Hg?C@QiiZ+zF7*T2C8k9O+j z|I?Tk$BOu_&-Z%%a?gdqPbKg1)0mgtF84KRm!HPG>~{HS%!^}I|2|`0-!(bJ9`nM@ z|6erbH3Zif$7V8x<ApPXg~Ff4ywFY<Bl~I03+;e0tpE8juN3Us<vYHA%&Xre>v?gL zUK~HFo)`BJ!hal2a}WNS&*F(+uN)fwfu0xlPq6Yhc&hchrXmbh&O8S<OO2*#J+B+- z;S7jk3@Ru&GSzsDGe}*xu7F#aiYsU|;8X4zL`P>3b-a{vSCtCn<k$_?%~W>)m$MU6 zQ_5YZ;2iWH2x0_rfLSYdanSsxAWkz`5tNUxHwN7a7YuX_WLYMg9OQQ018-}w$pP?S zCx@${+!Y=^0<Ki<Y8tKwx;PkRMR=9FLYYLfa1*Xx<*w!;VxVgny<3I{!8=^(%R#w| zrR0&O{-S;V4qv6*MT;A*O_|BXl)IRtIffZNjiB~GSEkAz%3YO=ICcg+IMCG(G_#nW zccAMZ2+&x$i<N9?)-o`^2SB-tXtj!eD)0wRKPh+pq}-K)j-bA4{G{B)k*|vkbmjL> zzT}mI{#oU&3ydsbhz&U#-yXd1AJDqcnk1Jrl;s_d`Tt|E>eBWIRjsKMxxCGzhpR9o z>M5LCoVU1e{%p^Z`7?{L7iUqzMNb<#%#XUqsNXQw1@e?ZNOl)r!H54J9OT0Nh~H)X z*D?9;)a_ye{-oQ56MzG65bEfHzx=hU^-}oE@IQ1ozD?22u_Yc1mqv>m9B<;$6DC;M z>_2;;<HGbgF2yi<Tq+xOoi?mkKoqYzcu~@a_REBWe|r0n-Y$4Dz3&?5x(#VvNQa5v z=XKi5i*rLSMYn4t21eYHFUzCrv9eKm55mI@H+|^58tZn=g<1<=1zC^p((U5hONP^j zPOkzE{_V2DFFpL^_Li^lIM=&K??UaeyHR>;k)A$pXmW3QkHF3{B*O6c0>9UmH9Bwf zZ>-z32#GCHG3-AKL-RZgPQgOk73;+y+!a~?8HPt=-7YsOuggGxo(~?4b-OD4+NCA@ z8IJX8JkFJg^!U!L51lXRS3Ses1pOY4->2J^i$)u&69aX6eoo5j@BWi+*FUY>WxvE- zWDyiK&c&Pmzi6B*9cPl`Tp7ZN!fS;yh3w=Ew^UdnTq{(%LGa%p@;$-_ga?Fbd<5Zs zBl1haW5PcOPYC}iJT3f8$ol}x(@Ut<fq*<x<jF#%+lA}AfHF9j#Pw1lyjjBiOyq4s zwRHy4yI*9rz60b#68=RY_h(>wZ<9#>b8$y88|WzU-GTlQLbotZ_>)do!}hwkPFG6r zzHZ;4$G7@l?sg|CXZvqbVq)LE2$+=AH!(%IfB!tj)OI)-e&bt_*f|*U7@IzhJ?c7F zbX?aNd(?Ht9(73-+@a1m|4sjS1##;pVV}Bk^PV$lR>H=kbuX0luIRBoc3syEMpxrp z-pUA1(zb4%jjkT1D=}$Xmx>o6dvEQz(Q(cS=(RC^Lt;g@b)7uiJF8<=?Dmv`dB%e1 z0o+%~O!Qz6pAmN*NIKAQ%hd&#U^oEsjo3-2pp9n`d~PdnB~Hr?ZO`4|I&VKwx3(;L z*@?RH!*Sbs?&!X0ui-jl1Rt$iTj4l!Ye1N?msfP!$bGP^o8z`7R2h}r@olhYpXYYZ zqaGs;d)-C6Q-}37u(w$&PiIep=U4T{Y-i=sQ!QtoIOUvuyv}e3RvxK0XSb?)r_SjP z+H~Snpc%ZGJK?!1e_QV`Pn>eaLdrdkeS55RhwHH>du0dDiBk@^x+>nOb47$y{;uAP zMT)V<>s;>84WZ{FLfzO$>PUSsVu!4mid~DEu4_{HPF+~UiBnBt57(P(j>C?UAXe*G zW6KuLkm$~y3=j7;<NjvGhP#ikgb#W;Rmbn#?TOn_(k8LG(<bMcfUsU$xx>|(G9$L# z`nK4IZAICMQ*GBwtaJ9rLHl*uVst)I?_3k^iQaTw^eB`wdQE1Xv)!-i9czxBGS*;U zFXvWIckDgpEHirK)x3NldTYClZP%IGk-~LIp+|=eNH6{QdPh3q#v<+=Po6h}UqHPP zKXwb^X4Ih64|2c0qjiagkJhDB1Y(~r=hO+vzwO4SJuNC%6ogf$6`<Z%+*HuEI;?{G zZDo3zd7i5eGP&y+c8}YC^i*53#g>;mHJ-!u)`L?$TRnq4p`KsY2gHW$yaO|6hkJfg z?=-_aiS3Q8;Z@Bmo3;--?+k0}c{KWnhxvwYcn)pU_Q4Y@3u?K`)1|uGj_zB=8DmZl zvw}{A8FAZO{Tycw2TYHS+t_7uw{^~%6Lnk4wqW1BM^E;s>b*Vi%yU6KHh0}-bT`f| zTbZ;aVe5&yin7(NF{hpFPMk`?mSR?bc_uU@eB)Z=n7O}6<<YuH*tcv8b~`KIh260- z(X-NGw--b^JlI{zWY5m@>^?C30Q~o(&!kQANDm+3>*1@>!*isEqkmU)-14B&WM}Xh zEA&VtdiMT~>$%_BmX$^q^v%S#&_5$KKZPslxq1iMeCYlYry?FiUrc)oyADQeMti}v z7_L$2=zS4y)wztw&FER_Z8n}b6`9^-%Ug9}iRf+aLD5I*t@JBBF77wwL@x_*osS59 z(8JzTS+@Go6Lq=R!Oe&`UKf#gv@UIb4z88w>do|KNGBp4d%NK}a>8ffe!8}YYgFvp zb*?mC0dLoZW&Wz(8QTVZCo&fOJk9LSGkLA*(ZR@PyJtG~(;J4~GO}#7$LNTb%SCPr z-$rf`>sxxR@jPE2guGgNLXbuTQg#~1Z(-D?-{LB14>y$?%HYaGZVQnI{3FVKgDWrD z6SDJgeGu5j^8#{h#uU;MJ@3@DbiZBKGWVUj$c0Dh1EtL9#i&gr${m&YTa@|jx~MdV zr-SFvc}Ey}Tx=l9><$S&??#y)z)onx4xd1okDiL09q4I_>n5|J^$ypWU^86pGRU5N zv~KnOqjhDwPMmT-n2kO^7FSVvG|$&mPgf5{@f}95l$yl{5H>glS8Q2@gzSNkQ_cQI za1P^eO&POyd)9cS;5wXD5VmPD?u%hn&Pt=>HQ0fWtuwsf=qX2ff~SYaxwAE{4s*7n zf5>^KGZ?#pKJ9t$*a@U?^prdHMBToM&fB_fjaWA3^a$s0^vV&@N8#o=Q|9Wrq4d+L zhmNA3Cs%jg@vQ6Kf~#;<RFtuwb<ahgG79k56>&fQhPjKPBR!{c2Ya|1U_^2N_G*iK z6#J7Ew8<S?FgbT!!PeYk1rf<_=SE<Eveq>%3!;>JWx)=(zn$B%FlxGWKEli@9FbdD zxHk9oLbuwVEW&y@_rqgx+xnUnZT_Q8Tt%|lzpZUe+?L7F&VnA;Z;e-y-fs<eAndmW z7w)$vPYM5PsITm|_Mm5$XOO4&2fJ{NDh?-9ck~qg&G_YR{7|reD>zO5-ZQVmi_GhM z95y=e9i|xqTIxkhe}{1+5Wd4u;oVYiLi#(*)$p*U@SRA{xBrS^KB0@AZ{LSuV6d6l z?fmNsP>XE2g8Cqo@9Rug#&HJCAWYXU2$%CHBRHM>bp$B@1q)~kyG)!9$VMpaX;uoS zK<Dr9H;9wyd%)F&?<@}eohA4f!+9ss2cMzn4F5AzjdgM<6i%YIMeJ!tWok^K=TEK> z(}A$qunTtMqzo{aT<nTlnvJ5R7kt9n!(xtR3Vfjsn}R>)7>YY7KFBoG7phu_;mpq* zN8cv!2xn=`EQ(zGD?Ex~Hbs69Q@fRoXVth8mfD?6N!;^V?Q=GPA>UWh48xpAi5mli z^M|oHi4lJVu_;@?oIDSrW8FyZGB$<wj~J3ab8T@VUSPxHz2fg6Hhqc_RbVqKoXa7Y zQyDW7ZK1YK%V8Fokit8%4X&YBNwJGpObSwE#l~pYvUp$FBKAd7;&?Vkppz%<pZ_%E zKeazlPwfx#pW5%jTz=Pp&?_+epWiuyAvW=eA^ubQ8%*pEZ8))C?;<8j&UzOy5%n%& zA~yA#=Z`$qffmPwXiwZnGturQ*}1S`SRI?ed+^u9w>J!PE7$Ra9c$e#UIE?%!vKh- zHVj(^EB2?TC_RU>k&RxWggTs9ZpEMkZ!}njs+i-5k;<A$6u=<pW2IbFjd7Jg3)eQl z{!|3Fbx`gI>^*p`LhYGgNgHnV)e){;Re-SsbHQFByReChi*}Y632sqE|C*i27*D{_ zcBh3Uf;%xlyVFvFW;TsUM1Q-$ub21=Jc$KvZ5Mb;DJ@Yj*sx`b+QEfFN1ubMnnHh{ zLs&J1G#FLBwXp2gg5Kc*nX^UY{A}@_A6{L<U{GixTUo~v3@Y_UsqLdb;DN!}B;;&X zmeRss=xAGF6%2|}>3^0hOL@AvTpa=tykn?9Y=;nJrUY8p04R@c2kg7!tk!J+!B>9> zzPcj@YyOxW86{B1IsD~J4D?!J7>vVM?j-p+8Fm++5ccvfD^~17p`KS|97h~i*73w? zWz8g<Xb*?6%tc1SphELehuhf!jYTUYXX7Jp)?<BH-{{R6{ggQ)3KAGcw1#mQOIPeg z9!FGmS;XtgnoYa~<1kh@NxN)g2^Ip*VJyY1suacEQtW^~YlRM=D`y$YoYlH|5M0$v z#Dzp4gjLgGS8LK>B$f+<^G&dZ*h6PUwO_B&warCc_QGKIKHj1^PJ#X12v!UGyRE3! z^|DZdYSxU^fZ(d8g{=u8tlHPCIm|yt<)2NkZrI6fMYYb9m3th9OLCAe!{BV_;?Y?L z<$%&E7<3a4Lb3P3%I?O81-1)h=UESfKA*|`gJ|EOyt0V<VATFWwCq;`_79?kJx=W( zq_cVjmXj*0Y@!Nnz&k9do?b>4k*b;n`xmkjcApzhELQEX?r;e!&mGQiT^uUWRYIBd zb?mo=53kF?D#uKMw=3?dMDo(a=_BM0wohG__MK|1P7Ims^WGE&AaI6?mqqXbh5z1C z-yJK<flABG#J4+S7^-DoY~y@AeucNkql>DGjcfO!NJLK<>|M6Qw@=#3$dIkZbI0_$ z!yxnHCA9Q~LCj5n-ND8Y2+JU!me9g08P^lR7Djs!x7x169%UU%98%US;)t?NC5|iW zINdV-oyfm%smOV#Do+;6vs+mw5f3QqM54xaC7ehWrCeP~3+s(5l3?-BXNl3aD?#03 zgsv%}rBb<1CUz<7RDxwyL1=khxn~n!D(fWp$>Yd-=Wkq<_t17|E5h!tV+jsDn#L6N z(YKT}hhT%^@?25Pc6lEL5eex8z9)*W_m5|4c8|{?SX&f$huZ#<@o;hwLLL0J32z+e z{&Fyg|KTrxh}!*ot)7!Vz37Lno>OC(+#F}AJE03U@afPcrZ2V(>R`2WV{fLp@z}p6 z*}BecHFI~u=1j#_+{gV>h9&mAGCC1IV9aR#S>4wPDYmdX%s96dKZ*8`r?6j4Qtw`q zJ0x_sns9$7Y(6x*Ljt!L%IC&J*q^C`wJg3bx6diYUQF1XXc6)W&442|<mts7iN@ty z@hZ)Z+-E5+!5STJMORtvGq7XPKy0!UpOg^SVPLPA?#b4YeC#z8!>x}(QU_xHr=;E; zMqmdbZgw;gnQ!JUMR8HFF;Piz?PF&nk3I=SBRa%nN44ixOUvA`$*PF%DDFnoy27f& zhC8PWcWjKu+FY7JD`@Xg^ojA5b*`ks+F-t)+&y{ZfGc|z_&0_1LCGZReiNGnRiQDH zSihwZGO#d_SBP&Y<n3dSKVVOyqh^Mc!_Yl?SWOWTO_5;*7b^?w+LANLigR<<p;xe_ zkB*aXXO|(_6~}XvDQtVh+*RCZ8CE3Q*2;5R?NoFmzBDy9g>K9We^XUhE#_ocaq-rp zzJf*Xvzj7Es<nJo(p4%naxG3`qhZsfrPxiW{U}K8&f{aPrK_-G5_4}ksRwp6LIu&D z)_rKrcMR;zbU$|0I&DnimPQ@zy^2<%GGChciQKD*_HWRLwwvr#v>JOA{ioWiNSv)( zRWR&TbSu2d9T^2ZeOn-HD4o>Bx(j<up%*N3JGd4U=1_fWb0S;YXHoAY?3LqPuILBv zL!`DCy0{hzk~e;|No)U=4T!!~M18X`{I@3Zwu5(HpKt3weQUR&hKn^_qHd4Q9=bi> zIn`~!lH$SM$#37G1tj|vCI7Tfk$&FsS$DyGiui;4hpgdr;kj)31pcJsBMpvLRYw>6 zb;02ELLDhW4IQp09B9|CC=B<Z7GT$JF_{t$P)8I7o9?q;bd;>q8wn}c4x;|fbwg(Z z;aWq&>$gge<;sE4abPu$Akv>`kwg2<hpg@N;(D`pFabHV-yF#P`R?dw7-a~{d>Nee zAk`QxsE?j448yGjP^ZVWJp9v(>Scd}W_n20n_h)q99WH!NH2mGIdpn!A^WG-(=WX+ z`04WPhF!;D5;;ikBYhJ6{HkF0PcIeev3@LH6BwP|L$EWwL>L~!pnSylSAEcr=1HLr zSKQ{px;sY@4#imrsN-)4cOl)KH{t7F4>!iD`0Gv|x?jBt2mg8u^=lVY-CK{wx;vAQ z-d}ZMpw5f*tDf;yH0lmVRQo&EW$NiR8muX#(?hfdhrj#3UU!FAjPI~8l;(eYd(Ikr zrth$n=B2;Pp5Z$z^i(%QUw>tb`wp(V<bQA-C;!7ji^jr%ZNmHfh4(7bx9E$n!=ytn zZQgqRC)ZvoWB%cW@iSqikk=*M?-%YDJ|TQo_#5GiLS6@q_q8w_jYXMXBgme@%Y|tq zPCI{*lWL$37swRK;4}$WDDr%fmx-(vT1L9JiM&?)`O}{9w~KtSHJFY_IR4US_&*9i z5}qfKe!a-N*eI(pKZI8+LPO?sAI4Yvr$9~-nQKlnyhoTR?rQ%Ogr6zyYTp#di$z`` z;qDM_6QWtaQ(q`j{MGMrv}Z4olZ3n{v7J+eLxfigM+?UZX9{Nv=L;7LZxnJ2is_aM zdH<)(?^fj9!o9+M!bgRwUm@IKk$){bDpY!3@PA9><HB0ud10OKD<Qv(F@KJ0k!^(S zh24ZoKMejHsbaVR!a>4x;b`GF;bh@8!hGQz;UeJ@q0%Qq`m01<BUE~2a98~n+$Qcn z7xL2|%XLtANccP9QQ@1ycZ7cxejq$6{8-3Og-rKi`vkQSe}0%`IDShc`v^JwMLA74 zTsTUYEu18rF3c0o7pi`bbZ!v&CLu@unEvg;4Z_XBD&cP7gTjY}2Ze`(zY+dUSR>>B z9P{DVQSxH@1aXj#{``VO23j^bEK9k$u(hzgFh<x_*i+bB*iU$sQ12I%A@XP;$G@4+ z458jHXr9PRgf|GwgsX*m|Dd}>-YncM+%3FM_#eUp!Y74#KcQcX{DQDX$gdtOC%-6@ zYTOU}yU3pizY_ArhvBfEmtwe3?=Qq%<LMtG>?Gvw@pR`7^5o^hfkJ-6rhBGvqHwBk zhEVS}v_Rw{;R<1yaE<V1!cD?$!n=j{3ik>1{zLqT&hq?5cvN^y*m&O|epzSubHdMs z^+Nj51Pa52&4lfRF~Y9Gp29xD%Y}o59w9&FGySQ;8Nz%aKV8v(vG4}rO+tS9p}+db z2Cfr%qp(W2Tlk>xVd3M#r-alcV0uS|uM6K2z9)QNsP`xOyU6NO2<%^pY~clr=>-X? zk3gB<Ey-A67h$3>MR<kqDj`2gF<!QCs&JZcw(vS(k#MQ7M7T;=DcmC5CEO!yysyzg zaX%z{PWW44jqr8hyTU&S`CiNNpBC!<kNzR@S3<s;GhC1`RM=eDTG(C~Bcu)o<Mj~^ z6zctwhKa2AOUe>i@0WC~$TNh6!g<2$h0BF2g|`UT3M+&^bRVUsB;K>a-wO3UO0SCi zrtpOD&%zId9|@_%!uH^gS=FAxP+@bS-e0M`$T7mM!k)rD!pnte!l6QH$uOVE!d&4j z;dR0V!ezo0LY)5Z+}B3E_apt53<upI{XUwIoYJ?CPaPXy4EiSb>ywgrQGM*>%lju@ zsy=oHWQO4ud(5XR^0f7_cR(MzX+`L|rqIW33VrN0N*~)3J+B}@X=8hoHnwYH+fD5% zTCNXV*IemhA79zp(`H+n?OmabeadLHvE9zg)>-E)hqG^5Wb5@2n<6V(Yz*8Qx;=Df zU{y%_$o8|0TL<_5<;KCuo|Io2F=kBnA!g4?+Mwgt{G8Qv%;{{S<u8p`$X2>x^f1ot zyO|oP_uqV?t|#>b6U~l;qI>5$V^<9}Pdm)ZUZn=<ohw7P23CzIaC9unb-^d>)qb9} z&<eJ4+qC~WGpEi>bR^%H8QOl;;7?yeI?$kv++Seq8ytMbFhkE6fxkK9bVSyKmuE${ zrM98bxy~{5<^x?1B-J^tG6z7qBDiK$d7{S+_dCj+NkwJ1Mo~xnL|y8xz^&WrjIw|& z(2gz3H$r#Z-savmt*Qdo8)t@Dp&OfQFyf7K={LJ}Oe^nLo?Cu*`OflIJ6zkJgPvf^ zvUkh-*R`uSSZ5qQSQoheV4Y*vLFjrPtqa>9Rqy!fL|y;=&Fh1|Xj^Z*I3qfuKB%H~ zy}9S<y1+84%CWv#eSp!r-pX*CGXq>(1GcrOcLh7PPxH8vT*<C}Y`I-!Mz70jo~Uz_ zxpwp~@3iI->ODhi^%0~np**CbU46g<&}A+=QP*|<6L1UKG_w3?U0hkK`p_*wJ5SU_ zRFs!LQs+GUZ23#&C+ZUSx2ktO(6T;wbyU4^Z$y1y+KIZj!;UIDzjpQ3los`2!9~%I z4Kr(ADlaLwHoR8uDi7XJU*4)bWJBnhC(ugS<<3n(8(OS6Q0LfhR<^1)9*C%S;cP@y ztSx^6Ihi}dHe9i0Q+fD?cJ;w7l;fV3^<m|2Aa2Ova+E&=<#!%#UGE(5NL|?Bm)4A2 z^XwX9;}dmFDuQ>SW=JWlqE&tH10g%x)CZKa4v7`8J#YeA;&3l-SMR(Ry65{_)`zkd zQ&0<>2jj4FOH{q}K#O{#(6K!tDIz(d-_B^q#_h)fwqCZQNmWRj#5R#zn^d&kY4jRc z(_}k(#faoO$Bn(~9M_oxyLv7|yFkx2pk;mF)`CZ`VXvY8b?}FNElP`43)t$edEHay z35PcA=<;#plgs-cg_JtS(~k9#9&24<o#Vm#qjpC*c3L~y)d#(FS$)XXo1+e%cc2vl z4<U`Me?ImXPefI~&SqQ3oIaIkeA3j>Vheg^&oQS@_YOF-(rJCtG^BU?!O@-TocjVQ zBQ~^HA6V10DrMPKCj+a|=MOJE3GLy-?W)4g-0Ffpd0BJR#yA(hvdz{u+g;dGs^_k? zuJ;UHyL}?9%HWEi^&uMrw=~6d6}HUL9-6*Z6|UU%>9}q?nVmeRLrit$c4Ez&`L5iK z)HueK3$6AyRx*cIS3=vm(N!C%uG*X6<IKgiSmEf%tM+%0LSG#N9c25uozm#KjXcb2 zHU!r!=3e|4uGz>kYimB{as*;7M`Xn#LwN^Tv=Z0Qj?nVX<zsM_-d=uh`GLB?!+$Qv z751669oJrNPIRk!hY_&dwXL(q$!jjz)w@|els9^gsyPasd-wiF>x_!0vFFyr@<;0& zhd1J{bAL_w@$xqHu4Ym7LCtWzwPGyu%9i!U*kov=N9@8ixeM|3KZ2_iu`1pw-^_T2 z9k@C}nn}#d-Y9o$jan1IE1&{BA325LDsHk1_kbnS*1Ww2>1AG4AMA{*4^2n!?d`a- zS$)XZ8r+{Eb_Hw;-u_x-$hM%W=tqmA(J!a6-?}}m>jRph9?ruDQV;lgD|%bArZufR zQ6BWqm(V}&m{2~gJhDEl*#lAcL^=9j2LHeFzIV7~)s8yD9kAu47R|S!?>W&IM;K=Y z2V_JCY;3uy`TEjN-+QQSRnzUiaP24<P!q5vV4Hi0D|uy*^SsMSJGZhdpo;yea`26> zH9s}j7*gkoGGhv&UCH+k&d)VYn}!qm-fe379~cLpahSux5RRCT_nMy?WLPk8zfRn* zb0k4SJB)36|KPL70=Cr}6WYvb6R_z-T|rsoIm;Tt{I@#VcWPgWJntWD_u-+)#q5En zkLtq_st;$Gc={+g1H(a!YK-m+Y65nyF}fCP7#u#-og1(*Z0M0Qmf035nwJNZ$3kB` zme)C+U#kvuJAmsL`r>e*zIb^XPYhaRb-6P!r|b^(#E24o@xbakPU4<j9$S`Ko?EuA z{O+<>%l}dqux);Hq9+h(v6WnyoW7-I@`2Xn(Pd-HCzow1uPQrM?n-{U41K8o9W@Qz z-!5ytCVHiH-eqR38Bw-r&7Ea$u8B|@?=I_=vJa0Hec;*_u)Y4|0Z+g-*VacooB!6) z6Id0x_2&26c(!~%`&iG!lg2N5@i(S=MR1xGpveW?KY%4sIr{!UXFVDLtt<|XXr1+* z@V92uMX#4l-6iWJUHVRgC)Uf(Vwk(>GG-B7oB_d1M-3Kc-mF}L1`#g28WBO%Lh|XX zCorm0ZS@A7bu}jGa=yoqPN!Q%a5hJRw$8e}@O40ExI=$EAMom|e;P*hXX>nb7r_qZ zh^FOT1Us1DA+5TwKQmS6taF6i`a(qLtk0wTWf)s0#B7DIW}1n=knjS?!|a6Cq|*8z zW#jl_7zaJg!6wt3N%;<j8=_>++YIBNl{wU0274T=;mp+ZD1VNtg|kfN)k^M$q{63C z9;RgO`>yoUhbwU`GH<dF3hEh3e2L;RD1?tNe}^=8;zzMkCbz}DXl$kFZj1a08s3Uo zk2K!^uEe`+cmj()O3B5PIc_WRFCZgpq&`|@cE*;WZ*I%;@#-GV)se995vz6*a#Oap znW<#Qx@;=Qk5xi6nlGICc_ROw^4mVS2gZJz{+5yL@Mc!TL#P~;Bafp<%^soG3o5B* z`HW`U)i)l)fl%Qp#BWuI9Z1X$Wy?m3NJOzibXS|_J>?By%j`qk!n!5<&;JJX`G78A z7gV2z+C5bmwfek>T76zbtv)ZJR-dPcFGdleSUIY>Y2ss#-arpN7`h8J#|P521ubZc z&KKS$4_>&iZEbsA70|Y?V5@l#eqZ{wwmm+~!F4=g$MRF$WK?xg*xL3_K~xHN+@<@E zFiJQHOC)-@5@=Zn1BJhFD9|JrSU*D-{2E3D;b$f01b3#dk2F}I14v{m>jdIj7^t46 zeTqz&Anm?{Cop~WlNHX)fax*_VtJ3Yut6b`pm#$heK%Y?s{msOW(S4ceN@t?ofSo) zSC`U4t!60660Eawr-fyMJHcTV<xY!T`SApYO_cjvuynUuVs+_aSXO9(mNF$Qc2v`{ z+7_JEv~ZtgC<O;r)3OBy+Wf0g%D`$0yOl2-c&*D>T+L4(>fn>Y1B0_nOi<QLf<dLa zIA`|3U#r7lpchNXIWI4bKwoOftKFDDM8a6c^(EDQeWARR&B4=;x|Wyz8kQGSUzk9= ztlSZU=PwsV1)_zmg9g-X1M6VaBO3-*j-0S<VD&Xov40a4+fB3yfuPMDSWOFC1Tp+N zUU?}y2s<C#bstnku<MN;iUQ&SX1P|E(h?0L?d+(g5a)AnR#WKja|o=aFd9b1=Rn`b zFz9)NOVziiq?%`lJyr$MITxTY7pewD#b~L5LCzI8!;WeSRD~A@XElXad=7!t6i&h* z(TdW}I0wn9OO7SR!9dZxq&ft}XbS_uQB8|f&(6fJdUUX>$0wEK8dyz1s#j6U>Yatb z6-zi(^%j>@>l*Pp63Y!`Y;;py1N1d^T8FNH$WE3YuZS%FS0x@4cmj;WSX`pdf}M;m zqfUlrfThyO=n{$?$iY-Lyu&Cexj@18HYgY*yI?ga2vVTzr2R9W9LTawfkAoTuhZ10 z51Wgs(<l{3JNi{zH8U&Dw+^JQTA6m9IFVKQJYkskQKHWm?CQL+_Q)6U{MtdK%68~( zw}VQRcAhDnD%*i)h%Kti%+AhqsKc$(!==rnQep6%&^^^(%bTCS)u%wjB6q`>Mh>x0 zS*H-MDr+{oIWNG*39wP<rW*${SJ*CgtjvqW;+IR5ieTqb*N^UH-iuTxDGv6f!jmWt zfz=eGtF2a-N?$ZLdNILXhns9kwJrnl)9samc#C0hJrh!dy`_FdP(8?7E99uUkh)q~ zH*pB8roc{xD(qE7V2@IQFW)SkZ(mpj!ysRR_f7;oSn7KSj^hJHZu$qkH~qN?%zHO( zb`i+SzFlL6i5utLen4mQ{%qfr;hu{`Dq!%C;C=W#7<8XWoVh|fpIIyd;DJ#yS7^yp z0^-xcJGPp+ay=}Mz#uVw2Sz!3_unji|Ai~cs181NhQgrD2|j)CB+v1C3LjEWVZL|Z zd=Y%wpfL`q$Bz9J9>*q>SB*p7#^A}j69yfeu)AtDk%5<3WHXdE%~>$8596i5?^bvM z62&mc<*O3j^O~S7AgonSTIPj7zO%+>sybdpQg%V7u#k4yrf6T^%YnD{N-skBBXc=; zPW5pJsT_vkcg+`J^pT44@CQR0%upEr!$1D*NcP`xe!~ygDdpD=(+W$)G=l_85`ac} z2hJ7fjrj)ta~$G)a~xJ#ZkOB2bz5=Rf(g?b0$r;Dt(^C*xQfI9i9Hje6QfsCO@8bu zYd{949E4_Eg-H@fk8=qyQK64D0}~bEt(op#R!qD#hqDm)f2Qq<ISTOuVfrRn<K0#a zXGU1tp%#DAh_|xiEsvYYHlKt!7?`oZ`2(#~8rMU6zJ0tkE1rJ~<J((p-ApkgJ|-zP zp-UHJgX+N`BRiG98L0`h#-&>G-Bxo%$JBy2%#yg)ZDB^mRCgRBqu8-slQ3I@F_Ypr z{bCWqv~sgOnxY0_@hPzOfew8FW^#1zYt5lMn=q+;(g^F?)XA8RfvjobIl)8fkZQ%P zvYN#YiAqYaZb(J=5ooI<3Me$vTEf`^oRKlm6B`%9c_KHkDd>TD3hh#?jcAiIhPzj{ z-qt3l@t-!_-Ox_<B#e)ZkFE11Rn~g5!a9pd0P9V+;wIDerhUqa1Fh2~FIbyQzmpb` zVZDXGd(C`i-I@QAtOCrBP;(ld!5o6UW;}wVS}%h+@mD4Fz=@7c!9NvD&5_W-Fav`j zQj)CZoWc^zF2S@ID5<kG!`+^9B4AHJ`^BUEy}nk1B&_IswC7dcNfa5FHKFHI#KyKy z>Jb|Y83u`Qjs+&-BuAxL_hLrHyN27NCQ@K(MVxXuW2ACMg_;=gmHDQ%y2R1V<je@G z?xsoB?GD!q)@L`lVR1k2n<+6bf1sLj5s&E?@rarVre68aOvCtjX?z!JJ?C7wIiCiF z#Z|Q4oWyg3F#*$TsN^qua~Ca{muUEJBlKULjWKN+_MuL?(9*MhTMMZv8~>XpWnhnh zA2Jcce*y>QZK!>Oe$Xrobw@?ah7&oaO&gb<)p+NjA2=PO_y1qhF}}6lYMkH0na}16 z&c~?0OUaKlALFOJg}g0}R#itA{N-zveud&&r~jeDam<8v{Yu4fVSevp`YnyW4|E(@ z4eB){>BRl~M#7G<P46)mouLBno~_}6*Gl}bv&)qO`lq)j6z`mV4%#}uQMl4D=pOlH zpu^2UI6r6OtIjAR(qz7@j?TC7e2kF@;D*0Gbb2?y!9Ts!<V%~6F&F8j>BK;t-r7d# zjYN8EQ<e`^@|JHm>^cst#y$kNQ=bGszbe@M%eMpRv7StiBbmCs55dm#5@C1@z}k7# ziPi`GXr2`6a7FEn3$CSl1mSSn7Xs>dAK@-(K1TGV&Bxf|*UlIU_ST~r?0((Nn1uBH zY8OHM)on)lRnPdU<0a0=i2G?i21effT9obGSms&q9sct%c%T2S`551|N02=q12=IT zybtk5Gp`Bi?K4M`-;KyNB(CdDBm(sm_axz!!XZLlV~jUmI72vFSS;lA&TzL1e<rLH z?iSuJ+%NpG=VJ^)2c~|Q)^{IH85cT#*^|>rlyk1gO1~ZQ3XxZe|5}mPiM&JPyG7=h z0Mk1l@*$C55-R<5_@5HFPN?+M;U0#IjODnDgd8O@SBs*|IbZbeC-T)oeov%-j`+_O zd9KK-MP4IvrN~=F=JFGaf4|62kZ7-`g})YerB{ypPf$jGIVtYvNyPt5WD7SH`nyPk zZ$ZL8Qe>QFl|JvM%t!T0FhXR0*rU4|*8qEotokG5{vxLehX}70juws+&J?QtiTHf} zG5y8D@0;(jQNnE%?h@`1J}7)xcu;sq_>%DV!Z(HQ2>&eno3K`RUigLZKZQ>A8<gKA z<QN@gep(^<J(}z)RA1sD_ZGRqbpZEFanBa!3TFxD3DtFh@XJJ2*9YWVMAq{@_!`Rm zD}`!FJILxf0Xbkxe|5cpYCSvfNpU|Sd|vo_;cLR<!uN#gdP4kKkvTrf^uH9U>kBeB z^r3r8VWg1X=jq-{$nWKp`wOoU4iT#B4gOO_o*~Q^E)W(8mkUdTw+YoeD5RsVM{tX{ z-z~gXxKFrW_@wX|;q$^5g~x<%2>G#{^?6VDf$)s*W1+f!5$+q2)pZOxjMps~A#5Ym z^FZQ6?keOvEW`H^rV7)9RCu8Oc;U6e8Nvm^B4L?uwQ!wqqp(W2TX?@v&+~X(<fnwx zuweeb7jnfX%KSJ>o)XpyzZ8Bg48@I$;hGBh-I{WgFjm+_m?%sU>UkW4L>?_1C!8jn zDddnl(=Qg@BwQ&h7p@gjWrX4NybbPcK>1<e)52d0xmN-Ge=mGXcwG3t@I&Fp!q0?^ zOTz(gvbnIeu%ocEke}KZZh&y8aF{S#I7v85SRmw}I^!=B-Y&dTxJkH8xJS5G_?YmZ z@Yli@gs%(V5;mTH@sYTn6MiZDTF8aGSU!&TlWm33!tTO&A;;huZm=*zI9f=>4f;<O z<_c#CspLcdWx_JyYT+HiyM&vB+k|%u?-f!>i0M5k{FU%G!k2|L!aoT0ybCH7G5+6$ zp9#MdT0yqIo@dcg<VayBVK-r4;Q-+f;nl*?!g0c>!f8S(HL*NYnILZv-Xy$Lc)PIi z{E5BdzEAk1@EPIr!WV_F3I8BGDLgGaFZ_p4ecM90_>Ru<anV(hi=mR#vm!eRy9s*< z`w9mL2MN=KqlM#ydY;5JA{Pqh35$h#p2SL#ZxP-pTrb=%tQOuUd_Z_W_zU47;b9^5 z##q1CgzpIdC_E`VE&N3Ix$tWt-!Yj^sIaN9wXm&_dT9)oAnYp~ARHu27mgB+6;2jj zBb+5H5H1of5tay73D*dJCZuW`%d<=PbK!$RDz?%8Ss^Z?@0_oK=1}4Fdv)XYX}9>t z2@{1Jr(!-|3tN&6=et~<;`SZiJKrU#&*k=h1>e8_Kw?{DhT$xhflHt2`7Rav@9<a^ z)>dnWv21kt>sU=c59?k(T7T}7MbTBRrt9xHbE`A>%t}Yd#_)AbHU+K^sN_tJ?kmH# zhi%*H>f;I79<;HE*>tCC9rhh?Zf)b-6kHj)&fNH-r_8{*|Cxc?J<-WZmp6wiN>AN% z;PL}p$=@6hidD1Wa$Rsup1q><)cXotDc8!X?AG?c9c`DnFvFwFa6q3rCNgl{%|k=Z ztTIE+++u~CIpSbB(pLSccK-5^EsuHjpPfDD91OU;gQqoS7-V~DYK<wc*BS#h)*2aa z!fb{daQ2?%>1S8Z2{^lFPWstfmRHo8A+N)1tTo%d3A4G@>~5TOFHbvb&N0r$%t<@z zSYA`>=w4at81P1|W6GQ0=2}OF<Lu4L2cNxnj^pe-a|WMXjdU!eV<8<2=~&3inu1l; zQzH6A^o{6u=)4(boGn{E=&UivdA1BW8_OR)=Bx_c5rUifnl|g(Y;O~cnF!NrKK;mS zJ3o5=M`ri)Fpqp>4*29Fb4nkN<7~`wXha7NK702Z3*|~bd-rmq*VQ#u2Oj&#3^|8z z2R<@0K8F2Kq+kxTklRq?hFs?$*X7AIcVphgTF+&kXwMf2aS*A01@k##J&qihKGSx> zq{CiWYYwQXHK(jY+q??<hFUXYK>Ob99Rsn_xzlytX<#P+GXSlScGg0PZk{vrthM~* zW9GJ??T)RhYK`SbYRx&TY8`Wq)LP3Ul5?U%&Y)cDgDL}Rtest#{T({i?1@dcG}&pK z321%H({xjS+6CZDS=iPP>^hOU%i8ucSAuDs338d6JJg2F39LSfc?IS<D=^BlzRoy| z{#6!s{+q-rJPy6~{~eP&57<2{LiI3c)H~aS)zs8E%hcW$chn#SSK@P!xxdB7$Htsq zYkd>BeJJN>v|Raitr1dLYqULg)`K&@a?ac65on3!=g#Ki+}*Z(D|*7~FoVx_TwV~3 z^PRC3=h^f?OW%Rr2MnyTZ>67&TW)mAta<CehFW95>$T1)L(X<uj`maSn07W6c1Omm zwT_UX=x6KEUtX)V=5W4(dAaB8%H_RlUPB4iqXn5G;&n&+s~lfLy!Ew?DMQh35pMwE zO-aWY*ih?4+Rg!X+5t#AK&8E*Hn8ohwShSQotM8_8`O3~ZP0Revb(qA@~d%%Upi)P zacslfFRSmP3!~A~x}&FcH$8*Tu3FBzynUem0raN~^rtE2<*Zfnno3Wk=MefD`kTsm zJ!<t@tvSGI@3<WO!7&8A?xSPYwt%fImJN$O{gJsm_{`100Trd6c6m6w%G&Wb*18{1 zgFOe_-Ldn4Gx0k7<vRC8(b!MGjTu1D`9Gb@HSW=pj);q{bKmNM>)dA-=1i|F+@aRH z$2#}Dxzhd1xu=fxHUFc{fuZ5sTVPgC`<k)H3sdUs74AbhV__R+EMTSorfP-z&@hzG zX{g>3iqaXA)Ez1Kyes(QV-YfMVOs6O%R72Tc&2|at@e@SnGNnfAv@ZjZ~uwE@z}w! z_wWJyH8}{#1tc0DP~jiJ-_d3ue@<KKnbLhQLP4oNn{Wgiq{oX4)OV_K2~r~^&Vaws zL;YIMET)Hd3t}qLV8Xy8z&Bw)4I`MY`;?!vHQ{u67|-QA3n^d${$S_ed*Kx5d=!7N zqJ4OHFkCr1plNtNhK)6XIevhhgViu)tRr|NoUwB-FK5mUzyr>(nv00p0UZ6o&cVL{ zLd-Am*W|htP}O5V9OfU;PMjQYCoLR{3JZkAyo(9Wq_~fvDkyHIxC7D6b%n5YhK-Zg z4RZs1ucpY-n3&CC7|&6>fq^$tq$;196|jjCSI<|I0+dt>DV&dEb2IDBAIfTqz!pmU z*{h}mD2YE(o3aJWtt^6LT_zRwx6wWYzt_T>(o2b)t{*-F?zY$t1r2}D>pO=cM_kM* z#(e~$J#nC##XD__m^YxrYw$_}-((T_!vJD$5&6Cz&cPRR7t8xNMcj`><XdleE3Y`1 zB0`DpDu`<O!`+N}L`ld-NrA!iLoQ0nfP{X*!N~~riT@26|DhMr_?I>Cp`of4+W%)3 z%#XMPO@4M^X!5^@#_$~+Wrdy`;a1kML|0|aChS;^H2HbmLtTyF@F`Z-E=EIhL>Sfr z^rQjE3s(4fkDjnW+m5&vMr-78Srn+j?J1>&sX)1L4-{s@swq^!AQEv1hLOlw$O20L z1aq^D<twUbnQyx@_o1o;QwXWTo>FgFV8PcdB#}kXzo9Nazwxn<&?hDAQcNLAR1TAg z)i9QE160Ogp;jCOS2ZoWY$2?gmc1}Y=Pck<Wt!|O-eg@ozZnei$Z)92dpyCyL4A_* z2#|t(@U=J%1}#JI6rc$YmeRsoE9ylo)9!3ZHk%4WOAQRxKqp>T)-2*3+tt^Q6R$^> zc8$mTYK%4SeKp3K_pI>_7`5g-Eq0B^v&J0sf$Am-q7IH7QYjhjLD*WdH-uHwl54xW z5UV8&>Ogddv5cY>)qahpQ+pp4j(S^AL#?Kzr!BauX_;XQVb!#h!=Rh<NwY;+vk5+> z)T-?)Os>j5oB2n;plylHFi=GGE$ptV5NK4v%dQT!ZXHI&7;>&{AF0c$!!<56HN171 zVRz~x!|q~<)kD#!81xwIufQPvp}Lr`XG9}+HV*9R-%h(?gfH!iG^VYF^%0how9}Zj zdQuw|L;TVn&a_!^=Bd-pg@qjot$5hmS8~`v4#GekmZ$qu7<ATb>iW`7g#<Jt;et`R zzO-;m5Upxf)51QYbbS$C9na$LaTp{@yr--=Ec8b()UoAB*aU;F#q-4>RRq>e4p-lG zH36~J!HWj-Lm7}5sjTA(UgAh~63I&im&u`0TI}mSTPLR65k?)nOs|ANKP4D9-1x-I z`n=mh<MVFqJ~yiA#H+WZ?aWKK(sX^(*7k*`I{M--KTSb@nJ7S2kk4bKwAi<^Oo9n1 zcNGbL9)Woe26qnPePzuic;?Zo{V$1P`;y=%9QcG))3O={nX*}_>yGnEu&d#ET2ZYl z?RRH-9ErcD@)=9mS&sK*i5r9Nb!syVcDsC)9yY_Eg?ZuR5WAIiEI}o9^bG$j$0B)k zJd3~Y!Jrcnc9s)-Szhm-<@I(g3sid7hgH+E3I=DKS>C6t<A^t5)K%@PB^zHIzAUre zgM*#rL|>Lm{j*$ZXE{fuw=}Gp7Q2=ci3ecNK?r{CRW~wUmRWX&zH_PX6?o$}_9Hy5 zztOx#BhVLV_eh_lT_>aCTr91x;L*~KKZ+{q?9+%p>QdvQ{D%58W>k#i^8XTl<fX=U zB7T&e=a_N6_+u^>UpKVFSmJkoT%zue?6z=sk!)VnV=&u?JqY%6-3GoiuJ=phdX)zI zp~JY|rTZh|4QCqc60q|ZhB|y{EcHucsY-(#3)`W%NGV4K(@>xEjfxREjVM@9WgJfR zmw$L6`yc+Y`b4I7XK|SIO~RqxY9dvh0rP^2m&VKiISUsrn7w%VJa>NK+`J-p*Jb_t zcFUPPe~G(z!GgI(ZY<C3&YL$QZ`Q25Sve{3{oKikNy&>+a%L3H&q0!N3uh$Eo;foC z!FtVKFh8$X-t-xT2?!V8I|1I_{|)^M=g*wGWLBQx^&Tz`-&T;dcl1RCii&4J6Ewbn z?ZGv}=S?pzfP{NE9ulgJtaYZD+6P+6T{_@TLZ=uj97@VifNtaNZq19gTDW7|$91%F zS6Q)7#f`*<0nnYtZ$jBH0E*sLc&b(8wnn+FKJE#L)H8Rr!XKy|_kc-)=JW-uNz_Wd z4NJ!##tuNK3}Fq5w|YZIKL4t#C_&{o%sm2@MbORmI?%ExJ~lQcCI*G2yYef>4gv`Y zy<)7T?%1S+-gYSJ)ql-(<*{5nUEYOSxLb+VpOuxhu<n3z^&3z?e*!D^FI|;91=|4l zSMVY0WrY1TJgHm0%32Bw6~mV%S<~XJLrDHZGavfn$~zy+^cN;s+f@wc>r=5@>5khT zNfuW6$3p%W2o#Oo8B%@v;*C|usYnjPCH|G(xL$@|@g3hP{7PrM<G_I<x(%{ULOpz= zBSWpiPy059Tionb_|YOC+!PPzcyW&J)XqACfcH33rwkCK@;j}!j0{vWBc+RV2Gwd7 zk0}Vfc#a)hhkyFanNSJNDxO}PXXth0l@d6*sHbplao*y>`LjJs=FcoHTrj_g-F;eq z;o@nt@@5vIBj!!ByQ#f$|H2^)^78YGe5%sly>|b%(kh%UI^o=_+Fn_{f!6hpt0w-f z?3wF-WkLT2W%X&-H*i)BrL?;Jb;OGbm**AVurTjOU3fo5olljQDvWmnfmsWd%$S>J z6cx^%pEt{`&e6iG#WM|bg+%^=w*JhS$rxrCGGu_eE7kI|)g3W^!D9EIaiiSna#wU` zE?zJ*uc&Cj;-YSDb>~y}$fV@Ne(tV|^XBGFFUsrYo{A_5`bOF-Wm>;pGZs&uKeNES zIPdzxB6KQu(&Z_=6Z>3a3>ttt+H~GW4KtB9w;>A_W2O6Eqf(5(@Sst_j*!6S&X!ns z;xa4JY=c4Oc2<-b?dWL6;Vv152;bl^4abTmVc|v`WPR{KaX~%rT!i=$^t{n;;!)rD zS$Q*-%$`;_KYxKC_USWbpql=^*g3&poQ}4bomb@V^lg{9h4b;quv0H8UTnnATrh85 z-uz-C9&H;xy|{RB;fy844O7X-lPym-z$LI9!tjZS5hr!<o!|ekAI63e14o8aj~}pc zIQsGE0)s)O?+wR6jmG)r_&w<L<DYK^I&E0hCR$vNRUg{#YB+EJOCQA@3?moeTEl_K zGCh`SB8-j$t5F2UleEa8{WxZ)?eyX|%RBut(0*)dKWF3h7~_IE-u4ecreq%<G&c;l z7C@a|G3@^7wZwoahniRqtW)8w#~rZiIItQ)E$nc#^U!{`!S0`4oL_ppc67V!^os+l zaT(IPT%T+|KaNcMr<a2C*sd&J6BwP|{jjqPyr6kxB0$?l=Z*f4!T5)p6M11B?`IGW z#aRfb<6VTiLJOd-?=e3+y(2L$-=7vabiX<Z*}op#J3zNHs_v~vGuZu_!N^2<f0VQc zoiFKEJ>y(^djR1wxVMC1zD%Ea`lpwMe%c#u`q1fVS<~PB$5?Y8&F6PG4c-UJycKZb z`!Rm+`pJHQQGUM*6(0YJHThW{wwHbIjQEaA(E*P}aJCtr0T<B$@0}b!(}*iJ;$~g& zE!@5*a&Z`5C;X1jzCgATqAMu<+)gAqKksSu=lLYr@5prFNFjf#)BRduo^XzEsjx(N zi*SQ*oA7SoUg5(+UQ<k;LqX&jAwSMg4nf0^mkFcE0O-dEJCmU(zi=Rl@cjNl_mLt` z5SdFe(LYaQ{-mc|EHb~*P`+8@a*@}Iyi53y@KN!9Mr5V`jeOr0`H$lNsmNc5Y@xp@ zy=fBpH5d6Zkz+*eEOMgAN<S6p^T#^lUn5ld-;ftc_#4HY*9p_VUF5q&R{G!wuht)j z|6cKbT&VQG;s2`0I323pd2e9*t9}Nu-&5u_NU9&jAZ}4gP7<pA1@}~uhY0yBWW3Qr z4%ASdDV!~wFI+6VL3opJwXj^suX9XiyKuMgKH)>cM}$uc`N50vUKZ8}{~&x<_*dZv z!n4AUh4sR3gn>NoNLTe|u$jnQqKxjUAA{XRP7?MJs{Rar^?eo`C+@0WL%v4j=|VM# z0r$BgFA=K#4R?;5G2dH-cL_HLw+T6F$Z+=x?-!~8EVy%Eg#P@%NpcA=@)e;Pyn%dD z<kQ0Q!hZ<A7UBn=iXSWt7q%Aixy<xqg<XX4!ek-mXffPC;ZWf);TU0-aEkC+;cVe` zLJk-+y=B6c!drxEg=zo|;rLCL;qMVXAbd#pgiz1BfDVxgul2i+imdg!`SphB{ZYuT z#FW*14Dd^lzZM4J!A<{AVN0Q!mjU+<BF7553*&`)J^w329xUX-HcWSvQ0sT|Gd11w zg^PvP3rmEngtrUt6!OD1<KHLb;&GH85k4h+R`|S-Yg{ngtHL*he-!>jcv^T?$WP~t z=fDk(3=uXFwifDj`#XxPKAa$YyvWJI0m3VV9$|)%-{YCjwZc4Mp>UzFSa_qbRCtH* zF5xEOHsL+Op9}X39~0{J`hO>Kjqr8hyTU&SKM<Y~Hr50GPjNTdhA{l@LvkS|k{SkN z2cgyn=aNHoPZH|&`v;0VNSGny@<a?jUN~7ul?A%b7cLR%_50U~%#Dm0?h)bR!e@lP z622&WMfisBZQ*I*S>Zo~UkLf5l=(P?;lgIZ#(Lp;J^mizpDgSv94H(lY^*0vEez&2 zU05KTD{Q<jf0?*zJ@KE3yiTa~!>dHr`r-GBykGd3@EM`j7uWjXm%1+hCldd2p%EnQ zCkz)h6KZ|&D3N1@U4)6k6yf*jjZc<v*9h~4bA(00rNS~H*Vtpb-yyt9xJkH8c#rVs zLMp;Ao?d^yu^#!W;{T@bkHWtQwLbY-k*P_;^a6#A*V%8ZN8Vk+#S5u=!}uPd))${3 z^0mSl!a2hE!d1dsg<3y+lgL%V-9oJ&{*cH=gwG3K5xy$?KE3ddCERC1>IbntA;RWD ztq&e8az|lzVZ5-hUN|+3nBHjN1mP6n3}L=-zHqT{xlrqaqnoRFk@|fZqDmjPQN=HW z{Qd2~k2n23osiroslQJR`}_ADNJ;5^se0I{9g!J^oKpTDdv5|?Rdu#~?|t^kIVZ^> zgwY^LJP>9v1_FXgH3V`%h7u-4KtaZ+2oXV1fdoVWrzgw=0>Nrq6;y~rRUD#4MGI|k zYPHf*OH~qWp`r%kQ@`t4``RamRNwl&PoMYqJ>T!$u<~E`8t%RJT5GSp_C9McXdH*V zeRr#Mttz@~y)VD|_|g?A_+EcULQ<K(Q>)Fbwx(_fu5G^F?q&D?)tTw*!ezd?QU?Qy zj(<>^x+Q6IXsz94)Nv~bCi$$h0vl_h??rn>u@-qkhZ?(REJj$*$?Ry>>VCDsUj5vj zAMGiu_m6^md;5Zy8vLtMYT8Bp8PTHA_5S2ZMKg=48v?6;*^sb0lED$O#}9?J1uB|v zA65Ii`T4b%*xjeLDxaGEaJyCcfwBvup|#fW^`%p4%feVOzdC8Nb^L-A2}Ma;leX9! zHWyt{bWzb;MfR4(Mb^6I(E3bKL(x8HwzefzVBGF`FEv=JALrO`i|4}_+N9u#)UcI3 zwdVMq59+O{STViugL;47`xu4!0mg~Gk1?Vj)Q59kMQE;>z7IO7WA6z&`Iim8)k)A0 zhK9VFe2mRZ-t>NbYVim4sZ)KM{2QUK82X^oUk06}&<Wik=ng}7IQ6xLK-T_-#4MyQ z%fH>X?SuN(@Jla-{w$m?>;3xHh40s=A#7SI!+cPmo=3mECw$5K8iH9#Cn7j^B)soX za%EYx9P8;LKempl4Y%B!wl~dxaiF~K$Eg+J&4C81Aaz5l3a6*__a4jAw{DGEXJ1m2 zgq7#>umiz}vQf3SB?nIU!)Haqb;(H>@4Wk&6IOHU_{!UY<$YO37bc$gwofE_dxMkZ zty_L+y?+XPhTHv_vgS46Xy1%?vFiFbl>H?|^NL<=NX~i*Y0S>(km2k9w?l6>&#xWi zn-y)od4IhX!MNS<+XbbA-#C<7k&bcKq1{%4GdOj9(zfvSor5{b{#T_~A%1tb;^(2H zP1f=KNN3uPU^#NLIHf4K1vy$cqKIX*rs!~e`fire?@>xCQ93N`q{=`AODVW{X{IUd z1eO&_J8Yr6tn4dmzF=va(m}}}m;HGt84DUyQ8E$eLx~5CuK3bsNwdT+GNp|eQ&HZ9 zzK#A3UfqEvl)ou!XbeMR7#dT{UO`#E))2z#o&N18=R%er%MxXbaA^pamddh!RrLX7 zUFendYsg`iGs}D5A(nK~mOw?Yyp??<?N@2xZEY|MLigRIS?<gS|0}rfUcmbDy6>*F zFL?C_+;^$l1Dn0OuGiG&Tf*f|##3oSGXv{VH-<5yy5D1&8jT#>ijc>x5KQ9p9}oL- zXV>&?Z+!W1*Pk~e*uz2@Yf~{g{_5qewl~L45a}qrZaZ3+{k$R2dU~kU_IB%>x<U4x z)(vMSRW>hA-Q0HV9PA0;OAPm$mUia)GuE})bg+JES&OpvYq?JU_^5wtumbDpS9dL% zTEu)lTt8#?!$sE>g>qZ2yS(WBqLvj86#3RCqmJIu5Zt*E<zL;90QzQEqtsFIJAGS_ zm$P4PaAyA!`QpcXfd@?M)Vg;#*Xnt5O`FFviY6CrElMp4tn+O}-t8P+w6=&X1T@VK zZ1b%}t2KLHgR}Ex$ic1tE&EWrBE8dcIm_ae25Tqtdwk8f=oQhb=-#M*O*A?xda%BK zby|_X{4Lanw~+p~>RXppH`oQfa;Bz55&rJn-(b)7Z5~~;ANS}>4WXT>SC78hU*=nr zT2xN|f<peCU4|CY-ub(t(PY6I<95izFDp6#4F?d?UtR;3@Xps^e;sZqn|<YJy<cib z+?kfUEHh=zGl%@;yQ9xUFO5!#{v^6H+Hy<VZD&;A+i}lXHECOSMbSD>8iTrT58(Ct z$^+|P!u9idn?(cV{<XaGE!?+O_aE2Xy(g_Aujr~G-en2M6Xa<wQa1al%r;Tp+rDUE z&4o1wkNH>QzAZg?%(rt5&Nvl&8=yX(eR)w}?crm-EVLDqaIfrm1#JbwWF0={&w3qo z9r3aPTao*-846cme6up(U%(UuHgk6l<^)0(yn*xH7CjXG%^`%|xg+{e^h@kz^ZtTp z?GSr#?QjS6d0~r+=9O7f3u-U%wb}OJXzWc;-Fi#=?SpY|c8i8{?r%3b)4!ojS&Mb8 z*OZ*x|7b>KYDJ(SI5=s0>iS^a5Gznu>O&3BN-swZ&$_?Co;fcB_dt5(-uA86S;xOB zZB?Fxoj%fc+!JcCp~d!A+tQ;0(=W`h+V!!MwkNK4@a#<8+;UyQaVHq4TZY+0{^aCM zfi(x~`|J*t1<TJUN+}vqG@dP4by?AB)N!{ZYf;Iz?CqkZnWzKqQw!~wf3;7~96q(a z*uyr_ZGHTO$jv<O$we(*8-`YhPZd42f^ko+l(oa7`BAiDQ_)Hlqb0)=%z~Y5<A3*4 zt1Ozbr97HgnOt7euHEC!w!U*Y>frDnyDeCd*Z%6BA$yvYqt475S>(^PFF-4bzQb9j z?{FFV4wtL%aA8IS{RY-o^c^+_wwS)d4TEYg2?nROtZbdJtle|@f%PGj?$F0l(IORA zr*8=~gvX|?8&$hJ`IkrU$vn4RmuRpo9Chke+VfCOscVwf_KLPz-+WUfniUOh`Sz=u z_YRA0Kbo}V)JLOgS0+Dn)NB2Q9bL+AF{W?jx330mehhrZIGp|m6D|((`<ET+0T0Zw z_&FtCkRRV2^M!9zAwB#F&%(pmL*K$_#wB<$!_213O$+D}GE*lo;i5a-8Yf(s?~?xD zl?-Ty%-6k;-+cV^`+rNvke{Ef6FN~{kzD{J<iWwY&LmxPFl>Gf$Mym6wML+a%fm(w z9Dhgs3V-?4H&n?mg_ps)j3Rr!_GtR@(nI`EXpf;UfAdJ3L5`)bZ;h4oH+oK_#B(H# zrZ|ZrKT{^1#jukpz5p?~c#&maL5XXQBp2Uo*;i89j4pN3F~*!iaT(5^jL{;NJ@rM1 ze}b6AkMXY928mDNH~Bb`pCTK@WNx5v)#HfT3t^KNVH$*y_(GJ-SrA5AM+rj{T#1ul zldrMtX}rus6t0zEBh!-j?cDV%#V<BV{bOQIGQ^fK@g<5&m>Q$#eTvbT_%+3kV}UzE zYcj@eS@v`m-ZebQzk6ClwtaEfox~6~*8ydE`qT!@x{1wn;Pv%s6j>G!Ll-1psCf`f zrTM`TI}#YOx_G-2u!><$0|#?A9`3JYg+vz^44cJL=CGt7Fvs~9R?^lJ27_LSJ}?Ni zqJ$QzbVD_2bQNL71J!hcft45sgQ2S>MxnGkQ3A)<!3Y?<8h`pRCUg!?0}nzG%T7D} zn97(ASWJbm#uEJf1mkuIUWOSIOiQ{^IGUhB1o5usv~k0%JaHK$6=fx~#HGp2SY#Z6 zn}hM1T#U&w#*52J#cSL$fJu(PU>GdH7fOt^HgwgEC)|w0BG$A#2Ls)!N@#f-2KhvM zf>Ji_wEP_g?q36$XhPxsU<oZ7VQgyx!7s1IotFME7zj-K*mIu@%Pvo#<z&Fb*i}Lc zH-Zdcl>SXt$4c7U;=@W1@|wax$4UzPqJ+!J1MY+|r=o>l985ZCVg8`D5d5-$@I)8a zl~CzFXiC4oai2is!x)vcFk5l8$OLob;IFc*%w*XdIG{3r0vw0I92&EHdnJW$8XZEF z6!;61LWC<R^nt;t%1W52%FQy9n_Tn;xmE%E%vdK8{HX!qOG{|^0}Qm4a)L@Fg^wB? zLX{Ni8y&)x6uO`}Hu3pw{wNHRo`>vL!IYNJqJk+in?I!DLknW;F5zk#gJBSZxDv*( z7B8-3Av^$sOd=kJ!2oZ6B`sV(973p)mTFfBSJF}ogBWEfr=P(<DNDmGgiDD5FeYbc zVL`+dLLX%1R2UQ~u?WTt@TO&{D=eyH6@C*2fe025Cf<1E<X9L@?v)V)w|r7eabPq# zEi4<x0Ng4+Hm;CXl(2yKixsLE!5@9iSbAEnblnO5_-kgm&~l^e?iDJoZ$+#m9qZP{ zQiR}^;HM_~t>H>qzA-{^xRRE(Zs`#!)fFWy)!!NSvBYs0lQFb>=?aS~<BAv?IiPrx zV313MN_#~~TNE#sr$>u1)GJ|=T(68#@wg2{V>!#gE$e+KUO9v-DX_dve3tgJFc2zA z;_kK;C5?C6Sd^7HSkS!NkUE0-7Pi)GE(!GR#Itv-^l}n2-gsHO*G~`NwZx~p8s*Zx z77SPu*7+}A=idl>b(~B)YfO^GZ>H`+nN`D!GZgj-aq>Rc-!}4?b^fvI{9gU>!e`<l zSa;%eQl0@5u-rIBu+NW^y<0y%4qJeeCXAbQ?>3GPb0>101s3l{`8gObJ>Gp6AI2++ zcsK9***ch76PG8R-*fQ$2nG!o!H={)Yq6pE5eiGak*sCd1*3i`DwuohlTyr4xd4t+ zVQ{|_GmJGhkBz^@e7`SiEm!k_8X^jVdNverkCs4dm;l!QTwtYF(%uCI>1EZ?1EH}W z!AB@79(TGR+1R@+E~Z&gxCI6coLxza+w@@PpK1vEU=lFStas;1TG^mrlMRCNz>uoD z<C^))Sphgl1nnT<HYUY(B`XCR4!9F@VK7H%X(cVit~=`nf5Aq&cw=;~r0}fqyNtNV z-1m6IuyNoP>TW<>0EHtk=&%rfhcVYei`!b@TD)q7mXL+SqQlL`he0|FyF&mU2Amzn z`WA3+?p-Bp+jqgBfh2U(uQ&Z2<}@?at9+Q2=+!yo67tI&Ir!^le=pOVzX2IJLGZ3Z zCYF}a!pUiHC)h+AcdxDHS=c3EHo;?aNn@9!&}#`9CJ#yH4^7ZRaGN62zx19(4Ab~1 zD)dro&SesdKVFxJQ`*?W;Sb6QsA|1BSJKM4o2b>q-Nsr-@P0ys$t3$W(D-yoV<%@c z6BdO*0T2^l5->vtI=!SJ$zICj<0Xjoy$ei`iRjcTJ=U{j&RztAs01_FWDaN!`uBlB z!%Ohy;6<^x*de0bgu|aEs?_y6EuY!-qY85hPseHJ<Bi6I`mA22kKWOoq*o=$2#%Hb zZ7lKWCLsQx5%CVDD*lL<xzvEqItK6m!IOKY&zp}i;+!&pCG|1j5cT{A%<Or~vIjV4 zMVzZ5{M&p$7Us@4*z#e)FwCuSvLa`7ILB!lInP;*h5nCO1F#{1a|iZnIA%qhvxntH z^Lq4-cIwe-A9td_js-VIGMxz%oL;m!mquVo&mfbsM`rZu*a`D-I%Q^d0gSzO$MoLL zmzW>33TePj5tu&nB_@z8vxi+gB4>ni%P{<*bGGL^a>oecoYNzxH@$O)_U?Il2<O|S z!<_y0Q8RCc^L3Uj8D{J&op(o0&l=KeNY>d|Z*j+sTaeVb!<^O;XHLZFy&T(Aa2Eso zO`0(In#_wXa&DQG<2<=|fOF7^IFB#Rc3yF?m&E{QkFgHR8=<6d6AesolJnpmz0)U- z$U)*p<fM1ZK|+z>>>MQXv|!F&D1OhGHFC(sXPYFw0v(;(Ph?)5gUuuwaW}H&AGjvu z!#ztU+~_>Mbe8iB++IUQy=#Y_Wr`PKCz5O@CF0DEI4Q$8(W?Cb>`j6hS`%_G4ad0= z^Q8E{w=*9BvU}!s=y-mIj?UNtjN?XY7jdpbhTuXVUlYl}t{myeq8`}qqW4+wa$030 z#*NuI=b7A`xSi;0>?v*rUu!n&Fw?#+GVb94L{I+d#6Ri$)0=;g7X~R2gZVC8$pjri zcUQ|)qb1HE$vAXm2&3E8nB?lX(M3{YTHN&BV%)PBDT9AZq>j}iN9N^VI}g<K9B2Lj z)XNFjD<lJ1n!`C%*x+S8_CrC|&5b;efqK&krO>PQh@$?^&6uGTLBaMhv9m|yao3f| z1l0Pz2-CYqr*!VOf(n)8Y{3M!$5F>0$K0xeONKcI?TGUk?w(gMv5NMuto=KjXP3-! z-tvW>{ZY<2=bnu}-SeG?;a}q$cJc7x!_Ph!E`2<gk(I-T4>|YTp6BM^?<Mg*PU?h< z$D=}xM_M1sIvdx~%~bQr6PzyD&culR-nR5v=O)Zl!%aSmH~9;`<xUl<)4&Pnj@dS+ z>I}q;t@+dQt?Ba?Viw40J3thVTDZXauWs7$@11C+`9^~-m^^6Y*h|K`f2WL{xnN<j zTn3LVoHF9-X_Lp!TR4CE%*mK|)VRw<ZsT+^&S3ifX=zOx*zcKvb^Up{Qx-I;?`;)d zGPk$&PkU{^;k-Tw*r<hPpN8o>*N(+(Eq6zg(@trbnN&BRbVkgatLbZu!&!Bw&%#2O ze1<W)l{@YXx~6lodNFloKGy%#nOW=@eBZn*KI8LKA}d0-2k!{1OjzY#joonWvInN{ zqvZeAysXm;<bV34toFz|<OJS!+=D&2_yav+b9BI8hC{dC98JT~k4IM+bmaeKxSj~p z^t|!?F|XG)RN(+~l%hPBdx?UJcizFU$9L~0BO!$d$GJl2+L>M;(=`spivz3WL*==j z79F17EXbanUOz>+O{{1-Jilp><IlURyJeN8xaZ~hcpc<I7%vX2)_``F6`@6k=XX8i zczr$b>q08rcpdl{AJexAb}tUB)@0~oIPdWMR=^=%-+(xMyc{omoaf=ip^iDwXO_dF zfW!0S*N=F8BcPAh$MoT{WBPsuJL9nD&*SDy)QKkLM*lr9@!=LnzI#sAvj~T}R}7fr zv&eVP$@(>Xy|gl5w+{G{LW>SwFAu&S#$U&{xO`~^KQCV}lq*)3VYQ}0ALkHwhj%VA z?((c}I^){mcm~}0hDCiWAD+{@hhVjOqMq_sH}CNDd9ufN_kYKnEY2%xJiJ_SUH(tZ zxk|&S8tW@_yz%%UbFRF0i)}`1&eds6^Jx>VPV?cJ*xvb2ad{7L-vgF2Y35sjsQzYt z4vNvV5k2LfD_$TD6r<u;ae_Egyhgl9<aZ#R?{0CO$or7)_lXaRkBLu+)#6*?`y?8= zKZqZa$eT~(|98n>Nw!g;=pP_)zEsI(J`3ctBy-*~{d<c8<UUZcna=_}{9wg!6Xjnd z-YDKGf3t8P!mpQmx!muU{GjA2$-j{NlH}ir=J$5!sgum#fX(&bCL~*lX(ZCsQL>qD z0=bvu^W>i=xj?d+UjjYjB=a*p^-h<3o#e$Lveo22?<AIksaGKDIAzv%(tMWy&yn0q zMDt_(2Z#mYC8DWUh<BOfE5&Kzwc<Q+nRvTs>KWpfN!}!G6(0~yeM7isBy%n-)4fmJ zFTN?hD;^gAB-V=Fyec-z)Z-VEMJ{nj_qO7hVwTud%oa^OMELV1|496?I7Yl&yh5BM zUL#&Fdh@C{K!NGFQ(Pl%5Vwmz5g!sC5jmoP@n05S7Y~Z>i0_F<#G~S8;t7$T38{~R z8Az_eLVEM6%zQ)0ZRMUJb`X1r*<xSOn^%=DIVz46M~f3hQ}1z}e>I<Kg~G2AH;Cop z4)Fo;5pj>muh6`{7sOY@*Tov~ZSnV_SsNAcK9S6yz^LbQ@hg!JUAp^4v(F9WGbBeu zZ-0!lC7&xc-4~<j{HZGy&YMSdtz>V1j75?ci?@j@MQ<L}TFG0*N^zICTYO%8N&L0w z&7=Cg<UfiZiQYV_&n15)vV&ssM{Fjx5YxnTv9s7+%n|#DP4~_4=27u;I?r>NI7yr) z&JwQ^uNN1Ii^bc;)#7HcLi|_rsh(H3m&E<z0r4&Ix8f1;sQ8(P#jDNrd@cS%Ou#kr zI?Z=wkiUsgZY^er9mH;8PqD9fzL+O+VJ_+!DUKGs{WrL-1^s7=SBvw-8^sdwHnHh^ zt8%$-6CV&C6!(ZviqDDF;_Ko;@m=wK@z3JNqBk$=?~)tDZ^a-wY`m^yv9*{cb{4yf z-aIV+Qpk8i#NpyNae{c2I8&S}&KH-7w~Kd+W#Ui7pNWr%d&FOguZe#W|013czYuNw zgu`?vh;77nV$*#$`pW%$u|T{;94~tFrmmKJop`h8?X$63@;%}<@jmepagX?t_=@<B z_@4L|@tAl@{7;bs`FOqUL=M)Y+*ceR=7~ea5#l&;g4lGv(?Yr5ES8EZL=FJt`8J77 z=Ra{sApM^do9>IjQG)b;NBooc7x7c^xX6Ko44)u26I+N)=Q}ms2ZO)sF+P9PBZr8? z#WA8c?`ewU>EbowT+!SA;%3Rq#XCfA|BE$}w}{)tpNbEOPl?ZpFNv>+zZTyV|0w=h ztQG$%ekC@DetZn!b%(^}Vk_}XF;na;b{BhzeZ`B!eDM--q<E<~QJf~u60Z}l7fZzz z;@x7IxJle9J|I3QJ|;dcJ||X-`^5v|JK}rd5%H+_sd!xcN^B7Q7>#Q3Q%n_Gi|xg; zL=JUj_&(wQk$cY4eYiMEyi}YhUM0>HuM@csF5}-KE){PVSBquhda+WxUwl}6RODD( z>U~AzU|h<75dR_`6FEAU{{IjY@Ips9QEVZ$5jlL9{@ukKv5)v8aiBO<93hSuFBduf zm-^<4H;T82%f&lHJT@#J+EVX*6QYTa?ug-+Vr#N_<NGM)C+40XX*^>4#Pp9ua*VV4 zw^vTidFNqbV&DFK-RX&uAFxjh(uU)o<|kG}m+y8?e0#xNnND5Ue|G_95$(ptdUeB+ zroDNf&UUO$$#sL0?e$h?3`EV^Rgi$aN)q1duqU|c*?KGQwT2a0zOHtsg^%C3XKv;b z1;O|3%TIW3cfGIl*?Qm9{S9|y*?oNdcI9XOZeLwOXjb~Zf>-Mj5`&mo5<p1*d;2C` zP#-Mb*RV3{0OIaJ+?@JQ@dcQ_N6ib;cjbpp_<~2?vn$?A#@x0+3!ZU4Z|)?Y@cFh@ z?X1TK&8>Fdo%s)+@FfM`S{HrfWBTqizJL4Jr&(0Z-m_19+t;cqNU}DkMD4CuMU(6D zlAnmCM(s`OKE~oVYs)^iUc^Fj`ex|2Z%0Pi)8X(AEc{pye_(#WPKLEZQ8+v2(B3y6 zR!lW8FS@?qEPC{%$EZp4@F#49;M_x(!t0Dnkl(%?ex&u?#DT+@YJk5$kRN{<ry4kn z;}4oQYdd5X;0gKpT@_nAn9p7zKc@(oVs)n5{os?3hd-EhP)Ivp*)i>40qg<)3-}wf z`E}SH1$TVJHh&?8y3w)lL;URt6B-Q<d-OC|ucw%eKlT{<{*)rW1KDHg`$vkU_+yWw zZwMZVFVgoGALVN)b9|kBtC9JkDap(qSnT7+3Vv8h!s>rZd{p)aN*L+yO09kLn|q^W zFEze1DKD6}z_Lq>{19Zc2lxVvXo+Sh|32gK7Cgc?!^3uEs~Nx3Jq_cAdewybC>F|< z8NP+xIi*#ylhQtAe4vFr#!hAbualLWd=VUxk8M$6?r3`yk=)URNRWHNC9ROl%@LlK z;13+&uMz!-?8E6ez@H=fB_=1^;{!Idg&Tw6w}50MwIwdw9Hv##fPbV0wRlN{WZ}Zj zl8qfKTnr&1K7w%v@6*OllJFq-Rmk<AjauLV_t@bo8BNr~V7M6Zjj>)zxN%0q7CYQH zW=jL_#A7OH<2O%?u_73aCq8{VKkpHYY9icIOm0lp<d}X~dca_uli_o)W7HeLk7GCi z!SAwgC3tGM64c6gBvm7XcLq9p2NUoK3^IdoLyqx6#${0^e6vl!iB#`q#zff6L7ym0 z{76m8kHUDPNntgIr-?(^UWJQ$DdYbDD>Y-#B*7Cf1gz$W;BO}wj+tDHF_W~r*+14h zIfL`0vtT%ai<|?4(Sbh!9(JwxYYrxZ3K)yzNs%*?NjLLy%wT!u1+{s35}zpQ=mLW? z5Uz?PhHeJ2Jv0Z~g%4m*=B|T#@4j%lQ#wT4!8lm`-Ghp}V;3w{Fw8P)j6dSTU2W2Q z4Br3$7;0v=HpeynfT3n@TekBv|1jr_?2DY2ZjCt4`?H;^v4%93lAfLIq(__?*-qaG zhv&5CAB<EA3=3po9M;8?@Mq-NX82BER-o7Tk&rP?tHXs^&UFi%7R#@5#tiE+@;qk| z#^em+2&TeppM#++r;n}hMqF@R-ngHHu=RTUkeBOw^~I<RceKQJ4RbL%Pg`>LI~Ee2 zGG*YHAsAaR_=W`**S8(??^wR}e`}b_X(?^YkJFsp5i7`^UgzP`z0oAGHG`*28B;L! z`v#x<py4v6lKk^9mH6Q)@dH!5;VWiniWxogKWnLA^ZU>DjY(l+@Ev1P@>BTS{I8Bl zL0$g8V^Z9v*K3=*LJYy+Q@1(#h#tIfe9+JykO#wsJx|!ZW`8K`an4pAgr;K~sA4b- zK3Bw!!WNi$gRtxkv+GTLOc$R+@%jcKp^wAOJ3PNxaPaK(<M{hi?i0xb_57wmia&2R zbmpcaEYHjHdFO39CWU(dN8s-ro<8=+<MpkABEDx*A3h(%^sR#3ivz3G9PgvuXwl*M zt$-Y_Z!;9-z>WICFkbq$!0yF?)#?X**Tp$_){XFs*S8;vc%9Uj0^{lX8SIS1bMbfp zf%rR}chE|+NA$w++Ya-<JG^ku!U5S@?79B|;V$$9&{O^EI6J-5@ea|S79C6<k2hfA zucHPRkP0_m2Z}Iu9jHdJx(ur|4*Gsiiw^I+WL)*Ij^n-mhm1*y-~{}A+B-abp6oH+ z{r`?hX=$}b#xPIVzJJ%46!Q#hTm<;^4hZ70-TAMKNnxGhScd{}gg9EfQk*Wb;xatj z0dl#xS~Oz>;J#flU&I-X9bJ-b6v_L5d{O+B_*=17JVB!2`$9ZLqQZYG|3u^)Wln&i zzBI`hlFe8E`1h22p8PMATp)Rv<nfZtm=x%nDS4rIo47{2PuwFuMdIG#*9E5M4Y`{! zD3Hx=nYf-KbO-;UaK{zy3(2@V<Inq?;n>EJytm0T(Y#<l?kPD(<QEo(W7|R&h?j_k zBA+AlH{}fSIY9Y((Uddf#ga?KmEv9ET5+TJQ}H2jxA=s}_avU@W$|_KpvW&a^#8MH z>I3AzN<OVVz@49T7@p5wvbAXH1LU(Ldt*d;NcP5vn9pDccfQ>7#KEHZqy>L7W(mAZ z?q+Ri$oz!F^Uf1*6mJoiiDoPl!kMv5;AXk=>lowTFY=QUWmBKPS0$VJ1eqV9=>MTu zEB;k9^$7l_B(qUxc)!TcR+P<HC$N*`ZsNIOu6Utn)}luEA(DrSW5i2Eeg>odtHtZZ zh2j!%nYdcKM{GJ4grCu<&l>~sgyg5iYH^>)uWJndTan+<DA$Un-9+EOyPr_4jK6ok zu`j^z-hJ2fe*04Xt;y5Bji2X!{dT{P|Eq7~z5AZm8=uW_{OfPyi!Q*)&<*Tk(71Nr z=G}?w5-TER?Y?PPyKh@Nf0^AWadRT}MsKzjTh#Tpd;RLnmT9fa+N`tIqI-4x%hKR> ze}%o#>e?nc>bRYFeze_jC%Jpg+0mVYTb;7cUXNz>vq8yq3BgGPL3~NK8hkCzths0p z{E}OrvZqWcNNBKHw5T~VIyHU$DZ9AkDSP<3Q+8q5DSPVJ^k9R(#o+Ww1%ZZy7M~r$ zw{NV6lvbTs@p_$;ka)sQe51vE1-{RNR{!GJgKezn_AplPD^IeH4_@KlzTuP=*?7t- z-iV63;gp@aw<-y1d9AI&ic`TswN_q!tvwK{?Svx@_DlXP8&COiHlRDZ_LNh);gnOo zy{fdz-}~&0Xl*E(R~ydjf>c^PZ>Sma_*z`ix>MHFwWsXeNNMp?2$@%#oENPP4v91b zTa+zYhhGEM)jPZ2T{P<JRo@J$db(=V*LT&Q_4VCf-3Xoj+`QUAbVzMzc$bFc7WRNk zYT`6jH);&WG_F16o4W3luNrB?8J*H!R6*A_Lu!+Uw9QD%7y(UPp=s?Yf9bkYeq6I% zjod3f>+4mYudT8N45|$e%17F=n6^_s`1?vXLQ@&8auYPIs_&iQWDKbdM~C7nA`SQu zJmASg{)$nxcP5P+JbrN7kAvG=mxmkd>A`i0Tbv%&-wT$t!4B`kYy6v=VFk7HGV6pL z3e_bfy%qJ>`NBc01D3d*tL@!-+t}#5+N1=O_1T+{YvH;C|MUXnKWo8p)q+W9oU+fF zUSMO$1#7|0>8JtMZbJHz6M1V;6IcrZ)~NL9s40HyvqLYn7!nO^w?7X$A)NPj1*`F^ z$ezKk913n}QyyrrI|bMKx3#Hgy*;7MA7Uy#!a8w%cI=6wW&~MBJ}ljQ$|~G~65NcM zu?00_apumdUsRz^<TGtUYV88ni;fKmFKtGx*n(QI8F{tklvBJ7WsM!<eMu+$PC;$B zAP@Q4xgprb>RDWK9&1J_YDO_?25N}C`^Bo&RRe3SfheiG4h>cz>P7XMMei*7BkIN7 z4VP41S5<=izpH_v5(ctn46h9qbZAIOum=oft)Mp4NRt;+SL56RaX!|bt_^|ls1@)x zHFh^@MfJT^E34XNq@u<qqjrUdbZH18j30jf2<rp#aw^WIIuRU_FFk8;ji?u>GtTZc zxW?_KcKNVoCTeuvh}z_VNc(tuz*C3pE$gtNo)b>0b9}hVgFR|mMSt54rQ5y&>3nn0 zvuS(teaCH|)nJcLuepHtc>DBIcJsaYbZLds4WF=WmTv{>D00X`n)1e`hjBG~^KGno z=f|1q4h72tTk2Y@N_z%(xbt~1f#uw+IEa-3GagIRZ(Ki$j*0Gz?)|vUwg*FJlv&4z zEDvl?tn{Nkrj=c9b<VgAv$^fM#H1E$nw7U*x5@fzTH@xw)}##w+6A{YUz?12;P_J3 z<4S`5ii7oeJHl&QZ47N_xyi~pTtA>1Es=$mD|P)TU!+;3z3!v*tx?~`Em(Wcs(vqu zx}1j^TKGVf<zHPjxHbXx!1i})NGKmw>l-?>HZ>o0=V7!x_*rXkZQ9Uo4GDX3SEeTU z^J`n<4ok>=ZqcTCr~1i7GpZgy+i>?c&IW(K!L{KL-5SE>k%sWYMOC9}Eof>9P2s&K z7mcb-E0|X0Kd%7#5hmB#X8*|A@a!Sq_T<WgSWzud7Yv2BJKL=6(KVsEKyY4dDDXnN zgiR@xUk0(&_=$U$Vg1cgUu8}7{b;8n*tVhK`bEx`8H+B(*;gMQhQGHrO!<2C7bu^^ z!}b1ZTxXfDA+XBXepOX+gYQ>ItL%Qh22A2_uycJ_N728#sOtKvX;p`jA7|9tTT#l_ z9<6fL2O6-OZbiaoXl<|?0s~j(+iL<1zK1`ng7#B^hUDDpLvKZ2j=Jr5QpG=7gen7{ z2YtV9aY6B@+S(Q<Z6EGztHt&pR=G?qZ;3TyJ7<iltxMaMANo9)7_1MJ-7*eq=xqpX zDmmHxu^`qO^lxcfiF$KsaH9{o(54*g#ac;a7oYs*5!8omiCB*`b;sUN!n%ZtW|j9@ zZ7ZBL*QBSW`LWXKEos4W-`W7ymrbfm4m<7GPJ}ijuJhp+TMO&x`K!@VOhrpkoUl!8 zMPyIa-l{p7Y$tGM=MAd$<y&1N4ZiEqO5~umC`3!Kn=QrWsz>5lie$DFd7T?Dz`y5p zHJNNF(4t$Zy0@*T&{FXEL7iQ3Eo&BSHtobERe7eBC^apK+eSbW+lZO}-)tie*N3Y^ zTS9f=<S~!?wx*p5PyJvJ+kr$Z1wXvNLhFDw8m+^yJ-&+er|fyS(^Bo+;dsK^<Jtai zMN7pNf~~{WQ$Dl~X#K|zFTgM0$!r}yJB0dKYHb-9{c(C-i$HneHq;qwT-u}g_Qup= zYkkSd&W{DRCvCMGoT<UGQ(A+s8P?!?uidEQ-}Vcx@nZ$P#PtEQ0$($%z_($qwF&jO z%!j^3&+2wK_Ze8%kaxhSuUCFE<?Gu|{iW)gD!Y=c5bE^xsN)Z#PVeO%Wv{7;V#U8) z+^=7+J~6H8{iv^U!AHHKj~qp<D{Wi$i;w&jqodz`wQ#TXqJxegx{Nsd_FMRC{|7qM zx5859y3)rSzIu2#-Pt#D?7%pK&@%SEFQUEgNdEODJk3x({OAggn`s|4iyr=jserGB zE`^JXOE8^6C?QHI6g(5q@9mC1_^KO(KPNkO=pz%-zrZ;9`6}Xs{QT)W;dA`)Uuk!T zPr_Vkm~Q9O&LQXiB6||-`n|mlF1{3>eI1;fvmu1$75AbG9bm`Y=a@a$vnTdtcRJOC z!6%F-5!B|J65b~h`4?g`-vI5AAL8#hFv<JjZU2}`mr;BSf9z58-AD0y`kJu^$*_g5 zrtfI_Vx_=leAlusr!)h$W>YCnq*y_5AjL@(--a!PD+Nxb#L?9$Tq*DhN-fZ*Z^oB; z`$~#Qcm+r?zw}Re7vczrT*V*j2vTI>H^a-k#Jec+g~9dZaJ^=2Vq#BRakFo!c`D=1 zp&0Oa;;-?YFXd{?nYFLtC%ZSH6(W}sr1)FBW~6Y@!D)Oi{#7$d2x_E18VMnd^tq98 zC7q2=l_}G`c+-vK#e0$xhAB*EUjK;#79=!63%svD4U%TW>A0k3y<+0)6yM`@7~g&^ zAo6X|75P27*;z4hI>jC_@m`3yEUY3igH`Jlh&UH!0-y|1`|}iT1^YWZ5}PraD_bo- zf#*pWzGvBg_$k7-<j8^cEn(r0?&s3-H9RkerBxm*tux_#5E2TNu_+dT_NRaLVjuBh zf9}Q3z&FuWWe8<rkKz|ntIeZG$Wt&Jx9+C$5>un6!#mZa(v@#y@U}b`cPoDywD0y6 zB9ck!YWSL@Zli@sJqSyyBe0m0eZ|;r^!|v>4;J<oANTe!(UV#$dIl|wJ_?pr{Pt?1 z-wX-4IRWyMv2;Fa(s>WO+}w0!>kOumqrW-w+rHdB>78T;bu&93hb4_CY3BtqCrM&; zcB79#)Ivs|X6FJXjT!JZ(Q{yNqkF+j^p%a#xv$xkjDD@n;o~Oy7BBiEwD9cywn%{& z)TlTEE=oZW;?1Foo9t&i6+Pf>Qt%^MsA4@;ctMSdQ;jNCAl@pfxYz#1QxQHxD$b&X zDt-h@J1?kFQ3w~Mg3&q9-QHwhjF!P%$SP08R!_w<RN)0RDl%D<-K^Y*=v$~_hrQfW zF$CV`LMGC}R4js}ofp)o*wv`wK}6q06}#-0JQZ(vDh|WqmWCJ1L~k(Bn-`<F>k!AS z*)^ttZ`aPN*{<9Zvemo{A-VU48}fY<@+$bab<35l<_{v&g|Sd?n@|g4`doRX(N~R- zGh-otYeH_1g>>aT3~BDE{s{Ry#IS82ml87>y&t|Nmp-6{xfD1PHwB-_<`Q!tDf%?T z;m0dG#rJ0~`XG3l=$F#+HG-DH(u#AuP4tH$L0$yez2=VQetH4U?lrqI!hH9btN4Ld zPU8TqA2R03jL=Hx8os1eB$)%JLRdgm1FCTH_)%0z-!nifIc~{S6mK|A#IvTERA|Z7 z6ph!C*xVbhmD+eF4(n=>VfSh^24lG<Bwucl)iS~AWd?k;Vhm(kAIWXpv0Y4h+qg&x zbU1?!9Qg$;#vzSbP`kf(JQy^iOqWDR_8hPPY$>Wj<H66|7`sGtg25V3WhJz{8$u&u z7J#LV8-ySf@ih!WJyAjnRl1=NC3Y}A289vbTq`jY#w^f9g&)8m2qA?Jl)yiBxGKiP zr({eeBYtTjjv*AW?6j1eK6Z>B88MECV2#A!BZ7Kx2@jOe;-+LA@gWQnMNl_Fd)H_} zBc?g{WQ@x;X)7zC3Z@Ig$_O{Rk)4WWW}Y{>E|Zar7ni|`clw%knNWfYAtEpwmqxnk z#uGzfpsu2XmX}>&MF}mxgTY#4#F@Au5okpTE&MVK_nyEA*S(^ImN$(1<-||?QcBCu zU{DDNE+=SCMoTFw7la)pw5){*U>(@SSP7Q)2Vp{xdUfL3u#|SeBzrClMu*)n&5R@T z1Uk~0PS3$0iNvcg<}9?dMnh!IPm9_02IC(|76!_V_{3Pp5}faWf+bXGDomvrX6^`_ zk(M9BU{yPU^E8ZlT38-P19HzCIruvi2L5FxudjiCQ+^73W881_nbB~mxX&ExMyHY% z{%V4y`kYEyx*35t8nRefUuLqt*kt`>Zv!E8Ww1Ce!R_W8Y?fC^OHWsDDrw1wL7;3D z3Fq=*CB8?2r;K$1p@PB+w<xHNrqmYrDrxBfgH;p>b|;WhyONetSMXKR!ii?)8fbY6 z1~ICEkBoH!QERN15k1@@T2(?z9~flY0AP;i&Z2Wy0-iuzWJ(d&Ma!kG;8fBw2L{(w zhyt5q%5D<Njni!4>aC)O5?B>ia+k%GT;omG8%4t6!c9p`HrDaPjWB-v+#0E*Z7~d1 zUR_%GGAt}h46Y>Hf?J5ZN1?Sf1-H<tq=lu3K#h0O__*SHqJ%}s=O6A`g3F{pe@P`R zTU>YIXU2VgNhK{0yY4LhBgP%gEGqzu8jC<af#q9$rrdKH9h^!EtO&@DCrVfq@=f`V zAqK<vv504+lD11>Od@ER>IzFM<Lb#1C9DtJ1`aFi`YLI0>%&cssSi)X0foxKHe;i4 z*O6{nU)~?qJQ!3%g2j$!kGXSo!#+_$i(3UI5^kyA;xnZ_5e|-Zi&II9TLmVv_?N?& z!u|#p-m26ZSK3dMG~TD<QD)|7Qt-@EM)UF(^@!KahJwysLr=SRyb25NXbxi~JDLI? zO$>#hGjCoNH0|Ve7&eiBTb6}KU^UxCA_U2)%V>%SzCSafcgxbw?BU%&JLxWPIhwdz z&R$!^Al`M;?j@UdI!lpmBnu1e8gblMM-yCa6?wX%gci5_CQX2qg@*JoKREma5s6MP zCNwRa<;FW3$T!x}gxb=^)y$iw;}di8i9~C2k_nBcp3r#e2|RVw=$%MVFAD2_eRY#~ zb)AgXiH%w(PL5sBL~3<a@%`BxO%vG7#2?!jf9$MS{IQMk$9nPEJg{v~LsPQe9@=SW zm!xmMJ@ZD}wdZx}5Nr9<a0fPqm>(CydmKdERmgpfq40RXVB1DrUOSM6)w3HzEsE3S zJrEEgPS2t^9n+9YMKECulVV!0x0p)0@Gft8+I6^otKfd`_xRDB&xJ6?G*DZy(dOON z-=9vef&cz=#^*4b+B7uNjn}*|?wY-Z7$M@Wd12f&GvC-kW}5c1QHyC!<1~4#C`!V# zvrR(AY2;1C{7OSNr!mw`@w#xUvJFeaa>I=wZix%wwQvX#m+o8Q&Jv#oY<&`Ac@XPe zBw~4TSADFeC1Mq7IeAqJ5j`ibGm#vZ7qL!7VyxQ4x)#k&%L~(*490~g#x6Y8V?a`L zrIoBQe6Npl@*ehyvHXZV^5f3x)eD6368s&RpiGR<1m#+MCU{P9ncz8jnV^gF^m%<N zB*+Wt-B1eYIkWtg4PIn^&td23yRX>`<#lu7P7!y_o_g<^8=I#Eo|Ea$@$^2k#k%Vp z7tY6KFoH(QXWdjnvMqyssn?Lv-BU(AynTHrI+EQx*cVfW`*6Rh#Ou8^jmM4w+)Ph_ zw*u@wlKlhNmr@6xGI-sz0$#U(3yXXG0^<>%60;<Nb(2j>qZ6kwmTJCy;S-w`zaV%g z$mp2zJ&MgV9#oAllVSJ`h2hhX908MH-E3hsZCb~`V7?E*e!P5Mg_U2ADPnzYT5m)% zWLp!x2fjJS5j@+E!YCN6I5~n{M%)eKK4H<%;3CUX-ng9gW&PpGe!G0UjsO%i_RuG@ zocM)`T@!LQOn~`{)uCyxhCx4+V7B9wyG<`^HyR)a>r2>+E`mW9m|%|z?mNueFY}98 zL-K)cVtYLd<f+%ez@_8j%;CKNai-79aAB_(-2|`mOKp60Wai*Cwb1Kb(%pM?gZl)p z|3>%5vs|u|SUnM)nT??1sNz|e0PI{Zo%Ro5(9<G#10dLBYCiyDf}wnA|0@iF5$b|I zSmK=-?%vs0t2)8_)3V#ya2yH~7uLIebnNNl_8`~9J{2&*a5hI{&_V`{&k{u|WXAIi z6VYO+VVz}an8V(iEbV-ibt`z>+S<<{;0G{BVdJYvVPlTQw0?>}d}m>X!)gxC?fW0F z@ge_RogF-i(Ya}SFq*E88cB9YymQ8Nx;-a8@6`{Fce=*yB<Qih&PaS0plQf|-P!VD z@Kn6><MXTvwUQMa<?jV!Uw@x*8tpmpDZ`ht_?VvCzv_xK)$#pZDK8`+UQrnI+&w4u zXTHN}1)TqN7bji`WBlu`8eeGG;>BO@7TlcfN2AzV*#O+De0K7^LI<4|7^m?>DGYj6 zvFZ@t$LbJ!2}Ot{ZUxHgbkpL-s?=C{coud=;?6`TKB?mxGdle@oSbK2A1Llj-j6YO z+IUw^r~ihNQ|(%F^4MDw`zGdxlE#y>*FVREidADe{WqkCYWc2!7K-36F{!ccVqT}b z&hxDfm2`aortu|&KJH5h-Pt>VpNhKC&&x|*3ZIT~cjXF*O=<w0_>jaG3O1wx^KLoW z(?Q=R8OQi;1oHyTM+_d3E6mqJ^!A|0ydVG5%P-?KsQ`_tzU$od{cq1CrsxwGR7=9W zF!RFA-`L|SD^t>>zR>CWv(EE9{auiG+zpyfclENHCvY{IuY2x}YO$Mxh2Eq<>Gb_c zU|`qRysW!lDPnbdF-mTg=?t*1XAXYqxDf_l*042Ezb=&&?loa9C0N)oKQ9u$U_WcZ z#Pr+^aiejHJ!?xLGI|1Dc%aye{D7R}+9ZA>cSGJ9AChlO`~nu=oO*8G|5oXpr!nOB zeJk)n@|9!(Ox%NmucqJO^dOx7_1h{VyCJ{t8-#aee$rwWHU0{pLw$J@Ca$-Yj_`Q0 z;=sd12m4Fj@dPYS!8{G~oaYFhgkk@I(+v2vZX?VVm?JPpVZ7sqhT|Xz_6Fv|ut)G5 zOf^gZiA!=5XjJ~taA7<v`PAl8h5Qh>3uZUWNtk*V@A#qNIP!td;8ieu4%fiE4Ra>4 zEweG}tREWgUc@Pb*$BhuxOecTnG3T3hWE=N7~WgnK|6PeS^>lR%{zEUcy7F5ykiUO z+hKOV@b>Ty{%Fn0xeA6~K5Jm!hDk&9NQd!`9~zFoVzbKaf?>5g2~!Vq5p?Cp>HDGK zsu1T1n5SV_ZR3yl`uR0lC5!-u_YYiO028>~|Ch|2K4;;Knb!0RCKu12H+%k7*F|Ps zJ!j?(k#39npVxiz?70gg3+Bz6b3+94=OZ((n>KUCjF~eg_sQ-T>7CQ7_w{`yPrG{V zWT={R^|W(mPoI7+f}JyW-rSkz%)Dya)#oBycCOCkp6_&jj5RW6x0=k(@8|AhgXwZJ zr%b=D&y*XkzHUZ#5pU7hiUX*1jC9>q3yNGHw1egjaSmD7DWzk?xiZ4PW^)@LqRnkO zMmjicva#C<5b1WGlO4%(4q>N`$LvV4_O*GlQxE4II6Y-YIy(8;*!m{oyl7{0caXsm zXF%j4Iwob0>fC))_ksO#{XXYuUpAI5IJ9Kg!0x%-J3B`ad6S(@pNEal3rn&G=628R zH!v3VH%qcRpWD4l_uK;K*ms1^Jw5cHCE0N3-nlyhXFC;kc1-gxU7uX1ZN!-wX-vzz zOR~F<>gNUTj_{9pDRI78I>7m(Z8mdp?)D9HHY_%~t2m!K`$yiRt!duEP9iO{%|;^F zFQ+B;M!|+TxmbUJ`<vkJ#oTD*3Z}Ai`%%z-Cw>#Moo}sSCY{bxSn1&v|1hTKo2B&m z)N0HDH|%mdyL*Su9iYM4d+Pw_4L>g`7MK~(y>oji@Kn25Ak`*w-Owj2<CBZ>bY__> zaAT~%36KSQZ_TE1X2CL(NF>GiH5`BEpLMbGQzZ2F{>XIivdwjM%<RaLz5sfSWjhN0 z)1?!fswD%Q3b4iw?Q(Xb*lO)r-Ab?k1$SKO;@liL%i<CiS#EaTGJ#Sb<8%uy&RAYX z+1bW+5c%S|J#G(^+q*HhhwTY+`!MFVJ?8ewQnS~M*$)S!JaNu&#9)EDEziLvuK1!a zqI0Z_o%gPiVRHMz^3JiQ#OUi{blkthP5<Fo<YShX=!%l;2b|5=&}O%9mUH2*tc+ei zc5a@)?QgJQQyy}GdlFqA>6U|CgRpVV1Z<qslNBa4f_-ziB~enO)1dB7F;@N<(8&$! zBn?A|PJIxdS4JP_?~5bOQ9I)N9C`j8D*Mmv$VfR3aGteyIUg=w;N0hgW;uVvZc6t# z*s&@*w;$?C0qaU@*0q}lu-spTqGuge!M<>tW~!fRlnFIIyK_4JwZ~2MDm1<5pg7!+ zadppxT%elwlF`VT$8fV%>sm#eB2(+!bDKK%Dz=M)Le{x3Q#38x=`?I)2`bri?9%fK zRI-*4?1wbMiAJ3MxVMPxj>Mx&mV4XuOyD*>mA>U&d!SwFbA_`olHNNfC)&sP-O^os zoJX<4(9IDa7d&zI>$z$=Mv~%>+1I5vZMkvV%$&CL$Fw=u4#E~P?h+r~3LuTkguum$ zUzx-B(9x`H;x3i)zqKq${E910Tl~}a<N3EOpJLK}+Qomz!YyWZqu9zP@e7nRE=Iz% z_tFY6-clvrqA4-`X0IMR=%QE8Suk_{)pKV@7tWo&;OcpEZ{UM5e*GCf;oOukW8EcZ zpw?{i6I(Oov@Mv7?kQ8ojvO&?uyuVucg>dm2E_{(^uh`*KyMVPS%fBTOQD#xcjHDw zxz_xdH!Pg905d@c4Z0xGjrl#!Jm+W4n;#iCW@My5&-zH={CU%7-f+Xb`8Ra`cdTbK z@B;Kwu43=NviapQVbHw!3uZ1lXJj8M&@4DI(U%lx>2GbfvCnYQ>~{8<c6%q?&h&M( zJE0$J=5v1E8Y}D&ea9Lr15-*7^S`>rO27Z{ORQM!k$=oXum9Tz_V~klzvuu*hC>g_ z98JT~k4IM+_DTO$xSj~p^t@B!Uaq`;{}6=(%&{I}f^nT*@4SQH8yDSLf3amn(8+EK zcl3kJD;%Tot=x+PtF;xQ);X)fJ3Q-5ICysY)#5w-A&%hF;rU$!IsUv)L@g^116z4s zo{!f-;-)%H-`;bOKDc>@r>__e@%m0q;U_CsUlQo)TM4@t2UhF%(6_@YtT?~hV2{`5 zp9%*kVfy&7-_utPd%V6|aU1@F8K=YZ+W`4AeKXwaqdv?>i0S(&?2N;^na9oN;U%$2 z`slwKCO+Jg{l9ySm1htRb*dOJN0;-zdySQY@b%KlfHM#dDq{z)m&fZc@z)W?b)>?L z*U<{b%NJCSSY3wIs(`-tY0=@Gi;TNGt3PH4<-ieR$j#_W>Z5+1)4MldwTe(r&!$C( zr_Yl;#=HMJ)>vunDK_+S#dZ8YvF{J_h56wg%<;zKhwS^qTakIf_WiroSmC4eJC|7L z=eBh|+?1^UzGfI9En)_Pk=v1&%+r}fpdNBZRO64LHDsH^_=VzS;$-n^ajtl)xLjN< zZW6bPymzQ~m-x8&toWk%EAhADG107xf%s;9Sg;Q=%7=Tozc_$IzU7nfH|t<P9xd6d ze*t-_Wd6j(@Mawhgy$SL%1h;cx5y7&bl)oZr;;C*{DkC}#TxM)`TtR}S?>aRIMI#i z`%k(1aD!0}lkjgLxxM6w<Q|gEdKU<PzT}JKKUDH%;w*82$Z?X?UnX*B9pzo(v*K%_ z8Q=&1_bB6hf0p|vlK(FGE6G^d*ysz82%jpsjpVZ=n>|S2pDp=EVm^ubIh=(5rE;Gn zc@By4E+!GKME?9h$Lm-lZj^te<cCSbdsOabZxMulRsO#b`EieW4@*8uBHkzB2@>i0 zM*cRM7s_E0`r48R&kuyu(?jmL;s9}={LP*rh&P@x;$1HHs}*j(c&k_{f3qhD;_+i5 z&r?n!9S<nnLz15*q4x#x*YY=eejxsz<o*}&ukt@7`9DSOlV`3^jF2#$#B=1{UowAw zr2h!Hj~Drq8TCvjVP=cPa=%rww|B>X$bGYTpZGI{dsuv2?k`AwS$tFOzZZ{+$K?N+ z_@&%!bQYPe1hEZ?^k#}(#h&ud5zm+VV97&8j=*I6tHf)>dGfzO<Og1cTPgW2ajV?< z5tsgt%Dqb5EBBX4)PrA<s0Z)L{r8eTlKd%&a9_wBKgAfm2_)jRB;ns)au@lZE%`jj z14x7`kb9xr%^n=kGgb06B=U1EiSSG1zEUg`H^_gx<cCPaGkb6#-t%&QRs4;}kJr?D zSn^THW)BXegCC@sZ>LDeJg67d+R!{FA@g}n_cSqGJV)#$vcJV}1H=OH5|Mpy`kUt^ zc%|fN;<e&DahZ6#c(+(4n&&6<Y?b_g_@MZhXr7}8w@>nZ@i*dIV$(g$K9T#U;uqp6 zk<*%aJ<Y|o;+bLxv9su{Pt{xU`J!2A9eM^!HbcE3^ZkVS$BHw=BGEjT;eUhV#bT+r zQoKv#)N1P6A^u$4DVpar{5h|k;a(JfDZVXoW*_~{a~u4#<T~+h;+NvrBG<@dyr7sW zwieCv9R8e=%y66nNOE8n>8)SYPja3(SoGGfGJE$S`~<mA7N?8eUS=FVPrc^(4>n!L z%3Hr`jlxxm_lr-6Pm8}2e<QvtzAu{o0nYQW<m2K=@f(pZ3QSi}Ocq;;ZN&_+gV;kf z{RYJEC;39r^dI2PF*?*gN*pUr6K9Fu-eosPzC~Ort`zSQ*NdCQ`$WzF=lM+k0<4m} zSA0=4{SElPA^DK_j`#=hL$OZ$o5=N0d7iID)Bk{+py#ydhd@r1+)m68yNIS=f^fYg z_Z2S^Im?CT87__zCy0~9>Edj0u4wu#h<~%>CE^|8o#GmCgScJ%iD>#U(DR674q9Zo zynf9uCBG(`{tf)!l>DA}SoHQb`$V$0x7inxzY+Oih3Db=3uGHHUG(}tT_v9_Hr>-K zU+z(Hr0DHwHc_(a7s2lJhpv@72k!FxH;PNdW#XM8M~X1q2C-cHiRks09+B+rZT75W zuix~FWN&XX(|>~7yK-;3r`bul*NaX2Phs{m;Ae(DgJ()M{VB+%KLwsGcg~q%c#du+ z2Z{yakHs<KByoy3OEmo~#GfyjKdMvT5^<TxAJgg1AJ574;%4za@u%W0aku!4_`JwL zC)D$Xcu0Il{Db(RSS$Wj{7P&Px!?!&rHF0Bc47yyv)EJ25&1(t<D32(I6|`NuR)$5 zd9pZNoGs21ZxC-4OT;_GJH<7k>CZub(>>KViiPRnfC2Ie@oBMI+$SCoYsBA*zZW@o zo_anO|0ZI&9^-D-!+}4)t1?`3v6XnHm??G^yNkWW^TZ#C1I3}@2yv`<nK)IPAzmxa z6K@o65xHgy)A=8ww^!R%$v+W)CO#tW5jk*z@i};c{H6Gs_-m2lf#}agVMuQex6dS> z5bMQnL<fCoh7XD<VoR}|m?3fm9K&-M8rgJDw?T6E_IBeaa)$HvcDr2i6(X0Zp?|S> zuees+Ej}T>FaAONM&zR2)Z^`))=_dFvA<X-juYpI#o}`D4zcMTXIw{z=Xq1~_9i<i zxh39R=-*bnK)gttAr^^uh<Az)in~NE)I)vmicR<Ys+apWVlrNU7{0lfDRvZd#eQN` z941~NUL_Wb3&h*RmEuNmi};ZEi1?gXEpnwHrtkM+t@u~*KSdkwb_|y)widI*uHpsa zMdC<tv^Ya75^oZ374H=96}O4^iMz!oM6Qj*bp1x;>PVFTEOLD$%3q1!irg59?#W_v z@eHxO$Q6|s?p(2-c%e8*93qYqx$zI<aSbMNwm46`LA+Hg5m$<LiR;D9;tufvk*hjU z|1;uC;w$1o@sP-cpBVlx;tBB!@f(rrG%+04h9cXD8Da;qr<f!56E75_;xKW%c)2)L zoFQH(UN0^dOU0GqUE+Fivv|MwbMaBJN_<{?N!%|U5Z@AiEB;aZv-mghbMb5OA7T(6 zI+$O{Vrwx?JWI?Hdx|+?e{q0VAYLLCisM8s*2VM97UzjKh_{GK#XH11#kJx_al7~v z@nP{%@hS0Hk*kC8{0GH%#P`I%h{wd^;z{uvkw2tRPgqP9&k);-oy2Y;mm_1m3q`I+ zMtPjb1<5GS7Uzod#aqOsB3CS9__boWxJ~@2_>j0qd{X2RXN>>4cu0IlJS_f6<jQ9Z z|E2h!qK)5-=^hfpA{RiT`&lB_L8F{2ayc~0SBSTYCE_;mK9LKiG5jI%h<H@wN@?`} zO5}oRlskxAGL7<Bk!z$;o-J~XG|IP#TosM-Jt7xJqkNypHPR>_61hqm<pz<<qESu} zxjGu<4k8yxqdZpRGHH~Ti1&zVM6Q5F{|7`aghu%n;tS%-;t}zv$Q9BUK1Jk`Xp}pM zTp5jWAMrx*V(|*`Dv?X3G5lR3*GQwhUF1?}l=p~Fi_eMgi+>Qgq8h`8M6RbsxxL5* z)F@|*Tt|)aKyj!zLcB(tD{{FthUfk(WTkk&_>B0x_>TCV$i>+h?>|MZ#zr|+<f3ep zv&0@^w#c>G=s!^8N^O+KiIc=BVv#sUyg|H4<Wg>of49gL-6&UzKNBAoxzHQ^pBMLu z`^7(qABta#{}8z>9OHKsyNl<DeZ>AE*NJ2J;UbrdqkN?}Q@mQ_DsuE+EZ!@w6}hGy z{dbGM5ci5)RF3|?7P+<@<v)p^h@XmFWRCvdiUIr}PB}^BN^_JmM6NVPIY<1FI8Yoa zju5&29K+8PxzZfvMIu+4qkNah_2nr4MCAH%l%Ev2q8#M|A{UmUd_?5>a+H%qt}sWr zkH{tEC=U_2vK-}!A{UsWyh7v>bCe$yxwahTmqmP*_+Re9i`PUG9^G<7WV507drCxd zC$XE@Q_K<jh<V~*ai};#EELCy6GSsk0qHK1JVz`R7l@0*#bT+rLM#*4i<`v?afkST z_@KB;d|G@?tQPl)`^5udjrg{BL_8{fB7Q0!7f*`y;x}SQze|KgPBvzFwieUGbTJ}w z@FBx-oDbPYJZ+o>-1Fq#^miRI?gIW3<Ud)QDtf>36iZ$pE)tvm&a*=9W#W2qv*`Wq z^PuEi;%@N?v0B_G?iUY;zY{+YkBCRbPsC5f<Kjt?1ErW>7;$bG63w^{xHtVhC{6z9 zV$<J)a^&7e>@RY>8PC)7_n|_$j}x2zJ~UPCGsGfsj<`r%ES8EZ#4>Tc=+&>*tZ#V7 zNK?JTp4<KZ-|Q>WtAGC=yst=gcbo)2dGs;pX0^k}wsVdhANA1g)zQxDI#={IJBvJj zTe!VbH`ob857)2X-EN&PlD@WMS@MZ*`&*IxXm0tpU;X3T+%4R%!B;mlJgCN3H$35? z%z?E5|NX%LK7ZvMpK{yIjAi-#U)-Kwef;v<3hP5X7ZerL`+C|P7G*w@|4O|t&%!n~ zffK2|gcB*wSv5s_#?*(>$JF~r3<7-}LcO2K9|S4kL|Z4drsc5~mIH$@lMp71Fq3?} z;2#e44&^?R|JOrbTk8ium4-bJ5(;X<_+g@7#&ZSzU%Vr~&x=+EyTgdufMcJtp7!Im z-Rij0d{pgtf9n^m2xMy-eoC~CkGl;6x{i;&tzXgo)`t88^;U7_;Fs$X>@ap9Xjju` z)$q)m=$TOm@w?XhhTpcQ`<|S7-^KO?kS<KD8NBNJXa~Bl^5@)I`XA}O3%)oMt}6&n zsom`FR+Ems81jxkx_oBT?od!4x^-G+LA`%*sPBFGzFOPY7CSNc=)Tx<ZHC=hJek)S zy7fNh#$xA0DB%S3?hB_u<FuLspXd3X%kTE$fT(@$X8g7OescNrsMXo-kX7%SG%a&c zrhA6WXp1*{@1dKogWdnW{LE<Lo0(BxuP$`ye?{rz>2&+zt)J)jui1w+`bX7HNSJ4R zu_uf=V0W-OU6c6`&p({0ZT4nIq}G*#Z=MM)`S6)MwN=JL`Cq;Dbir$N7)e(*B+#nH z?r(K=qF7Ph&+|;!lfGwACT)S5Ud*dW3rp`!_gz?jsB;C@p7;4qe0x4>ly$Ivb6HpH z7cpa5$E_W=9j>3T<8b||-G}RoOS?ud$DVw_<BpYEdDi+5t;{ucU4g&d)~@AQYeW68 zLqJLhyPLExYr839{lWUlM-JAPRi~{xbIsxU38iOk!HywGFv)4hN>dLW3+!ZR|8CjA zW4@gSk6AGOoj6BXTh#c}9SK{XIfd^o*e&3hQ~uOvP6hI+v4_M<wf@1cHN0}a)v;YP zzc$H29Q$y6=!jE(py8E2C9VmrJ$x)=AE*rm^J-Jz>w_<TOfP?};hp=E*Cefd8v6-6 zead&_rP`DshmR%Mc}9n2`!^>*!#=y&nlLnkcMhwyl9(P}Io9gm{aVAm_6O?+>`sAS z%Ffqn!{K4IHvD}^Z`u*;?y~zp!#i~c>j&>nMTk^;+b0n}&wrrd?TH8LN9<^c^9FW2 zeac^bpf+j5;bSeKKLPQrok(fIUC~z>-cCMPKW=wx#BN<+Uo^PZ@*{r=5>JE@LnlI^ zl9M++cJNpPyKYQ4lC`DNR$pC8sD1f^CqjO!`^cJMncFg39=DsPMEj%u_OB0w``7!^ z^Nt6X9X=LVoqpu-F@M&)RexGl-LNtX*OYbmn3eTf!(FgrACF@`*zbj%T(;WR*Vo6s z2s@yBb=KGS9%sxau^-D5^?@U=HQXJGeW0N<7TeeNK*O>uH`cNS>M5-{&~WeSUmn60 ztoCo^ULzKA(T_Sb^@tC(DO~z~@~GPPau3(HE<2DsxOUjU{Mt+MeV;e?pRwi9dModf z<Y0yUdGmxerJ39EYKLO~h{1!b&zqwp7nEk#C!{*~IMlZM%!<OXp<bc7l;r%u(=!j& zPu<;i%^7RYTvu}P^+(%n>$*92i`5Vs?W?Qyw_B6DzROm$I0^m@H`jEo=v<z@b!O;6 z3+;|CJ<ZzSD+{a*t+&dp?$+`0(%kLo+s>=^pWV0Kf8lxczQuj(ePPtu5KN+d{>u%w zt<H}QkA|bKArIJ+`OowBUGVtLdl2UU;w(m-Fyf>iKyK5|9#D<^$*9SRc8?-V1;Tuq zQ}4g4SH1sMgx!U(&!H8Y)2rS$`E``U8z`Cmi2G{8?OB10W*NQ#e>#*~;oBT4@0=E> zNZ)hLs>D^VK;xUq`L%-v;ohvy-R$3j`?C!BV&9$~u1gG!&CK1ftKLdISU;oGzi!Q; z?%WUNKQf{y&z;$;{=Dk7hCAH54K?`HhGjb+JP|;BLv220twx=JTj}ao8*bxWxq4+4 z@6=UQZKLg?HPQE?C$Y^7!+Lk@vmfPdOE0%NT3L^MY;~TNnHt6JHa5;)xU1d=<A(_p zhEHIblO7kJoc_r9dooI|DBV_ixHObon0fKZiH~@4#<DAxZCiGDS!%AY|H(rm|I&LE zv_ux*uP<*8{`ymwWPZM?TQmW!8Fc1AX9AvdKC9csCtun%V^51!-Al)=y0&!vs$Hdr zR)u;WD-HFv`(J$W@m;M}on1O^)tu5bs~#$SYgJuo>(xD%C7cM^<5!O?UAOwK(zjQq z_V)F+q3=NHCx^Biz3+t2Zq{y8)Tt{7%sXx;wcB`KG#%|yXYA^-YRBH_g-2(jG@m+Z z^(;A=_{gki|7hpGSTFvVzuB2*y5IgAXmim>ZW*x|CjRh+f~(ly@&|7v>_8j#M(ogZ z1ai#C+`fgd`g{o=)87|-o*u*KH0o;p^(Sz=j&p*(g=XloKe&@&-lWS-_b^Ndy(_p3 zq<s;49NNtSXMKvH?9eMj$bT{6_cv!6LVgEG7{i#;?E)a-3fhZo4g^c6L{R5CdpYa@ z|Lgc0w7cN1&CySI$HMjVusO&s6rpY0XZU*#Oy~^+vBwv~I-lY({IM^kZxzKZIIDdb zefeUL$hQT10)4R$Md%Smy`0h@*pjjtb`r%qC|=L7lTBE7Byj+eeZ`;Pco#+XH(hZ% zMw}%5C??MGL+lU}2O_tUxogFh)Wj(_$=nfQ$~zD^hBA2(c7!m}MMlDo5Js9!3A;W_ zW!lRiB;5#$D_)6gNP3@%H@+=G2x>NKxQdzC17cEt`c7k9{@|K?Gfp|3r~J}LIHi#i z6A^R(_0C}G(kV`$IFsUf{1lgbm1P&b4q+937fYTd;Q)j%9L=tL&G?F9UupAXsc>z^ zp>&e7AgAD$2~SSJ*Avffh1n-=f!u5MA~lRQ8kj&=qbr{m)9A{}dERgi{tS=Byxhd( z#ketD`PZ?St{iN}m_zaB9>z4Oo@!#|!N*OtE02ZjrrMR=RJ(So`HvBM49sh>^G`Qg zW>JgL>dH4$i^&UDw!%|!?nG1%H&jt$EECF=?~a|@mECi@cB^?2&ba_)2(_48n`^XG z#?I}^Rg{fZSFVF>H7`X>j?cO$mWCTl>`o{lH?%ABw=(zoUD-{8Yq!FSpz1d<4GWEi zVKEJ^d__!yE4vz8yVcxVd?FF|hI<u@jFw_*F*)eUw^56^3RkwmWjOb6#xkLnG{!Qa zTzO;c+^+1N+qGNa2chAfn1&Lg;US*aXmI7{Vj5i8)!^E#=0}i&pThhqmipU`mfui| zNtY{s7}M&?sdx*tn%5&H$H*t*mUgfGE))AA_`0!O*=RFqa^=yC9p2CDXH0XRvc{Mu zq$~3mIk)s&*-gD`x57uDVPWjt&ln9i#m?=@cbaqY4Yo2C@<kK!p;$;)e$IqsWAl0} z<X#i<_py+!{8tll0z!Ts3;DbW8BX=C$Cdd@n-wlaNRH)k^XP;Lc@cb4%$2*cm3kh6 z@}bbe9^+etzoVTse(a!FXy;(Wwp{~XXKFHD3fY3S`iy^y@du4f3%helt7Ipoead)* zA7h(gSdBYBIPp6|{E%%RE)JWGAd<U=xnDwFU{ijWJ1F2;>AGKHHeW!6kBMkplTP2; zA^G&Z9m3w;4&D|J39b@u0g-Y+@`VslpBlGsNL{_$#&vOnh8A}&$I=2xYw~fDma%Oo zuuH`Nw27?}EXFhkZe#Q;mY7lBC>Gi~k$mD}&CLBMgvO&2tXL|C=w_^!689pgyC(x} zyI~N9ppJjutig>rnz)k>N$YZs3}aMSJq=i$aD=BKZt)_-F>?#CvLwnkcIGjaUOaes z(Rk+5H)p`@h;#7weKX+?Yv|*r3!{Xmql&dA6{CrtxCtWMOS;Tc%)p2jJC5Kg;O3u- z=3pW&g?92v7_5^?%#ibQAajL5y!iY+6qm6@5U~#C8$cQ|AJ*20aHdJkr9=b<OXT}1 zY2hRU2>wc1IFb!QppurMFo@hFW1ezd<InAu#~6ZFg4M<zEa4m-E*pxh=aQiu(u$1Z z1ulm%LAu~lvSF~06R&Qrv5qBn80&biR88o{WW;KQarY9!GpC?YOtQ9zJU6Z`#7Aua zJ9qj=uk+K+hZiF5UrGy~A^~gSI{&0~e%hI0<H<D7@dR3mVFK2eb^fvI{Ivf+)SU@< z71jOz=ia$VE=h=C5rQP)l0<ew62c+|hzJ)5$i75C2|FqZvM6Fm0-^?MH6iR<&|0hF z62Q7r4T_2jZCt8C#iB(kU@chGi?RBD-!tzdm(>1h`}Fz$p5Ht<`JC_B=ggTibMMS~ z??Y}RJ&%S(($C#A#c*FKcpBa)+LVTKOQWA}fQF}GfAFnJQwTlF;M|7N&sQZ)Bt7h> z@Z9e1|5%rlU@upiy>_~UeqK*_29xXow<31JQaHwug(lAF1NzPT!iXdJ&ak^j^s@_? zk9)Eij((D2WRX^Wh41nTAN}T?PsEWN+dp+bCZVlM;oL(@|5I@2l<FdS-ZGKSp`~Ak zwz`OW#o=gD(o+EEHl6-eZX`YH!y@T_!;Pfp{jf;-b=<3qxYs&F)kXjAuDy%adQHjB zA)>zpT%1*8eUtG`$MR6o*Zz)eD;ee-u5lH<@fE(X9jhtgjyY|2`uZ){PIDbQthqI& zxjWEgbV*GSJ#4Z&3jU|&m*Ax1=tIT_irrzyWsAJIg(h$bkcXP#b&H|Yur?;FG6|=I z05%yahwM9!1bE$qJlMQtMP43St`|fsZ-Kb~m?7PSoC!H2yHd;aJG0ZcTzGGQLm8YI ze+wrKV|ty5a(p)C%YJb9-4jnGJzVMv!&}K`ECp~8(6Wb~FTEvjxB@&5Jf#>&_|kjE z#7tz*d~Nn*9A{v@4vgB}iHsKkUJ#rKbv(g|J*<D$pnrD5;bKS}g+r!sBo7}teMd1p zxj5IL`iEbkfxa@00yxdKv*Crh@Tf>MjefrKjt(QT&@_~BpopGPa46$I5zC<ATzkg4 z*B+D5Jv63f9wQz514ZaUv+)41!~a2*n6ZqYC@_0q2ORw*d%?EC1cEs*IG5vE+#CBh z#vJ6)gfm7a+y(D`6FPz5;KpUkQ_1=EC>&>-c4(h=(HY_M5P<6$aUUFZ;=W>fI5H7l zKS0ND*Q!nEXo7pm65Xu>n#L(5Y~Xc)8EYCet}Yckxp2HvlXKxPYU?k{Q#jh30d`}N z8DJ%5+)Uw!;#y>+<`UxtaM-(|N_LAg;PcrnPG5|>6JB0~FcgU=;A|{OGQW}Xf@An9 z>2anm#L(k(*<zpRGG3C{Om<lpIP4e?H7`6UbqC<|(YX3P%6AVx^Ra{8F^Q)T@59+v zp|_Hr4;;f+NzXCIh^(ZCujOMG>u*k`)Zd&Sa{!~lxj89xZcg~RJ&KL2q{q2And072 zp&WFt*?4n?2kIz#ERh0-HX1rp!C2y`=~Vpo6g{!ne+-9>#q_j*!@wiD!1*!jLY_P_ zrIJ=Z6S7btv<AXOQ?oqMNk!W^|0WZY%pRj2dYp4AKkS^^TFj1SUz+;q;n@LWYq5Kp zU`KeC+z*FgN^qVqNw6bk<H^EN>4o|`S>pJ8B&Sv{W-XGkitzhLjwJXCf20g=SQ(LF zWq8BNhzu*E?)2wrpsC+2mO%|p7PdA4t`S<h)|;D_+pdykZ#YbK1n(ZhV%?ib1T9qt zw|JJ72!|-IqG)mlFp3G4<;I4UwX8u|Or32qjwY1Rr&M7X3x6ad_Y)@zp^TCLn6aoq zM&6+63h3?-gBtW#*yYbn#h?Zm8Pp&n51lh%)LnBKM0sr7bQ3&?_-zOGFu2DQK@B^a z8TXouR}#wT_G(zhhP}#+4VucJ2D9Zx1T|<XgBqlI3PGR2g`MsUYLJmZ4SIt2R1GpR zs6p|ey0YqK69#Et-GLCcuMG!6SZep^hox?K^uMdCuI>h=z6EthjHw#5GZGU^JRHWG zJ0N%;!1)G0{BeM{hyB0l*zTKp)%*-ZjWIb!xj8tUDM!tZ<Y<oQ1e2q#ahx5QBNo@f zf2@LP1z9G;XtxT^p{k<#N2=gDerHOo%aMqzn2@nyf3$J7b2pyu&Ea^O<-oZc!xG^r zeZkY%-NM6|$K9BB1l$-no;dDC|6I7ma3x{k8{vN#jsy9ruyFc+3&-Kc0p)JtVMh`E zIUJ9zyL|^g4{977@8jK#hw(7n7jUQG_-nl(xbNY3)ZL9~K7@1A#37C&f=eg^a6Gs? z81BZj$Kc$un3hM_-MD0vb@C9iEFO8cEFM^Q;|&#;4-SOm;S1lwkCRy<Z1LN&pQs`G zzbhH*$jRcrt6<weLw5dx#Zw_w2yGzTn$@YsVxWep#+sum)!0K8RAbwu+tUJe8>z;o zLp;`AoK6|W#K4V|Y`iX=qNp2+^Pp#Xz+PMI&Ggu3F{*Db&auC<{O{VUAQk(amHr@< z9y>$nvDm&K&;Co%VtYN*EE{`MJ@yf3uio$3ZXbqv=>4Ab{)4aTd{q*xFJa~QxAgDa zzjNw%J3f6<mkj%Lq&?vAw)2e3vR}klU6eD%UYggl`>Kl0W0u*=X4^5d_ukWa%%fd0 zuA~(^ySx3f;+6JSR$xSieJk`KpDN0;_j#dhxjbOM?WLM)w*3e+Ob>hW9_*hqY|b3} zepr9>2JEHzmvtUC$Ntswd?XAE=u1J*W;yLT^~vhp4#J!neTFAzr6l(2*Tr7HJl#Iw zP0z4jMeCmQ1njj4_%-_Ob?nivJ$d#^o@eaamY3P9?3MO+g?aYvcKWcPKTn&UIc(_Q zv`+nRS<$U)w;*ED?NU444nb41+zw>fjq;}2;{$fPK$jk^?Mdl&=K$m_Gw91mw;w6a z>z_0n&C9b7dDFXQbjj$_?-F})!2Sw*xFpcEtNp4cr<1+DD5rD(Zr!@se}-^qg(v;8 zwr$(OAAmp5AO55;+rB)fZ{K0)k^wf<PwnGY-et^Ljsy5t3vB|ATLG5V83#Ju{)?5a zXar*jFd2K)o(5sy?MWOYPZZ}vTf^fyS4q<dGIpOj#8GDKK6SX|$Y7o;2&v(UxETvA z3PASEb`&1FXMv99rje<1q$1B%oP<gxwH=M9=TA|etUot?k~}6`3Q_2JJfEDs$a17W zxg!It|C(0k;%N&gOZp#4i%Rygu3xCCS$`bP3BJB(cc*JJdxGrnX^Usfh2rV5S&MI4 zFiWziMsCwp<aCe6If9(^@||^pou<aRs<k7t=gpsXuAr*XW_3kGjl?KI!o^$vPpNY9 z(QLRTCqJvX8!s>e;?h<%y48PM)a{X_5vzT7*gx~$<+&uzdCKtb=yEQcH7^}fp^MXh z{M1Qj{LER?m&}=Z)pfJyTk=nvK7HY=8^Yx~=gprHZcbY`?YcR$7KI1>W5~R#uAAkS zxM=Z0D}BcNYp<P!L6#1&)bweK7cabO`jW-xmNFY^>a*OQ_}6TWB)C>t?ln5QK^EsG z3u{%B&b>Ejn2u#|YY*p#`&a4sP^DqLTyO4fxW_PC7yQS=_PVW0H>doT2w@sJ*2mjb zw+-+b0sJvx<+*Wuo)TVOHp=5G4=j&;;FiZ5dp8ff7S|^F!UA0Hbq&&uh=<M{oV&T{ zu0}w3z59DuRxZ|Yv0jF|_43;zHxIm4Wx%rVSGT!wHz6dvJl|m4*ddJN;f;y9^2*?M z^T2C8AHa9(VF9l9PQ-<m*Bs>);NY`7KG1jDcQ5>I9(b)eDd-#bV28zRhd;c$#Y5|l z8z0ZQ<vprA86dY^7vkJ?2OVtIMfbGmru$p_AJ_4G0qM}31%TORVq&=1H9)uR?}qsq zy#wiT=+VaZaeE6cd_M}YAMprdzchz)_XCHrZp_1LeSq@*M2|MNUNUU=tOvSc93YVI zr!<9Qc`ToGhIOm;SvvX!VeaOZ=h`mA!~YvPo><}%wz<9H1le`7hHLXotl#imZM^}X zZANB&kZnd*xXqDKzu8#u$q#z$4`*YK*Kf8t0^v4$uzsV?XB(L86J8Uj<B8L*ZZqZn z=(PS%#68{nrE~60@Bs=XM&mIz=Z}9!_mguQ_0YPDeZ)(|pg2~XBu*9QiPww#dX44X zB9@8k#0SMH@k#MH@g<R8J+mC1Bjm^8SK@ahKkT7nNzRMZcZ(yDo)1ZAC&_LvyNm3e zvM-iBNOqp=d~v$M=g7WZ_6@R2WZx?LZrN*PZ<qZG*?b3&?b<DSuWY{7$ME;XPeneS zVYmk;EE!2+|KeqvHy&Xd9Wli7r9P%J`e6v?i+Z$;UKn_}>?=vcn{^)uzgG5*iZ|;# z5N`Cq!1WBrq;7P<knbU-drbLUz0l`n8+|aOdtdoJQuya2$~!IF=zzgCdZ9=kiwTGE zO-a<(nnZXzg?E<SQ?}9jLcIA9k9@-!j(p>lu0ZK#$zC9PiR=~PT_ncQ8gYxl?<Z02 zPT5b$HhNyD_W*6wXY{*Zf1vn}l%8LvnEjRgcQG2%80+JgDr8F%`BO;L*Iu^y<{Ne| z*#i_mghamKqR|aS{sP6%B4P9SKg(MpdxdxxiFR+0eJ_c0zmmOM_LH)Wz81=>p^bXq z5sjV}!i|m=^8ZEY`Q;7E`@8ID<Y9ey8^^ROnM8c5>~z`PW%n0{lRTcX`4t`W&62%9 z_7d4f4-4(Ni#FUEaf{;bCy{@r>?dSDEBj^HZ;)^`;vo{{9wU+8=!U{Jx>vBzD&B_) znDNmh_m@PvWQC{7=F22Z*IjmhaX88Sm0dt0-7MJ)Wb=6!(-kZH4u#(>+q}nsd^?ox zL4`lAbVjcV?b<Kf=v1M+x0UWgh5t!5AAqqPXGo;`yTbjrrZK!RiSqbZjQz&vUbMN< z#xO996?xrbIPW<~USr9wVy4(z<b4t2FBJ!iqs8&!6tO^DDDrVS^Yh-8Tp^Z<y!T{y zg}6bi6n`P^7M~D*BkmJl7k?+3>leyBEc<iul=zKUD|$KKBYmW3u4k~D%5Ei^>l(t- zWOop|iQPrM>CN&6iz7u>XLGXbsp4$$YH^`xu6HPhuZpw0JH&Evo#?K=+#&l{qN}@U zu6xLDUY!T|QZLJUQFPZ|*2q329ubd;pNW4J|0Wv!Y?NoNlVD?BXTT;Rf5ew|vUs7` zQOpqCb(ZG(iS(B!e26$)94i`~ZKN~TRdBk(uMy{qH;BtbzFg1t+$}cL-89!%#P3o3 zUa?wyL#z>vJ~z@Ik^QN7Li}3%R<wCNLV8z!vzhFcVnF1>3Z~=3MzXg!P#hxWi&I2% z-9`GVWSi?Q?3-lsoi3Jlo5<A#wC@#ni{`qE@E2siEb`$6)AOMQ*-$t0gu=fNzZJh1 zBYAy7KKFXe2OPBdT$D7|W6;&hOjo$ME+f33Y;#?PohN&Q$mJ0%=W218SR~#dn(Hyr znd>pQMd9Xp411^S$Hk|_ed0^vo8s?9K1^Z#AB$gzUy8Nj4`K|`GW~fXAFj}L^)4@z z-BILv55^A?&2<|7%VnGEH2hb|Zm3(ychi}_T;#8o(%vq*y55h<Zm3iFio*GJI`h3F zzAu{VHT?WRR>t!K5^1i}AlIbOZY2i9G||<g>?XUPI6xdKUM7wc^F^*}VfojH3q^DN zMtDOVN`4Q-^lL?P{f2#??49Cc;uGRC;!7gmPiHwb;ydCI@guRJ?&Mj8o9jFB`*b}w z*Lm2@WVaNP#T2ol*j3CH&HVuK=gJ-^4iiU;T<gPjPZzHeuN9YwH;F67+r$cSgJ^Wb zQQp0>9~F0tPm4xZ9O+(`{hHWNXYyl(e=0WAnfy-S{6diX!Np4C`65><(M}g#J;^?@ z`D2-k4~njS<Yd{?#o6Mu;`QQEu~584yj?64*NR)k9pZ!HBO-r<lkIW!B=^aFNqj@B z5#JO4Abu=<DssIO%j0hslU&6_HWs-ii*`Wd?;F#0^&`8>?j`mU2Z+POk>Uh#k~mGA zC0-{k6mJrji>t&^@oup~+$!!69~2)EpAerBUld;ve<!{z9u{4l%1>k;7f*?2#9HwO zF)G^WuNbkZ*g|Y0rixv}4DlkduQ*T~B90Wtic`b_@hb6J@dk03xI(;D<f1zs-;H9W z_zQ8T_?Y;F_>B0X_=;E~z9arY{G<36@wE7ZXyY>t+tEyHDJF|4VrQ|N*i&@%Ixm$y zSR5se6R!}biLRdKJlTuH8%3@|WV`MZ*NPj(9pZhWtN&Rg`zdj+$fb-duSR@d{6IV= zekPt0&xo#GDAz->9Ik*Qn~PilNxQws^^COph(pE8#BpN2I7hrj<oZYEzfD{xZW4Eh z_lbMNCq=G`Wd66rL*fzfbMchORg+8~BQ_OVh!=_-MXse}`hg<XQqrC%a+M_Qt3|Go zq`h3^`bXMjB3Cxj{)NbujkKQ-e<SV_Ys7a%u6$(rzlvXr--?a!$|>U;i(CsyyMx$J zH<T+K89zegN=Mq$M6O|^y;$TLM%u1EC|4)a-YjzMA?-&*u05n(Epi<o?YBj)Dy03X z=<0u-mCaR#jBhTcitR<NGi3Y#k?RU+yZWB4p66VJb8R5wZx*>WkoH|7R|L|2MC6J< z+SMZ00n&E$IJpjx_Lm}8|Iv;Y8|rLw%^%|jh`1g5uj*`N=z9h}J7ql$zh4=m@ZsVp zahzBn&J^d0^TefMp;#iW63fK3;zn_+_<;DZSS3C#J|*rI4~TDwHR3zsQSq4gnfSSQ zN<1Tm#P3AEzE_A9<HhEpdCq`-Oq3lE8-6cio;$F=6`v#KiUY+V;&9PChd{n5vJ1qS z;#_f_xIkPimWZpwQt?i4qv(Eb^R4!~If*fwAiG;u&x{^{`Yp42&#YcOvNL-34g?&1 zC+B}=Mn=z`Jp+Nv-n}l$GRX^~iw6x4dOWB|n+K~a>Mblb>1ZPULC2}0sx%l^5w|tb z=s4YQOGGekV{Gd-t(pWqDYy9}H~7~?t+!4szoq=Fm65zPwWR#4CosJvBUpacTX26# z^7itxcE;f3-oYs7T=WV?C;hF&->YCx;jT4Ee{sp0v!2{S{Pz|-hyQklFRK>up5Co% z5TBdF_<|zFXFSXJ-0$$;SI}?8nzIoZH{*X~?q2+lD)480zaqYuxA&jkjH*oD>^XV6 z$9wXXsJM;sr))c-qD@V7@V5yEE^T_&)4F@Y?g3C(Y7N~bU$dGnyAT#*LSkZTnguTk zHaqJXM%^XUY)wjB3gxBU1HODSt}<y;6!e6wKwgkqRY}mQ+Gu%C4)qMIS$Ebdh$~rl z){`*||Gl|)mJCi_hkfb8eU9vs^m+-jIHIQSDcZHx?DJZ)PixIStvzcO__Dv}KKE%| z^W*W~m#jVO%eZ*O+OrV_Mfe|?@htvhpR>(A_xaPC5hqI`JSVF?)No3H($i;`axcDU z6&>u6uxCID_68~=R<oK8yBO|-#MUGR<Acr5dL{>;oK$hvGipZS_>z^0d$6~jlY>1_ zPJ+%<6ZBv1sr;33K~EqU@?ShBIT-Tg_<Qac5Ou<eYEjdFmzUu=ZfN70te|UqGX@~X zjsZ|}%JH7|N1jHx`#n(9ikexo?{B#=&kpMF{If=9>RISaUAZzQh%Zu8peZ#Bx?nw* zCQlj^dBPi+1f{8-RGJ!wU*YXNX;6}*G?fM2r(xdSQ=l}(u&>^pI_RRB*H1=875Zme zzCE6k!#tjp8OhMOiCa71l92Btj<+3mD0P=N#j*W|VuIc*Xi%Np7xEo#m9%!i*Y7_$ z;N_E8v2`*SVYH^&MpA3adm%<k{;msl1(WHEME{~St*<KCmgwyldNXdD|0JdcD4AhI zcn*egOPZ8hr+Tf3+UDPyyve^kY0C*~j@5Y0oeBQUF&mz06}hcRWpvqX2~ggOId83R zgLhr*`lyYEgRPI_Y=>&trWMKCP+FhpQ=X{tA%AP^L2OE`=hd`Y&x`v{c)cmLO<uS% zI3eVZsXpP0wbxtiy3{tAHa_H=msT6ODNq~Py-RJ26zCEiy%K6?`%hT@4z(?s+z|Bl z^!Iq?v~}LRny5V}w=hr}wJEJOs(XjpmMJJLmZf#6ZP^kfC892r<UvVI5NAq>ORsHm zy;FuKI<MwnD6Q(9pvu{ZaxO+qR-m?J0A+P~;e@YI$J&-nP*zS_t-UIx)_$%dM0rtG z;VUP+Xi>9f4+UdS|B!Pq<g1<p?X{HJhym?uBTjaxJ>T!_Ta+)Y_WaCAA>R~)cMQ}< z?18;ft%+)1dwvs7rN8Hsr#-RJP`Y{g%_C^hkx=&0^ESqvjERoe{t(VND}8Xy;^gK~ zlX@c9C*<GTClooa4@%r|+8f)hW>qj$x;JE%JajrTs&%b@Q$lTIN<wW!_cq_&R+~_3 zHF<RR{lSP})1!MsUhD6rEo!YzvB(!+8=Vqg>+2q08_~qu%YXU@=u6#Ed;e~x`mWU5 z>$%e&e>_TR^X;wQGJR|0L)z|7>_)C8@4xBY>aRQ!>QePqlRq@^{w*rP#*br+JaN30 zZ%d=UMKy}vyeedseKJ0Fn=cdtUFiH|&)=dV?;qD?AeFnkYkg(KXFhv0a#NG-Nt+{U zBbs|pz8n#`Hfde__7<n?m_;>lm2umWx1d+6ilMo7dmPn;?2~a8)TXMu(EEu$YOjqb z^Fke}pyrBTGWDBc&I@LTe669}l#>nRre(?TwVu=Q!Dh9Q&7ghc_4V*&FW9qe7g81? z-8`y6Azhc27-@|2^lnn??VeQAF_;oWnypB4C)A)`&w?Tp(mu>GsRor5@(yoR>+gO+ zZDh~H+Nc@lqYoP=HBa*P{s_k|{%FMJn3Hjl_Qr%=nWarjS>}-@ky|6M_ns~OZS5A! zY;|RF%xP~-<XX@A?Qb?S8c;F8D}%|KkNw#bjDdPs@<w}YOfWjQI{3q<R{Pn>J%W*# z_0B69S#o#D?>Gm0t@Qq9e)CB0T`fx{mTV|_uf(6V1e#5cKjPXgS4>>7Va0nZpr6$D z%$vXZBp7tGlRUwU;N(_u>qnmYAqUsIiXFl8g9k%_>ZtX_XKr~ox$?DR&*ID~KC}Ii z#!yds_LJxN{hhg-`aFLFJ%7TLmM=o_L3sG)<*GfqV>ZHUPvi^({}$d2Tt<Hn^WPis zAVO?!)CfjY&_8lEqkR##0N#BJ8MV}eMDdo!_DAqZob8X|4TO}EY+uwLog{p^WPAJ{ znv_01W3zoezB*z1ee;0`K92ES>ER7QL^(^C;Tb^x9z^=)dM3jk>EpX1k-pdPKPn07 zy>VX8wJ_{VB=XN+kjW4Rz@HW7@9z2=1(_?}q_LvR6P^|-g11dh5iP=ssPm%;$L|!8 z;1m(<>5bYX-o!6Dxp{ttBN4;fQqQ=L@xLov%+n~|GoEeZbI6#t@Xs@Wv3!ge!{4s+ zOk^yd0><9S*nGx9yeVcrt;>1)%3HtKn`lllceCaG#?zQ{3X?tuU*k+K%qtlA8hnlU z8*-j2Y4R~_V`^o2rZO>qJhU<I5IqGn`RqQ9+D+4#mcLBZ7_>ao`4E#|<Tr+sxrZjc zJCS*UCT<sHw#B~3LCt9fcZOeu$6X`ib{LI2Gogu_hTe)ZT23>0Z29Xvjo)O0W-(`b zm~l(9EzfKo?9q(meY<B4BX2d45PF)+=EdRruQ+N;8Ec@ipe<#rWwa;>WvoYGo%aQ@ ztkN0b$?@<hRXlIy`88X7EIfawhj*z-*vTdxki2OctQ_vLll@NQ83n`B*K?nno!^d` z>@(<L_FI^pCy2>@ugTtQ2W&p5YR<Q|JeQc!`)fosf4|;7K$~v`DgMvQdLD=PTI76+ z2?u+UaKz&I2saX6&&2cD?gjMlp)bp8aums$^3^4NJ%ul~_-SS$@;DWbFcn{hD5qk_ zE}(5HcI?H>YAWV#W}pO&bS~F76;~kERQxM?STW0M!jCPc;#Zj4>99wU-3+#T51&q% z{yxlH?C-zO!wzd4i|h|DJNvr}EM@1Dz#0U3CV99T$Yjq&w8=h}9%f&}>>)Qhe{DvM z=L5t5PlPF+vCPh1+rnJzwMXe;<N0+_6F%cLjsM7GZ^lK<d`{)`+BDN^Um?orHOKbj zRB?LEu@hlCy~Zb%8(Hye4__)V6=xvURD3BtteE9Bsdg)#!Q57}&tQL9SMi;uV*U_K zBQs7M8}ApQf&`paPV??Esrd6WPAbQ?nk66=A6_}B?l7tNqcu({$F`bfAl1yeRAnX= zf6B&5<=9p;KJ4WSCr(-WOsW^_QaQGD{sq`APJ%5UR&*2o8?mAmj#yDk4_{#OQLHH8 zHSB7_XZ&|9_xhiR6@@Dl+4agrKSiv_kq>GgJG5CK##JfuyNW`M!sUgc>%@Z^DjVUs zXq}W1_6cjx>P(zyu4GY6y<`z9ka=DbREoxU#z*GITpqy&U`1P|5lCu6%_9u9Y}w6R z4W#Dvt@0wl`Sn^yEyA>pTGlmJT1R+V`!BVQc!7e}5x@AT-xA=(dS;@F@s1}fB$cEQ ze6esyL$ovA(FBY8N7<ufQ^;r{9fQSV<?{h0%Y&Clgu_zzAtZ}naM%`r9}C+Fr#+*? z+XJB^WN{iXCcF{<Q~~J%Q{Fft$9P8(EQO6ABTXYl6OQ~)KGDg9jw0Aflb9K`arq^} ze0)l6o;br#^69O|noO*Rv#pzpD(T@{SqSGtT?)#;%WhH0m=m21Uu{YwX>1z2P6tdR zSRWSCKTu51QdDGC;?uJXF49_T-Dss$(q97Shn1PO7$LOogo|-Qn2Z5@J+83{grY!X z3WFJRFB}%^65KUY3q8)xp#J)u8OMF%&X{)5!(B2t=wa8I7<%I1pb$VLz@g_1odY@6 zJ&;avWaVc10uu>)nHPkCa99sc42QF=>mw`anc#$10UtQt?|=a3U28N&(4TX>(dalX zz_qO<MU{LRv=9zow-CI(;gD40K%9Vsx(UHICTwfj(#r4Q;ks6YrvTZGmly(PTM)+J zvH#YDPv(REA~?t(gdJ|Te^4WPhhh|HA8`N<d*iL7hi~J-@Kw^oNBCwx={XFC9PCXF zN_LAoI2o*sy~cYJMEgmeTShC3p6PICRW<N|@lGUoM`ZRP4ZWao?Ppi;%@LgT1bYC> z*~3P=pS!utv}sIOn{aF9Z2T0!NkwyubM(d&K{y-)yON$!aF9UY(cABMiFe^_Yf*8- zv)wr<`8mUEtRn!Y`GWh4t{}$2q37&MdZswx)xbNBm*8~=qB%|-VKbalM_q@qQ+UAG zp~zu2b`oy_y;epg$r}_%h!IB>?2ZQ};kdvl%C>PpIHlFEdS_`Hm5qD`4tqp=reJsQ zur#&79H}zJlf2ErDMlPq@ITA;kmAYL;LtGQjDmkGTXR&)In>oGqTiXG#_{O$3`P$P zaU17OWNdI60(0Ro@`$ByIME+2u7>AjI0*j?q3{p=yrIWV5J%yV?!MwQ42F4d7)cx^ zkHb;l2s!Bet%;e)a~>@~%uQ52qBj7Csf<%VzG9GvUcS<fbD0N%zrsp^0<_<`FlAJd z&LEgje}YX!@n-9ZP8#6!8KLuK968QR22ePnXBAwX+z}0)JJ<!H3eJ@~qW`xhYBIrz z1AD!;m>#|ogfgJo0=Xj^o8iKy6_e0CS}=ALyREG6|4efZIg>8@+ITp5iLO&XqQT=C zg`Uk!Lv`?*jc1c{0*yTiFV7kb7oNw?sXLYjfahzN%mZgvzRri;CE_u8pmjLn@bL9< z?1K9ixd-n}vNuc*j3d~22;~XX3A>Ao8;jj36~@+Lo<rPeG=r!z-cbY(sHq5zG#eYj z4^23o2=hw5b@NhYd%|QJLpUeoG7ikTTzuOQdqhAY9>eM8LgsqZ<QhjPSD~AW74Y;$ zUA&y|<{vXA!P60UIaE^M%Y|V#JSB)(1?RPvEG2nDMqr|e?43w&jT2Q!zVG-KyVWtd zS#l6ICAdYPXPBMQ=ng*`>uih<>kcRT&2{@7HkG+K7<3daeBxmki&rg-KBpGOhjpKm z{pPxUDnqp9Dz}!fu5*K!Dy)!WFnJ8Zqr>(@(RF*mC?~9bzGPTfvl)F(v%{udx0d^m z<`i6*kPCx8heKoPYGYVfTNPc`R=1S!K4e%}V_3;KjbYqtaOh*VG5ip%3r%=X4T3Rz z%oqtd{<<;3EMX0EqU$@6rG00z)b|p@8XO%4h1cR_SyER^eKGZ2!Xhkl-qaV(FkRc+ z9biy+(N327q8ZP=2|EBR!PlT<o@5QqEbg{@;<~kkh1DH9W^K^X3<_@)&s+3x-TpE; zr?l|n$s6%PGjY}3obX<p^FAg)w*d=bI+uaV-JntgZ8fPTxIwq!eC1@1S<($kga_9w zY^YZoKRdYFDm00w9nWZ<Z)r%@0gmq;Uss>S%r!qH9n+MltYaX{>Y}n1hL!hI)3Gd` ze=H*-EI-3|-mwne>o6`Gj&<DdAEe{e=3cnZ;W%!3ql_FlzI$C1R-SwMG0hn`rpZ;B zmH$CH_uS+e#?xaU$`}H78yw&BcDJ9J&OHlR77sbg8m_YVzPP*n)O7Bt$Fg|xu&hyV zENcy%yZzL3Jd=2u_>ngjZX8@b9KRgg4Cij{sl+tR;h5%1IHuVS=WahW-4vWDSHcy* z&4hEe@UXdI;q=dgyAF;gpSzX7zY>n;C(l54<4soy+)6l}hT&WI`8E@6_gX(7`X>qp z{eyJR|E`kIj|m6$a&&`c%wITb>Wpi%r!Knc+L`HdIqlR*3E_xy@$t3O7SDBJaJOQn zCHt@iIh@3Fdu9N-LH+I6^i)dKKp>_~z@CQx>HUWf@88D0F~{Bs-Jn0>tD$=!C-ZwN zklC+aKl@&Y&HND(Ght!f?3)5V5@k1EIT_kC0f-T$Uz8Ti?3~sqwQZYjUHf*jW72PJ z4-KClsr~v5w{J|hSE8a)PrwdA4Ci|*-BffIB02S8rgFEU22pnN+5PR4klI=A33NKQ zqE>nK@1bw>sH<=E5>$bngTB!VsOUK;@HqNLFF@buIp`Zf7s==wH8uK1yO8!{k3Zku zj>I2&AOf@<A;&%WKCk_*C*9|<A1j&-{~_bADoW4o1gpjqK+JPRIq*N@Ngo4ae^L5n zu%0&QwiM-$hJgYYg<M&%-Y`+m6{Vk(DKjin-nC99Q)?dlb+!JK{BNP*qnPX8tu`I| zTxPF>IMYdpH2u;MX}Z>aXZdXVVQ*fN{T|en9`r(bXc+a5vNDr~L51iY1md+1s5WK7 z&uoG2voefmQ`107N|%)6j867OjHAyiC_XKNjM6Je^{f}3H5e{mV066*;iun0t?4`5 zh5UN?cKi3<1NKgYz2{B8d}5c>85zTd4oU6Y&!{yGMohZ>KHByGiuuT!PPHcciah(m zKyr#bIbe4RbR^Q#?J0To&x>c<OVZo4u`f^WOwlF#cR0E`CC23V>&2L4+uJZcZ_KkB zK_x5CUKEh(R^xPND&^QqW_xYODmBo^F(NwuMB+zUNK>bd88r4sPj3q%Jx2bgz5vSh zEV^pWb+cv$jP{Q!43t?X5tQX93Nhh=fs0&KrvFH;$dQ0@^pk!}x=2z;|21hPvzzCr zAUWzq=L8vjsX7@XlSMmcG>VMMl*Ejn`!sykb?il>PgPfpqnb2#{=&rpc9SDk<*F#v z$t0OQ&a%!?Q!+V!vUnBe=X0bVrcNDu+3-sSTG#h-w4HiO+Q~V3SrBzH@%h%mS&Np; z!|m(fOE2vc=)evhH-G-TMS<D#7X~2Z6Bu;u^jR}!Vi1g4IDf{hMT_PyT+}g;o!%?G zdmt+#Gb=MIqgS8<l!j(aTQsX<U^23Fo24vWv#0jzIvv_UGv)>$Ids*ctL9%9$h;`K zdq$5dtV{af0y%BgwCPt_o(y;+E=4EJTH5upY%8*H)Mbsl(UDDk%{}LPTG|PoR-Owy zt?filGTyl2H$HsL{2Yy?G}!LOYw<tPSXyBHxAc{Ih07!TpOja!TBF0*m+q}hw%dWC z^O@rRkG@j){gZp^$8VhQxV>(xPUo`=N8g5N=xC3G<9@i?JRJWQ-JL?98#fI8@bV6z zyp6cOYl(0scgy1&2W}pCt#0_fjL%}-&GquJr0ZwgLcHkkE*^Kfn;Ul(0>bMpKu4D$ zE$d~tTQ9#8aPz=x4N0=B06p5=IDR}0FYnZ)_{fPcmdD5HZh3dZ@8*Hmnv3!l;4aPG z+_;}1AiO+lAnyOwFWeosynEnx^RSHf11OV`+T6Hpu*1t6HMIV?VYlnbdjx*w;n~kE z_d>iS;0`+2jH7!>bkqI3{g3M_?L#{3-U7gE-y_|{t^u+vZoh|f{j_sB;Ikk-+T2}w z6L$E1<YPbFer}F9w_lpTAJ(nbVwCr>$|Bsl$gthBa=T)m5txARrf@8e<+IN4@=7pH za}nllZh5ZlGCcghp|8Yt)o*UEI3fQ(^p!Y2u|J%RJzl^46n!OrYt264+(Lb&?)BN7 za(_f$iPup)8cmz|<9A8tTw+_G@c%?xsT11a#fjBR>?d9-juIz|Q^c#p>%^PImEvk~ zgScJ%rTDP8M|?(nUVL4APyDNRA@-B)%@XH|H;Btg%oi&}qj`iue7E8^k+6;C5z66P zJhYAG5$q>r?^XJjWxp=_koYHse<u5DktY?)<EKrw^E}zjWgATg#HY&cs`xC~=C`sD zpQrGV3cp;o(QZJwb7eQwL^8jHjriLXUm@-ge@P<VXf~i8K5}MzUsU)(*?$m^D%@x@ zAYDk|k(d;iueq2a8to(We>d8=0Q6V*5OK6PLGe>%Uri$4bqX(1`0XOUmt%eF6wmL^ zX#Y|+zpvtcJ+1KPWWOr=x3Uk({u7D%{!F5s-zc0DAIs%$0+Mkg;#<m2mi@1^j|MXx z+A~J^_~R0+uR!@1DEuao-{rBs+ZA6X+tpa8RQO{Ge@Zmk3dr}e;`u9pEaxzZ`aV?n z7YhGI#KRktE`r4V#mR0(!X+uZtHOJVM)L^e4^;eR3g@#hmU9J({pR;sY{#{-`L#1` zqpg5=ewRg?Z*s7{^(6A&ukgpjr^MeV{$<$*Wxq?JypI(AsqDWg{yW)GxT#`&u_V&B zB2iz8?5>K>l5Mn(5I;orSj8L71mrhbM~J^p@i!@+-^;Q5pOL8B)ilENWo&f6AwM%P zp4SwT*EiC9e-3t)ohkC3fbluvrQ%?b4__G1FZD??PlE-r=ZM#c%f%I9sd%SYA)5Ic z<(T;!yjS55iI0lE5%-A)#5csZMKhnHoR4IGB7QEO5*um^HRk+^d`(2Y2unLrOcmRU z-Nf!<AF-b}NE|Bi5i!dfC(abj^#$PzWb@@~rYjUn#M?!_AI<o+;zqGj{Dt_C_^8Nt zqM7dn@m2Al_>TC#_>uUD_=WhT_`T?A4e?b*mT#^@;DxeXO(ApLL3pmh2a86N58-?_ zf%*AT0y#~bCC(H19xCH+5|@kSdW7&hWSi>}><zNFi}#8TiI0lE7M~Vh6MrkdD;^e& zmLJOhMD`aVfAfd!J1hQO^l|=2xL-U^bhU;qkew{H7v1Y!mh7HlU-1&rT>nu1aM?!t z5BBA<3&fe?HR616saPo9BAV+X%DGGS8gY}jP2_VV?%xCAW8xlhuUIXb>n744l>M%F zSTx#!i2p?PU&Pa*xsD>9&u~~TpR17ZVk?nvhci4)>>zd%yNi78#dNtMpEJ?s^C5Dq z$T!Dn^VtnKUF7pc+SiFjYY?`%{(@X)z<B-+FS%CSD4Odp!ha$AVX;blQhZi?K{VH8 z<TKZ0@NI>kbA3j*(If;<D?TKCC)$W(yP`zAo@?ypVr#LD*iP&u8qGrZd&%x64iLGL zg5|r~NDVcSu2;O#Fod6vkeQy(r$}=>2l>J#?X@Dm)1X}`{zBy142C}`J}<r~z9#Zb zBc?OgdGN686XF-**CO9wV|tISzY$_%v5CmlA53?lXs-XTGiCP{bHst7xgS6}a~}Xs zQTSYOp14T7QRJEu*5}?ARLI^SZWr$r8)_&$t8lY+3gz&1OqR!&FG-_`2)-x#Ly>Qd zG5#;&X)z>zCr06ODbvM>O~n>sTk%4%i<lwy5-%1n6$gtWL~4z*9xkdOXNmltjP?R? zsaPo9Dy|mSi<`xJ#9xZL#9xbh#cJ_Y@t}BE{80QvJT87Iel2npkm)b6nb=a~vLMEH z7JG|1A{PoVe!MtEED-02*N6+n8$_-wV*b@)L(QaZ3cp8uKzvx-BR(lUC%z!QDjpQy z6%UJl68|iIA$}>I75^@B?GxMERBR<Ci5H3;#SAf9<Z3DAA0oQiNn>SC7N?4{#j8cG zuVVfp@m6uQSSGF&w}_P@S7b5&Zt)rMIq_BTp!j?7kjO<_%>NgWYqw~7urh=6i?Je? zbTPcG*g<r)khsW;@ws9{%_A=EV*DKOI&q=MHD8RsUA#+NBXSiO<L?)_REzf0BA0&A zenWg)d{5+3Fvg!0zZAa~xfqP`(IVG`(RQ_rE|lF-<l->K_Y=8-i}q-dE5c~c6uBad z_END}yhY@iFvf2XxipOSPI0&Rgvd2wjDKC^qA=PYid-8;`?Ppg{JY2{V~lSiCWwh5 z7m+bOL*%M4+5<%{9-}=`oGQ)`xu%TqH;P<UM*C+Xmyyw~6uFv=_HOYR@j0=fCecR< z|3u_kFy=oia%~yySdnYXXa_{DCZnA#a#0!W!Qx2K)h6QVGRDslxulHt%_0|%(Y{OM zGBMish+Ggx`*D#=zi7WA;<EQ|Y1X)()A`LW%W3#|y@SHLh#4XtubA`=KfmWHe4sc= z94F?B4L`@vRQOzRp14#j6idWaVySqiSSGF&cZm0i4~P$oPl<cQYH`1KKzu{25#JGy zipRvy#LvZ3;u$d{ekc0%d6w%i+5hokbFty~0Re^c0WH&Kh}mLqF-Oc5`MNmM4-vU; zhjzX=MJx~-eow&H|Czqw_XQ;iUnQ1`cZy|V!|x5YD*OTQVX;blTzpF0D^`p9#TxM) z@qO_F@u+xA{7n2@42j=~mixI`gnEG4?^v<n_YDDsr->cJE@Fn5E%p}O?<I!F9xjd& z8-6b_Md1bFOws*bVzKO{qWQiY$E!rP`Aq@XrLyl7H;P-u9pZiB1LDJCmH4<=E$$Z& zh;N8B;ydE|;s@eq;^*Qi@r-Ecb9ck<F=7>-ASQ|dF-^=6v&G(Gj@a<~i%|+6CpP^4 zB39$1InQ4_Xid<042`C&ivsoAztm{DC?hMwB>&eMO}?(E1dm>_4LYFC^R2GOPo4L1 z)usWXD}2GLw^g4izwN*U&;zn3t{uHCSm8?>v3A0m=E2chJei)puU#-{-Q+dClehBg z*HaT#1h-wfZsN9)r#${0r+tmA)Nv2*KW@!A81k=}8hki-AUGkoC3qy{zvqHewr3DD zUp}vb8coc>&}UUgj`!KwdG4r?uk-Na7i#UDlY?!8KB$FQT{3GH?n0_Y7gr!%8Ph=p zW+YR3E_$KXx3g`{q2TV|v((Qhi3-LAbAof{j)VS4@mwpVEV!@MT0J!Qz}#WMGU#}` z0?Xf{eUi7|$u|!k?~{52d8VROuLlQgzI4mD#E~b4MGRP*R4`)mfUTpqZAy4}KyL6- zsP7ytnR;Sv^ubW#J=Ur8+pP8<gsi~(A-vMO;Z4;1Oz_28@6Lmv=GY70&JRMqQSXO* zxse<8*G3>T5uuTTJ_z}(_e1`8?*_DJkiG86ac}Aep;)Ah&24o0hfJhR?HBY1J=o_h zP_l`S_MeV#WOcMoZ78uiW!9kAst%!6D6Ui`1=|D<qxOTLgsLe)^wyvVl;<0CIONMX z6!HZQp&o`tAv7}eaLAu~7&Yyy^`+VstoIP=^z>$pR|ca|)0SW()HNN)BWEA<hE}W! z9ty=TKn*C#%ILMh3Pc8{av$eJZ1CX-_)w-V7d0)2EPDl|Mxj(wPdsWaVCjcL@g=te zU#|6}Mg_fSYpm64`~F%hwNJ1WLj9=`$l)7wDAYV~IMjT>3$;;d>%rq*>_x(;L!pG+ z{2*I+IFyK*5=VKl&wHVM^AhS~s{^LhfkqEP>m_I35wse4=R^k2!}v<PXXJ_KCb1_y z5&n}N>w(ig|Nc*dTPAHB_sH)t8ojkv*61z4HFjs~%V$?i-g-~a>N2}#V&%vat73a6 zStny-CRVa%FGNWLcLlei$E%+Tj(L=dEY_*CTPJM8k&nk1++w9dS1KnNEqk@rGiO?m zEr`YOdpbC6&-7hPVOQpde802Wy;>VP$8!<mpAIIYpZ!%22Qfw#ObPj}xfbK}_23%x zOVrM(2=x~{3+tuY$erGcCZON_r~Upz7!kgRW7t=J)yNY=J#7mjHysJ}-d7UzWt0w> zIk4)@;Figop?XsmTkFjTmK_OASutso|70UCM^NsHD<6FqdwwL8zc0GHEEv7<7Jov8 zb*lFYtNkX_Xaz8yGvYTqgR$(4<bfEo@jKDNQ5em+IU31l5SlXxEwnJ2<9nNtd=bW2 z?^KLpr2Mgwj8Pno(R|KGKB|$N8#a<pX(Ts6dGY@+lKUbw=bVvz5k^q&|6wH0tv(o9 zP;%}_ZXPz0n{0^3NRF=?$vI{u_eH6up8sJaH$P`2H$jg0b4Ie$*8glI&#gX!Rv$dx zTO+w(2S+kSu@9penoAwZpmr9)ne#i%oFN>Oemhgm%<1XNnX_YXau6y_5mv{{nk8oD z?2nl<guU&z6Z7I!cjk-;n>qbv=Ik3Rn2S++&dj+ZeCEvVrkS%JW=_9m&Lg3O^5`uX zjX3Lud0)k7x;**KfqtBIIE%`o%Ztyv^5}G`E1kDs@RndfaA0urpC@m7z(1wTI#qJZ z=&cjCjKIjvzvmu*e0QH`^u}HljXh(n5t~P@%P$XZ8;o&kdq!-;S-xvjxqWhg-D~p| zYda<!#CXQlrlNVP=#w#xCT{6de)-yip;6@n)?GRG?T}UCUvHm|je0xe-;s~D<?o8F zumbyVMh8k-Y}kuA$rH4LR=YohtlZXXvVs?^Z-d#UQR>0taj8d+Uyzzqh8Qbx{cD)B zT9xBirY5XEay$_;wiO?MFJTa7@zevD$q!;SJa0?H#<uI)RM=ZDL@x(Y|A4Qelh>!N zJ#svGP<3r|YRY=1I&wTEwYt_n2(j@fCl_Z{S=xHe$_Fuf9yy+t+J0Ts)`)HG)?+qF z?YOQp^0!0zUX<q#*qb`6f1x&-_MjujI}GYt&bD+ym<M(zPZ#(ad5#?Kf;Rd~kOSq% z?#Nt+nmq?lN5m$3bNBLYYkE|qug_SYwLTkrk&d>+vMm|=aJ4vcJOeH9fmzr)_JtR% z%1+&fHlu}uqPM<=c8z)DrQ=rWEGTEi$Kbq<jo4yuirPGOWAwV>GZP=<QE9Pl<n~dR zH3KD&wK{;g)jIWQ$@oxY)n#Q7+oCrPTX!ThYF}Pi-XqP*o0i3v4KKr-?7=*EapN^F z*7~srO;@94kALIvhpKDs)w7dP_v$0ZV+Xx;9FH7uMC?JYBOFRxwr~5Gii5{n42lWn zBt>m%xp_!%e9%g_PCZ&OW;;1(W%QQ!LcSeQ8|})L7-OSW4BODGEUzN(fyQf^R>W2e zuc*PkV3v#bZ$B8yF5g$n<Ir^V@P|;>oR?}ntFgy(&>Gf{Rz=R~9rRWD*S(GyUv^RQ z6`Yl0?M=S*dBL}X3u}&W?<>aad=ZRZH!Ikp5@*vj&B|M>Z@k88*J5+i_4h&#a^_rr zB~<7x_O4q26);@;JaIVEP0`1GPxQvfit1YLpvbMU>qiEwf~eQCAJ<*%<(x*_F=DEt zw=_fjUQe%J<MM%sZ|dnAd;@)Q@OU(iT<oBho8zF?7N~l?Hr5k~v&LUlT^l>7Jec>; z3&*WNF&hU4FT)%kJE%pOZ_BXF9fFbDqStN1(e%XzaaHyCa2{9r*6nz+@mFh?y@vf5 z^Voa%Km1`HS=`I5Zh^DOzv4*fGt5+p<<OAZb%oVy%Yl$JYN$2F%C+9WsEj%3j~)_g z6lfESJsIO49%_V{YbNe2{P)E7nlq>uRO3D?DL&Kr(ctzeTPJRcsrAje-@0OJaGk%^ z<Zb7lvZJk2H?A06Ig#gW`RHx9mhCzidSJ&h{&s7wPmDYn?QOTcO;WZe>g39(;O4}& zjZXUf5m1$kIl01<T+v~}8^H_K_;5E^Qj$<^wGYhY_-?-8so>MtZ)^3z&^Vl<%~NL~ z+-D8kP>p+xK@|gczKxN<tJ*K;=Hj{*k$Oo*?n6gH8_Rf)c62VrLn`mmUcy=5IM|PO zX`U@XpL=b?z3;jb&bPVSd5_k7eJkCe4P4J7Y<tcxXPq3^xO2j_#b;IHTvELV^W#p- zpMh(y=aTZ=2j4_(yl29lRJ^AzXPPbMK8<&21(^FwtJBs#%zLx~_Z}^Jef>S!`5T&> zJw#2o7xRr8ScZEE*2Ph6uA!)F0m>f5yENXv-4cw{U0U>3-j8uFvv8-jA9rf!<NDUz zT;F(?hCLWGXx%{GN1>zy+?gfdJ~43=OTk@Q?j+r%@y?BH4n#LP&3iQVC0e}|V{i`d z&=%}S-sC$Ojce49nsK3M+|{P-8lG&m9a0|H*!Zimn+{>5;W~9OdStcpIpB57Bw00^ zcOAiTMe1@Khp)<R9#Vm`H-NKj<R3UYWZ?6_YR^Tl2a{^h(mAC;U-0)h|M_X42D7b| zGBwx&p9rGo^b0;VcTI3l(BC7F^wepuzdP>WqIUlI%^_xXOW1nB_7RmGwzW;d70o`? z>cIgC<=qP|fKuXnj|MR+AJ`F98{KnqWpF(d5gYyR&5&nHldBUZKr3+K8hldn%s*v& zgXJUEjNUY1>*$Ik=)2B+8gs+y#$VmHtVIRRs@2i!{`$$~2ygvtWN_lfTRu$>jy!QI zN=kk9?cgkg|MD5@`7QjMYCf0wJotQNdHBKvUw&=4c|DQlI|q+Hh$yc&;t76|^hR9^ z%kE46Nb_BpFM_W~*yekVQPY{!7sZ#Q?Ga3K<6?&RBPP)BN3{kd^oL||4D>~rS3o@e zWhRO5x26c+r;c?Ph{$Deb3KEA2)=J<U+cLF{zxBRT8#3f;lF1z!tu5pzE$Mr@#Cul z%QNP6{O5;Je=(vxV<*CU2Tgn#45>ZFK1uT}#*Sy~_i&9$@Xs@Wu@KXX<{KQId|H>l z7u}oY<uv&fQZ(Ns_DrJr3e1=VOD)f2TKwD|Lw&p{v|`bm=n!*WL6bj#7PDx{wU*~f z?!whDqiq}&$83a064CxT^D&x@>rDR00{+~@U%?-<Gy@`iENMp!EvVNS>wRNE=gwG} zv5bSxov{|sn&IZ#OA8u&1?+<?bYcuN_>3_fMl@e$cFc?L6+-m5I`a_CmUSk7NH}^X zchls(n&$jEb2Ux7&U_qZjFI!3#v>cYO8$wSSG|On9WL$lQ}n$0$2!_u?PHsGntJf@ zc>s15qoYod3tvDt3NzWe($kV6R}9QBfiAYv^1*qb%Sf7Uu&w0*lH(70S$w&pe&bg! zSkg@djCUN-4h{?WA1|hd-^M$_SoHOTLk41k@s1-{_CJbebwX||`6mkCpkPy6$ugJ& z#cwS}VBN;5AT|+R;~0}Vh}4K6l=1Q6@H)@c%HnrOkX#{Hm8piF0j8AE1T!OvTgEvp z;cM+b-X|*U@nU+y+QeNqeTAH6V-XE@2JuxUUr$r@kM*8Oq7sZ)R3Z#W2@%O~kfSkl zN*+rTz#-eIB6^x)?7}!!M9-BR$ku3r?>w1sdiVt)!ug&Pzw0xZ>Ddnlc@Sbmq{8VL z2L~k}qS_6or#D6-G9N9XX8;@&4TwCrNJy^S1o<uc^Nn3-Z2I{lD}JlIx+sz<XcfXy zwF=-<LenC8E^vCadO1Dpaf~@)i1Cgmh8yo_f``&%rDv{V94(^fIymeZQRIZ5Dx!x+ zEliDq`jzZO6!Lg6J$J#O_c@{RT47s-zDj!7r7--J^zi$67_pV~@Jn`d1G`v#`*<-u z15MxN^WEZ)jdu*;#n}nv6e0o6wwC%T>EWwQF#MJD@V#Idv6b``!6C<H%+?3suv2`k zgc^qkC3J)yFXn3>2jCz%<ufW=HICu0r00Y&%Bz;s!#66-zR|;<j5qs64}aIm>>E8J z;IMB5pYcO%h~Q5e+1BF1N_sLJV`-&(C~?4eAU}jdTM0hiMS5Q)J>NTqzmlGGTnrEs zTS?EAaL6$abKyof90m8NqTc#rn$Kgp*g2+;7t_Pzidu2x%+?eCOW+VhJOpQ33koag zsd9{^l{{O_Rnr<(f2<cc$9j@EybJKz1s><X<G|2!r-{LVp~pG!`8>b)Vj-#{oKr17 zY&cXEb13lLKI{@v00-r;!b*A;I^o1-6JA(YNzZ*wxI26>K&y)DM-4`0{iwmH<fxed zXO0{_&ZwEfQS*!$HKT}QaHcQl;agHLmR5!hqN-w!jw~}EZuV8uv&J#}mGtn}zaRz} zTS<>IIwmiL*BJ%S)pJLIX>a{Fm{LCu(DeFofTnXCWSMb*6N(;Z98BRD*bj%2-e2yH z1Q-t#hn>__#q}rkIRl^o2O39&U>C+%<++hrY3ReqluEZxadF|Ub9y-^o|SQ)I42MV znXLf-7s5ejkKlZPM(r=6=Y11CmiX9s#}Ho|?`Wb0h7B?j9Cegl;pD;_QH%}VyR9+a z$pqg5#^s7|hT8Zu@P2DTClm2z)Qu$)jd$`CfDg6MqR$KYmTZ+1PE;H3Xrjh=$JSpN zCc6_BTg1^CG^6iwqQH2^64RYfVxI9%B)A#_7YkyQ@lGbVCIja!vEB(KoKZc|oe5bT z$8i7-9pFxE40gv3{Twgf!l5_Zd4R$0p{F06Yc@`X9KsAEEeu*@Bb+&}eC={ha~WnJ zmme#nha(t?h$o!z&kO1KtrJdYfFnKTJHBUxE-qP4PpM;6E&m>#09uKds^#=#J56@S zE}NmPVJicsm7|GtIFzt=IXyWhe0=>Rjl;^;A8^96(6BS6jiZSyrzK|!=_!E2g^o}Q z?=vmzV;VKOzENBpV77*hI)m=RDMF}G`%R;6K;>xRu|j&@a*Q*D^kkzEi21ybo;ys# z#t_dq;b#izIpu^CJ)H*MxtyL!rom%~``vJMX^v^|nED2f`DYD|MiX$(6Io8P-dIl0 z8prs&ke+uP<5(d*e6bIQr6<~QrD@hEVlNza<Gx~g-f_YSwh{xKxXyS-5o|0%+0=ff zsiW$fS~n%}(tOnPXFkD#k%v$pgbLkUs5w;V?jkSe9L5k)BAgjFpHL%1h4j2-%9}*+ zcLs4P5&uv$!rg*-NAQN(`$?S2od!)JdYb|!6CB>i<F*Vlmz$l9Nk?L@RcOew2pvhx zbb=S~Z2HM%@i0M)%p5l!CHQ^r(SqO4gT;2jA4_uNV{{YwPH-XXd&mTjCC<S4c(sUh zo9|fe9yEoAH~dtBTx2Cy(%%ja1Be#{X2OxE|ELm&xB@s%EaY4`h#DR(qUIxwJK)eH z;$b*^=<!t2<J@vU7nQUA1#l5ixrMePz1eWM3nq9+fgwSJ;86FOB6n6s$8%DiWaiP) zL;;+sfwS(NPB`J*LZC9vu%E)Ai6zS;Q5}sC9F7vfdjwQR@NINd_tbLUEZhs{<iT#y z*b9d-K=Aex_br}EdbpO#w16JxRsz+!GdOAqyXSn$+*R-_Lp>$S>EX|WqF7?M8&1zg z6F!d6@ZDI<OTl(?hfscMId2aO;n3BD?htC0y9bTO$35bxZDX-}#Xu*z7YuYc4vg8d z@V@}gYh{{y!Xf4`j3e|3>S$5@b#k10TgO`VqZ`eI4EGlGADTwHcT-HlyP^BwaQYH^ z;mn6&_ZF6Ecn5m}9MaUcZ=7iy{<$C7@G)>8OXjQO(A*rzLrQ-M9EL1W4M*`(R=N_- zB*RgppIwN(X1xndU84wA%^W1_4(k`59_`@jlr`N3Wx&%94hN4YfI|_vfZ5#s#D${( z0X!;fI*$l%spxkS;v9x2tR%N9N#4%l02BILTC$uT_5i}&yEaxFR&uUeGS5{uo(GjJ z7-DM9tR$J<wi^9VcgMgF+PELWhT62Tso`6=?R4`Oi2jM9S^v8lS^pr})nL8&>}iV@ zPlehGmXl-5*J)&-N5V9+a7Zw3nO?HrwLErwj=dnj|K~v=>-u!SUYuihOYhpYO<Vi? zKu<^*<v>g;$G#s@MkgVYH9ybpws^`dLwjGnWKdeC+b3S$W=?DS{4)E-fZZry{-@)A ziak1jQuseBkVHhMU)6Sa=gWo-Ps*gTZ+tk(j?IB6R>1z!%A4FRqw^?xS$h8)J7-Sr zoM|u4r&bq4vwntnh-E2V`qhgj-<p(pqrEgeBNb&pt%#{E>)Z9Ri!$wHdF_yMHpIS+ z)>c?H`>x_Lh+p}0@TuKnzYl4!6NP!kzuopX9Wn9x)bUwe+jhMu^`hKabGi;ry&URY z`S!H|^gy;fD;=<}3E16NVlV6)xG#3}_9@+lCx!1$qTM*hUSAlnkJx!r8tl%Ze7mvP zol3-?u+x<;{hEKdJBw!9P+(eLh!)ppvwu-oW`AX`oP5jB&Z!smUlL61d>ao$q8(Fa zk4?9`1-jT{ahw9Fo$b--c215xBH#WL%2K~6nr%PkfuN23CIq1ldh*+JYkL_E#?nC2 zK=gi_Hl|Nn1&Cb!OXR<_I^PufGU`nHq>ay$%zs_@FQv|Ba@es>7cVfynY5jl!uZgK z^ta<bQ;8|Y<TJ&XFjH!MJ50sqpGj<dVI?kgs&fi9DTcFj)ntk`q2?bBqlt_)&8V-^ zzQF`2Q}(&dGv%4)o8~oWN(1vpN;1XS3r)=?wH;?_Go_gZ8lUMDNQSX;)3W-13SGr0 z(>ta$#y6raY$Sb2{5P5Z?9Y}v`+B$6^iX|i#+SORlmmKMz`iM`M@B}9@ufq(E-|A^ zMq1*e40}Pk9S7O61$k(0r^I$CDGZ5ToS2=QW-kf!NJ-90Mi>i7@6is!C?l(PR;LVP z$Uz32s))*@tQZ9N;sWh)CQ%m;(*-9AJ0_5rfdpxZX^EYAk}M1KZr6n&?NBN`c}UwM zC8bkZVoH}O8Nm!xiN<9l_eNEDJR9RM+bqaQ?UBgI>zZ^s@mN--{XEpto`G6glYl)x zkd>N>0__(Nw$GbB+5Q2ld%uBu5fi~$&oTQ!D7_uSkIH%4_Dvo>tW8F8#;~r?Z?kVa zkUGF#Z}iskCb#XDJR-4QpNzRX>>F2d-dT?m>LYL7ltq(PC1<SanAs%_4NA#E2Mq7q zb@(c@-BD9hI=lJyDP1pbGpuXp{z<uA=M3%ErgLi2h(&YS^i9mX$ZnR0lQ6{|AFw;7 zXE-p{pOzosG>GaP`NCsff1X_q8Nf?ddL_u#9pY#UXIx_b53pv;Ujosub7Z~F)f{mz z6PA%0bJW4k)ee(#+fUOgqX^r7O(N|-(s7$UZ~lyH#$L5-R(GpzKPY@wFDrLW@bx{r zQ<rS$by;=NX?1#Q$ksC(vGrM5P+bL?_1-MYky%5>Q-tlOh^Dz6kL$A|{Km5D^w><5 z41rRc(RDKc>JTZuouiDma0YbMhF+IxHPGbyKc%XM`~H8bsm5Ei0dav<{#&DNi@ZIe z)VJEc!}~MOC2@TI_3vn^LE>$3`ad}d(jg<4K6BReC3B|!UsP0UjsC;U9zG#BTej%J zxgGxR>#21?1r6&h4ZGELZ@@28I=4N0k*3mZR9M_l`0*7*-PQ#kDdr+w3xwcPskx!Y z2T6-E)vVXuCdXr~wrhZH+%;i-Mt_fV7n?`GwCdB%fj_+7wdlZ-^PPHGANPY?2IrOy zuXRz1Wd-Qb=EmIsJG{K+d5-o6_X8i?>dN~${B9n2t;<m!npn5FajOv$US3*Qc|3RA z_VF{2n}>y5h4S{hgCZ<$3;f~bWurXqAKS;zT5fqe;b(dLjL5BPM?9az@o+cB(LEu$ z>H2s6ah<g1kq&3=0>ErlNO!SofNtC04)ZhmbENA{k2ZH){SJ2ce&mGpOEbi|`@utw zdh50{4BVr2R(-v!KWz7`{n()l1oGtret>3q@o=m&yu34+j;0oZ&F1chYr6~&|8MA| zUEmgM==O>eXqz*pK7oyo{^6T#j(B~DZANCe&5>2V*=A&f+woQsO!kMfvB&E-8@~e= zzS&mTiH8UqJsJSnC!FJ{llIR)%Kn%#ntLU6<~=V4Fk4JL9@a$S6n6A~$QZ2uCy@w; z--LG;`-qo_L2;~@FU}IL7H<@b#5=_bakF@j_<;DB__$atzAYXmG2wkEeoSJ}eWv&? zWq%{vgHB|8B#HfwmwmqMHnP)XXNfrq=Q~HtH%#^@*^^|?6>kvvgPlz8>aDF+xY1if zxY4~qdmd1_-HJDQYlz<`+vu&qHtW@p{zHX-F7lxm_sfryl58OwJvG=FvU`vyr@!oh zvPZ}sEBgxB(`C<-eZB0PWtYe{`ZcI$lfu#6=D6@o<MA=$4dgjMJ3%yGLBZ}S8`Fb{ z=N!g#IpU?_U~!blZws03N^!b)m3XbVSX?UJA>Jjf6E}(Xiua38iqDEKh%bvZ;ydCW z#6OB=JffYS%l=+;^_&{<_#xiabK*DVwE0yz*;c$z>>_f`WxN@epm})@9IkLPK4DLk z&7aO>dcGA#n(+$qwJ_R?L_UzET`C%#K-d+sw~9N&Ux_<KGmeq|3E6xbkLA5Cz9qgZ zn(>VIk7XYh{~~@ZnsJVFd_9llMTv1Duel6wEw&NciJipmB7a|(>HCQTL|5O*=nNu$ zg2E?>GsHRK0?}PZY0eMiD^~c=#JffF-Y4RDZ^!x{5qF7CiF?JD#8<_)M1Dcf{6|Dr z--+MWGu&Ml`8V0$h`cB>-X}H|n}~e=!gzOG<b|?3ioHd59poU{L&b*cAg@sPH1Ql= zL)3Gl!fzH=inob(ixncD_ON~1#b1gKidEv{;%~%#B7Xvg`T0Tw`JVU((R?M3a6UU? zd_x^4K1gEtcVYx4I@(4b5o{{Eh1f<+6}yNT;zeR#F(~GV|4PT{Dy6$tyg^(h-Xa<u zMYNB<8^ZRk5jTn3#QVjE#66;`=Tt3wzxcZ7>N&kD+tqXWNcJb<=i({xtoV14Z|Jgp zuAWm%*{+^bn(Pi@rr1Nw5p%_%qS0l9e}e2u;tX+)xIkPi-Ygc2w~2R%<>ESVyLhko zp!kURgveKuxS#vPmqb_J=`GoZ#3LdfJu)93Kaxh*5&T9rKj1RlCpOf1N>F&Bm@2jx zGsJAMuXu@=C-Tu0>zOD{7Wtft;e1d>UN0^Y3&j#~wfJ+9KT^tk{JlK#9`TprqvCF{ zp$-(^9$>yV#D+RhM-_fdY^VeEt-`+-`HDEpYa%9y{HZ~PcNH^5>KQYfud$GqiK9in zW6tpF#3kZQ;!5#0@#kW>xKZ3H{zCkf_^7yBd`5gud`Wcmo@!*jBmP1Bqxh+KLOd;g zC4MLJqZ0QkN{kVkiwR;IF;z5gI3S;SvjXg)@QcJ;aiGWt=B#IwI8mG|P8Vm3*NWGR zd}V_93&mT++r_)YHR2}G)sMPQwyPiYm~2-+>RH*(i!Y0>iF{v$^}j2AD1Iy+7ylxD zCA#`iT$I54jm7gtS3fEsJ5B5=W{Q2pe&Qf;s5nNPAYLI(6J7l%z6Qj0+$i2Gt`hkI z7URpsb>dcWhsaeGOt(jTR(xK3S$s`=TYOLaQ2batDgIUbTKraY^`#=BoqFTMreZ76 z)thQ3yOWqH_7J%YgzX<9juOX-lf|jxTydVbM7&Aln+q)OHt}w;LfkBF7k?%06nBeH zh|h~Jim!>k6<vL*Kgj-A{8Z$+7PjZC=)rdcv?Ih=F<xvXCW-CDPGWblm)K7nAPyB> zJ*x?_CyCR<S>il#fq0{Mv$#^cP5il7E^?&~_vb#*)w_C3wySsbjO-UhSO1D@dzk+N z@lWEP#V^D!#ai(PF%ln;nLk=|^{~#Doh+t^Ts_3}J;WR_R~#%36UT@X#4E&UBG(_W zyu~74Frr-|Hq_0kQ1}LMyLhkop!kTmSF9Efh;NARi0_L>#be^<;wkYPu~xM4od(+* zCB}(O#fG|DTvWyMJ;ecHP`peWElw9_i+r7k`S~spSuEZnHq_zTpztl?ec}V+9`Q-> zIq?PYRq>$su6S7dNc=?nTs$S775^^!@Z~kz?H8Mf=Zh&~JF%;nDfSj~#6jXvae_EW zoF>i^uM-!FH;ct$sd%SYA#M<Ni1&$)h`Yq6#J%E6;;Ukf_>Oo){75_@ej$D(o)x`V zX~+JH6ywCEVr#LD*iP&ua^W8H_Z0_;L&Z_zIPnT`nmAXSCoUG3iY4MI@n_=Q;s$Yx zc&~WB_?Wmyd{%s3d|7-=<jO<t&k^wx@woVvcvj>WflTicW5sweQ4EOf#m-`u*i-B$ z4iLHYk>!sUx%QFvb>b57CXtIF8UJ%}t+-L#A>Jo)wItI&B|a~{D84TKPJCB9EdELS zv-pMhrTDGL=Wwit%Q4BO;ss)|*iP&u;$zGIsN+|w?;o3!I417*vCyIF(IZg5)#*_6 z3IrUzDd&G?Mn=z`Jp+O6nHk*yY(GJV>QYpOTZU|ddL63uRWTJYTa&lkGobp^uvO=^ zwoeZBMU};Di`mj>tvBFX6J6%XoS%H~f`|>x)_G43wykc~sTWJOCpW6}u7?U$qp0nX zP#cIXgML)>@L+SS%WhkfUD`e5jTxOB%~kvn&1<cPp5E1WSI>}lB*I&J`ZTZgJQP>c zDp-}68S+lPp>%TTz|s~_a%x^{KXY5+&l0_TPQ7Vwj@%f%&AVlEqFrupj@dNw#ECuw zTir2WN66X{xwf!o>WMW_wF*>Or?OW?ReCq|EsZICGUVMcqI7R*)MjsGY3ZA#-<F<V zYkze?ZRF)p5$cY1Y_(5$q7s5lYQ3*{w?u9BclT#m9R}AtxodaGD%lgVswS3RS-P%t zYw7M#WZ9w8gxZ*gTGmG1e?IgR{8|3)p5Co$J(IfCL<Et(3hB#shrGz=t$wF;L+SQX z&)U|tjUGy<jopb{Pkd17scf}6e)XPEbjj{e?2bL5*y`P(IOK~fYgy}?Ibn5tttYny z6ge)ajcp#E72n<47y0vQpg|NU^Fmo7v3lf*SG}<(y^*&h_=5K&d&}*um;JfX#)vHu zwRS|KbunA*F4mW=S2U}9HfVLrtBI;ao*hR*X;6;nQihfFG2UKL(1$n}@>N0ErfNd) zX``_8|JZvI@T#h_{rl{_Pfkt-G5|tAhA;>S7{VYTpv(u1C}B_$P(~3I5fK#;Nf^{f zsST4dNw89-N;L@1s8P{UMH?KcQ>jIzfux~D8yc(s`(FEACx;`pwr{WR`~Khc?kg+5 z^{nApYp=cbc=mpt6-$B}&g3>a6&4qA-N-4m&aptX6L@)hFK(rY{#HWj;B>Q<p2WCf zOI<U*Xh;!8b1+7Au)m%Dyl?kkf7|Wch<n!1A=_$T<!JDm`;yi+SeFc2Ai+|%*71^7 z(c;PjgYhFn_4BYR5H3wve{a~kH&Fd-A?#ehj#5V1Gc|!pyI@1&nHqQYt{OMv8Q4_V z1*=HU)Pw@NYC_qtfl=J3*893KY=vN3T#sIN&!}}X-Sy2P*rK7S5pJD8=V9e9a7z|r z%V5OavWZ3a6y3*dxp{w4liF}*v)aVQm}fVdZP~YJZD3@V@^*GxZbl8%bPLN-BUpxS zEQ?RAP0qCSJ}Gk6W!=?~TN9;Hq2FxD&6&`5$z3b%!t5H;xHhSOW^FjZY|C>|dt^E0 zvAZ#N-#dZeVK4P?RzgYRYA?{P-06k&&Br*O&(RNNa`ZpM==b&akreJDfz0~lSrLrK z>|8VU7?FI;t4xf3<`V29sYl%)t)m>ld}&+eu6?}$w?Oi`g!N0B!In`{ehU{?iP{z? zz^cNK#~R5#(Akk7?TeH>^JN2A2x<rmLCY7Xlq9Z8-jK2pv#0QdaJXx7iMQgiKoI-# zh>F*eGVe)+&4r<%B$V+s2G`fSr!0`PDGf)1q{LH--^|L+f<?39(3<+i>Gy{3c`0&! zBy(N&<H0V$jn1kIT08@%20M?tWzQTBY~Fc1FzNM*z<}2(QqM1|aEHN$QX-BUsjX^L zUhhzwvd3Bb%<*7$>2Wu=^muU6%N5?>$&ptoLWA1YrZj6)n{sM!-FD<}KOPFaTmhr| z>%-mJ;@Hxr7C)41+<82dyYqOs|7#T<>I4TQ9ZmJx)du#qs>PQOn0uQGAKLNw@j(B_ zkGr#XS0oN@R~vk|Rc)|n$8ooG2l}<+cyRV>6)BN7DiY5}-ri2NLC0NDUbLbp+%5HS z=keVYPJifoxD#62aXgf<18x1f!hv14hTcnPk8QanXl=H0&Q;~^8niVVZJ|tXNW0pE z2V2!9EK^&k8!UdQB4y|s6-mR|*Cy=kP@52V{CE(33+3;wNEqTC4F{2aCDI>m5<zQ| zx*iX|_?wE*dFbJ=)BPF=?k0>Y>V>m08UsT|zw2{)t$Ry{T6gPqq@#bi7_CXKR0M{W zVGP^Xx_jHyx)<%l2x6@K5j+}p+t&uxa|BZ&7}t#M$HOCD!&=!j!usStchpIA@4aVV z>U|DoPXAKp4DWD?8>pVR$i=u0TI8M4ZeRL+Sl8Sqj=RNAV7+%^%VB-;e_fF@^p%Q) zLG5Z2G1iGiZEF+Jhr~o!nkvP*2A+dukyk4MLt53=$5wQU+SJzHds=OMd;uFmOR2?a z>+9Xe<Nk<>L%l{+9O?TIj{QfPJb=CXyhwZO-}OtvtIHaMyRIGe%s}rj3>T~jVXnXU zDE0>&@A8WiH=MFAp*CsPWtgFDaV)*db=Nf5h;vjR9rM27BFy^@iJMY3J|7<0tfX1} ztl)-vYerPOniM)bAR+PaKqqNE&M?K;4+0+MI^I@ZleQxD-q1=OvuO8+$E@eDA3x_G zw{Q##_QyJ9@R;=+j$NPB^eE;T2z%`%ncqKdCDa|ap6783XNK<|w@&>p9=C87!r3Yn z?WF0rwJ&<y!nsbztxR*=a@U7(L~wD`>ahb>hBmx6eo_nnc!gtCB9B?++lOvXUCSfZ zkRrD`k5~zr8RgB)5vvD|SYwOq5$geSv<jx4f@50MzR+54!`=qL!sK;n8{JhU&4y$> zG|;;@1nX9#AH$X_PA_S&!KqDL$79!sia{x#9{g#GE=_{Psgdh&l*ILkle8jnWy-qb z;*`~=McPDy>%aTkub#u4D&`!-aV8(f9PE3+Y|g`1D-wsiQsH6_CSVRGU=Ai=4kiTl ze!(L_`g$G<PARgvt!hu%+qU+U!1G_^MOGb5+}LovyZZAl8mu2tF)(HQ!4D&eo7|1P z55CO%UUMB4bdG|~6Zm&4LN8n-#xAfMGX^qjZPC?^cP`@InFE>PCj5#&s=A5%t=PMl z|3-{wMlfL#OTEgJ{A*20Vs91=CqyWP6Ztkxo!yv&ei8GNvJ?J`DH)t=5@D!^6@u3S z3A0#hs&f{Q@Dvh)Gn{<HWjE$;EScsyQ;_5}gb==Nc2}k_0dc&z9gTOl@g(#6ZH6g> zdw-0G+ej)diK=K6qaqrI3P0|v$nsSrJ8z+ya{>POpYV}R$}jo<!Z+~$41|>DS!M+L zwTR+l_~+y^_fd-csoWXK+^-N)ufjjy@(rxl)Vqb5H@hr62+?{ADbF)9Ulpl0k@77@ z-hpVEu}U-F<?CQA@lzvz#tAsSoQ<E#>f!N`l_yii?ShqmPMPoZsQe!xr_mtJtwwzp z-c`^d&H^J|iU;`hmaz5Pj64PpO4De_W}#{PRU^WZjW6yuB23x%BA>RV(Uy%bHpD~1 zG#az<#nBXD&8EPN@vkYtoQ*I24H6znpjlsj6Z5)W*E*TcS?YbxZu$8iQRd4nl8;et zRVO#Z{dv94b#gz*X~wEeq07m(l=9?&v&h{6iBAjCrdVkq?t}1$A4NIRd;<mc1Gyc! zw)MVaCww^{qg*e<Mtqq!g@N>J{M#F?Imy&K#*(I{FDK&m%&+Oo10e^}C*fa+Tg$XL z*wo~J`!#*JAX?LxzhKRbefYN&H48!KDsKp)nY^{@jd$=e|BMJbkoiYME<{TGt%x*8 z#EMMjJ9>Wg<)~8*!I|k%lc_$}Rxe_N)i<zu4I-xcqo#U#HZ;9}I)1<Bnts28EWh8r z{4QlPR=%9x07}yN+DT2c=1r#NaOC(keR(2fQ`46>vZh&M&g@cdjzu2*_LwfehFr78 zA2Px&S0YmX0E(F|n=fAd>hw{R!L7H{yUA8>fE!^`y*(qW-W!qny->_lzW@@q6y#FW z@z?ln)9*>h^84+}H&8bH_T`nVYWmI3O^ev@y}VTL`@J2xreUfv4ev&zekqDI<d#xZ z`b*l+s_VUWI0l$i{=inPuvP!Ts(iIWRnt2l&JR<Q%*^_^>95~cKc0c(gWp$Q?#<&x z`fSu%8LhP?rj{R{7OmyWce9pR!vpB%9@KWWd%v;WJcwMghJQokls}?qeO%7iE}Ciw zQ7sF>!Rs104w<z}#w)g_T60AFYW-2fuGtL4d-IrO)~rcz3iRfpq`!8)JczQ{6280; zav;43HR(>ruX(zu`4DoF%zo<2el0)#tf{pbwH}Vv>SSuwQopI?%Pi^F^yRe1Xs;3X zQT_~07f4ReV5MEbzQ{EzID!$5%M?T!+oERL-AE0-3Ra&@6=wzct+^SaBCBG9Rq-fQ z*rG<oOLZz(eJ)k>3f^E<ylYi_X;u84Dr`}sqFz?C7py*yD$Wi*X;ow++pI`;Mz|so zL>k+oM#ZH@Mf%ei#cI^^$3D-D;!I@uqv*>8l+9N2<zKOCBVG{{q2c9h{GwnN93C^z zMcO;a&FqHA7mTz+<TxUYZPA8#NYMzgYB8%{9OTcIW&~54Ai@J>OGeoDZiqCtMK#+@ z>#Vw()h`MD%C<g)wb=StM%el_tZs|i*4cShUCZiYgGX%jg|_+%TYU?w+oGzTUXD@Y z50L(-Rhj+qDHQZa&6i)NY(~wO6L^i5&ev-uL~DLyYUUuvpX<Kt*Ye{7Osy~uPWMD> zeQRpXLXO{_FSDdy)0Y>qrkU$Uuzk6Wos{5vP-2Gp0pyxtev%Om^KTGoY>V1q=B~o3 zv|!+*1{>n)##H~<R{yiD?w*S3wrFg1R;^+6dco1Q`Y9-ETJOLJTkpf_wrFg1R{fUM z(}F8)^$TtF$+r4jR<}h}Jv|$vwik8$t@NH5wL4MJA2nZIPuXlGU;Y(jf7FsOC(;m{ z16~TQfy`>ZgIv?^FBoCJk0a997LDyUtJY`rKX`ezdMaM7_2)JtW^N<W*cP?bIXPLi z5vzaZ-NowMvxcyincIw*xy|afs3vC?tFvk|R{x`CsxGuuSJ<jsSk)F&Rg}+kPD^W$ z;-#IMc2OwP8RcYP2XX%g<^_!z!G!jy=QYSqad7^}Og6>>6V8K>1j~VGy;J%aAvwo6 zsV%~k7~_NJ4BkVFnkM8PQK!O1ZW=_|B7{bY=_$@dAvT-Q-09k26oj!UmnJYFGa=B` z6sgZ5xTfeKxgjGszcC-<$a*x4XzMjj!4TEQ2xrCSU?OnAz8yH3)kB&dfz`trQ^@{n zjR>qI5bY3PA7YC!b;uW3d^3iO?c~%C<P&=lj1>t+sPg;94m%i~BZ*N)CyX6Zi>mG{ zKw@-Zt1yi~*fvL*(tA(?8H6fsDTr<JL}Q1TohVJWPv$oZSWUy>-e^Q;cNS3f(?;P) zf_hNIsxw7U+$_9?jTsfzG^4@@JEK1NqlwW{HS>8(+{&17V!fF0Q@yp(dhBuw1oWQB zMt~IuLtot(!XL;L+X@(Y76H097cjCP0qa8Wt!k6bNErgs_W*zK)3+5cGTmkR1cGm| zqWrc3MvfrB{s}=FC?=heogpJ^EnwtX1ay=rLkI<KhUu@?C5-Pj@(o62{1^h-Cwk%9 z#^_^&uTYszGQz=u-3)@3kf<7X!9+(A+VtDZrsuH)Rks!}!dIEF9)wI$Z7X1;r%4|} zXskC!$C`szYQ}5aF5n9U8XO@jO!7s<Urh8;;u{m4K(saEIj$#gu^+t$c+`(_U{f)o z)JF0K0W04Z80JTB0(kY#5+ps{z`zOdw259ql$q$rW7r7aBCs&WYz6}3Bu!so$OvAp z!lD>4&qPPsfni||21kH#^xrJppuDqV8p-<y*f=4~E4N7Qj}2z7rUK(Q_~w*dXB~zL ziV>)Qd>sK+7l~K}=6WhHmI`<}MTyuR@J@>gNM7S(GZL{1R-+e4rUIT&5sg()Dh1>r zqhLG{tH4~12J#7Wc@?;Xy1p^G@~y61L^vlfskWFs>uLztDt1D^?$4HjP#d?zP75W# zU>^zwvC)+fDxttBVpNqOqMdGw+37eZpm!#~i2%zxc0Qndae<u>&{k~Pv(rKe!4gi6 zy$DJOl~AZgF!gCGaTx-J$xa=U&N-x=c}qbdMrgemtkJ}?CYn!h+)#db0k{29GxDPd zUM(jvJ+xvNtOQczSi%aRlG=nyxPNn6n)dAcjIM{BrP1}^TwRI~T@MOdZT)uGJm>nG zn_KIEiH;(UAi!QK+PxLW8%o@i$u^};u!NUqa65#K%yCN?2{XWw6)Ip0QsUlWQ-URS zU%__aL8Jo$R$@y5BRZlKM~@smu)QxglVdb-69SBiE-YbWfiEm5;o;akGl8uqL=Paq zHV^TIiC#i*DtUpM>*f>$e?EQf=Y&ca(d6QEIAL-X7x3WzECLUfKpBD=d`2|s))sKW z`6duY6RpimL4O(PhJeaMf0KUw!V*UOBh_d-NuhLYbY{YgrdwiXB?Ny)zGbQ}2$e8$ z009$_(8OF@z=^rkOw7@QZ;T7wU}Q4_#&AJN%q(47z=utIkb|Qqp{cpHAZDJyf)yqV zrxs?>TC=~z%rhngOE}N?lC(;MN+@Watu5dj8-;*Xe7Yd!46@doL3q%__+TTLg`1uS z2_z9^7-r30w}b-6E0u=PLS5UkJFQFX2x2$qy7BCGVgXKWwsK;sX$}A8VZFDQAsA_s zxbGI5r09W^qqEl}jhTUc&_BqH=AOYlA*TOZQK;M$96b#Mxff$_?aqu-$)RYSF?KIO z0oyb;NU5nlo)4=0!)-n_@z{#f55c1aR%S4UezlwY-FHn(nBw<oRGt2d*frj#V8<OC zQc23(7ts^jW_?8P%ql=rbaPKIMRy4Ylf#EiS9c-FYbb6To>WL4#<7i77tj<P1@5NC zHX9@Jj4;P3VZ`5gamd&Op$s7bS1>Ro#%O(PU2H>wr+qZJHRfo3Dfh7qGwJh*7A881 z@OL+~!0UkiemXG_0mr9>C5-SJOI%FyL@*x#{lyeQ%M@0dyh{j}Ct=I0&Av1bf?p6T zMqvR0uFQ$M5n#R6DPd%z5zqrhHv58GVowg(YMfErxp1vHyf3!Za0F}tg1eg8GkEF2 zF9aa$D&VoX2Lh%Bq20&7wB`ok*pvHBnTrT+ZKKF;b~IqOwn?u$1Yc^eRxsWed9&EF zGmVz<(E1?)28`e_5E@pSgJGH3Orr=M|JV^;-Ev@2W@F6NZN5F!unbo-18WDBM7CK` z+^X1<0n6}Q(9z7zQFR^U3^R+pw#FEap=KB32^(``xD^@ijew0q@GOjCW7#M_A~D6V z4H@rfI+0Is#8GUpJtm>HZH)UwJB07qpUXkwxd_;(1bb&z8#Id@MhrglxC61n+*bbO z;fm<K&Z04@bboNd2=e}bDohhr#|iCmXJkjY*;tZS^kx%+tZ;&@IgSgDcP=cWlRVnE zIBAnS;JMiU$@NMndE|3p1A*j`3GpDwi%^WKIRP-f-<NUC&?d-ub)6jMhe|w#q344` zjPQ8n2Id6qam&B7;B`-%AbWkt^c;m5;e7TljCgSYwf@4D@mJs$H)aLgm=$oj0_wCI z*XfYGipEN?(;?f*1ao9{*-aj7(M{JLbP*4oq{|`OWmWxtr(LcCFO#T^<i40_FPH2Z zag*>{K+Hp80-j|h1#WRyyZzhtZ&yN*6S|)1Z?|SjX4}*jmmI6}NuzTV@hn0Twx%jm zq)z`LGfv|PiB=y+nj;x=<Y3Y!lXNk`iIRkgByAL{5ugooMTxQ6ijavb8A4U<8r!N^ zHBK{|Y%6mD%tpW%*~TdHP78NgghtTjo;ZR%PCkOsWZTRPDEe=26%N@q2t4Z9c9^yW z0T;10iR+-7#@HmBHBYF?VsYDqNySE4^b&?5=X}iVAqRM`Ng8AIv(!JIFF~|^(y76n zZL85Xn$T3UTa6;0Y5Vi^dmEEA%Kgf=E9~7r*Vpie^|3M!L%81{VoKtg$?Q+I88(p> zH=;}{HMOJXM<&IM)ixv@G^L{V%S>t(r;KTF%9s?lwk*N%iP^DUM$#dKnDY{oI{0gC zj=XW}5|<snyD>X%J~3&pX(KuXSR!tsFe$DlOp03tdm7M~^DP){?f5Zg#RCYqa3=cJ zm5lE)H(pH1!T-GEF{5(~5mPd(QDV|G1YA@##X*n1$s)=F=s^TTd6ecwIihxUu<f{Q z%%r%%V2QYm$E3JY_LPEa2dkbZov{eIL^l(YGK-=Zu{JI{w(1z<`F8?#H)9HG;NhYz zXqCjQ6_a=$gYhSpA|&C=q;aB19hv4#W6sJp%j#q;znSQr8+GzN2Uk8soPzinKm{{R z>2a|-=b5DF@YFSPu`R_)%TKJxq}e7Zdaz?soGvE$U5*}KSxFDita>KRMTmI@#-uo1 zO!9R_CkiXAKCvQ`3Qbb<x{^t8x|rnaiVh`9_({=`utSQ`wOwT?CjYnrXK8i@+u818 z6#ZtR{iD9P(XDGadMRF)6dg)diW^EM`Rbz;>yo1D%1UwL#-zB4bxF~RtmK!94pLoG zw8grl=(yR-vzVdeF@X0_vAZE<7DX{zBR1P^1y=nS;l$mLDLk6Q?CMrY%x=h}Bc@dJ zQ9?%aAzS<|$AZ4f=nz<yb(P|GGggXws9dKfIwEyR(cR4ctQ&K+z$Cu|(Ta6R(TTt( z&@~7#I}nrND%K@McOX`Zdt%I_xQca2(NQ?bV-!}3JCHMpMww!ca}@n&A<-Gc8)owh zaNR?Adk-BmUFuqlj+gyGH)gz;<g1U4S6xzcyzEc8G2_LgxQca2(Te;bw<$u*)q(wO zH>Rs~Nzs9BhN+9Ybu2hVY2m%8U3gu6Il?4_X$T7tmLk~2=FLFbOoZ78a}jv^!m`x} zytw7%_6&qFguMuMq0W=lwfSsoxpeSSmpW!5lq0;0V3!|Tj=G8ws4Iv-T{JC1UHcL2 z@?*<U7q4~g%?@?qCu(CRh1Z$XJr7|4LLma%IDl{v;Shpdtd1?vwG|;&M;6M(>R1Xn zR>zSTJwHcXt79ADtjlXq)+s_@*=hv4{JZ6;vlM|kTO&~Cas=u;hG3T;TaLP3K<I$F zxd_y?7QyJkLESF)YL#VrAZ$d~j1WYb@PAOwUe{7rFX`F>Qdeq>z8_nTm%HT%?;`Lr zl{)((^hX$s@F2p&2#+E>j*x~n>LWBl;Pt0nVs(5BJyyps)ESPzKE~^!=^FMqUT2FK zy$7J{AOdydBd`ul-LOpS|DYUo@rstZE|#trK<eWAkaqd8<#_Eo93dZJEW#uNUPRg@ zHjmfpc46F>oe`710C8T@@bZ;cD0bP4BY!!<y9hil^J1T8PP^DV{_@2<yRa<N>{;5T z+cSy%*~_MrvB%LHFg9+$;X_iV7T>}<SWpDPIjQAk+GF<r&YLxL=8e;?2>ie6y5Xee zExVrSduo_^-JB~XPQA9r#2c=<c3RF1o=~FB8}QIF#(9G|)yR3n+W{Cae<7Idy?kr7 zVR~QRfkR(!ZVsI%w8?I1eK=Ii@9PyM^z~}y=YTMZp5ry{+dtC3W4B1#j%{~)4UNl& zHf_C8Io@e7KYnSpcNz@71IgJ}oqtZNGg@`(a{iEZ-iN5aIU%p#`CYo4-KC57kr8uf z@*O@MT6SsPrAwDiUAmk<t>uZC-T@e2KbRoz3yZuwZ^O;Lt^Uezxc&uG+YIeGv`wcr z?|Aj|yy-b!eKb8Y+v`@0)@k1z|C7g#UvmEWQ=!}Y?M*q}BQS^l-c5bMzWvTW$MmJ# zBuD#lj{4GNTB{c5OiV5+W_!;i%^g2f&A#d-Pw#%}x!$;J?{v7T7?)#lW?%23vEB;{ z^1OTJPxs!#_&tJg{BuJ1eRioS`U*D-&2qeHFgb7kPtOUu-rn2tV0Hg9clx-_kT%_x z1L+{X{B^yJCjO0^Hyc8c5sJOMb73kznH3K%V9_lYpoa_cAU}TFbfYM5c<0<`?XTUj zE!(t(xYRU*`njFy^5Q0HsFH@Uc&6__OW&YtVn&mRedd~>H?9nf-vDSf8K!S0hW?RL zlwta5GFEyf!#Ged{tHe}VA?k2um*+?7i1g0ADAv(AG3cZ?f;>Ecu9F)`(m$C-=1DZ zHvJZ4<mGy^Fv&PtU*5{`E)6d32`>dbkx+gs{T1{?;>*Fjwsc2;X;lF)1wRXC!>Pb6 zIo?qi<6jld?&rM&X9D+PUat#hd*$#1@Cps{zkBms@0oCTlbx))QTj;~c>U(SV05y+ zYLdS<S<fHV8q?N`$%SK<@fY>$)b%3l9>46@3G=Q4+yvxuu6EAGZpTPF^MBWZsGk5h zK*{!=aTnA1!W^z}X9KSAYBN1v@rrefn9Ic9EXW>(HQt;s);qL-oyzvkpKF{8_`U?< z?8`&!9%CT?1l#)Z958X>s3D_iUB7G3?-|p-A;yS()aLzcdJ(w3>zOG1AGrXCb%1cv z6GE4hnSVD2;1l})Uvp$&*6ak;zRCX+l8gg}sPBPTd;i9+zj0B(HR~FX{eO7|z`%Cz zhM|fo^IZ|lxNgqeY<dvzeLBF8fN@O_^)PVqAvn=hgwZr{;^+&84;UPnIB~$Jp<R7+ z15E1JIv)rpxI>6KN$4J!bHxoe&YT<gzsV5+fBX9m3yw_-(o*XM+VToS3ok@Y>W6?o z!~a+O5Oh5=@V|Zt%xLqfj!Sz4UO(dc$1FWW3tO%;5*WAFs4N$I;fQN&vs{4xwhj|! zVw=9kjjqM!od-GQ{v8GoaC3#1;2R+w*PsFFW54)B*w#Tb&<zFnO{raM^cp1CIP+#U z4g{X!Qv)qF?@GwA?T*1Cnf@@c&UV?JZFfH6whp3!Hdx_oMzq+xn;^&PTRj^$)kveh zWCW}4r-<7+hz15hA8(fIV)O1oLae?m&{v3#Q6GoV>f=vvwhp3!pPUU_dMDAh0eP|d z+TP-?C-vbY#;CqW5U0Le1TJnr-?p(Y`FYHL5+Sx+{{cVF55abn>tmHk2gP?{;*^h| zTrWnnaDBP_79n;$I%7TTI5$9^9hXeR?OaAQ&~_khh#1krzH=e{2TDc^?2cgFk%(zy zUeu;O>Svp=`i>3#Uq1x+3<0B3xBNSP2zbo+K|ciMI^l=?5a8%-jz2E;2kr|lzTxHe zCQlKYkT{N<Mxsz>rFR!Ow^)9NI9%i-N~T{fP8G5Hne>~*h2nB?mAGEKU)(A_Chio= z#J9yT=A7xjX#4}9AD2p=L}I_5Ch`MVJ_DYm{CSdZlYFP-<&yadI?Hd6{0qr+k<E6W z7GF_1-&kV$JCgTH{-fl-iZx0%_SB(2jGc+~8jz5)BwIfM9V8q3>B#5%Z7erZyjb~@ zCC?U(9dwktTk?J4Ht|_;kN75ue(aZQ?3+VAB)L-gHIn}!IgFj0{W12;Q9es@a}qK? zh-H2+F(Qr;FC{VWrjRIqt<w2^E9KiHFP8jM$;K`@>hUc+mU~F)+ay0Fd6(p0OMa6? zz4w*=2c`3kEb2Kb`D@7z4ruIu3W@oYPNKfCFOKvM%I_-nRDM6nc_ivxsB}J1r@kx2 z+2W1LUnu!@$;NIt`ep2eqaGhbv!B0Ixkn{GBl$%V{oAATca+YDmaIpk1Z<xV_bC5W zvb6*5ps%ct52#GJreYhB4|17r?0-WKA7FC*_#l+&d|*iZmx@zFW9J+BvnBJK%kqUJ z`tcK`7faqCd9&n4BtJnSJVT=XZ<KEAcB9>oBp*<IHHp9n&MeOd%Iu%9&kgbcE#*^5 z<Qse3U@m3!tFJgz<@lhI>Bjyx>Rm<|<)<rsj(8i1{Kb-uoo&eXQbu1kkf`^F%Iy?i zQ2JXW%DpZ5Gvyx^zf!uB=<7))(XUfTw9{1bX_EO4B=gUd+=oQ_gOxr)>0=~M60cGE z^(4yASNiQrUnY5t<n@w&A^9;9>#;+8Md^FR_rwpC|Ec(;(*Gj)YcYg#7}qad%o3ZE zXs4BE>|3K;yj|<_RGx3yQjf7;4Ng+MX`-=Tjr4hv7l_MAq^}V-i4Q3MA+c2HPmvh6 zG7{tehSK>Un({}I4@f>N`K0!z(Jp@+Wc#Oz*(BDxt=L24^#ap}kq9G2`n;z+kwpGf z@dnY_mA;cQLb13`+(e?@&&9{ZCq-j78u8y!M%XWYLZaRu#7Yw5@->NZF!rGl58>jU zawZA6k>pmA+moo5tMoof?=N|{c!|<4BT;_3(yv$gO_CQ$zC-c~$s0)Yw?uqQ=}(I< ziLWW&+IRj?@~2{z%F*r$*9WiD8CpBeNtDq}y#3}gRIaDkPaLfB!^E-T1ku=KMmslB z1{aD;lz*qVibU8*V!R(BQGT1`rzG!^Z0s&0e;;M^_dW4*l{5C1k^eWz-zc9KoGhOq zHWb^ED1V07Q|zPse&Ps`AD~jtR1)>*o1MH-oUi<a;@#p((b!8y`G+WjrQ#FHe@4U> zGVS2BXozIL|6-PCepp9;&XC+yG}p;U?<;wTc%C>~<XZ?_A9LLdPLX_#c%8UNyj@%_ z7K`h|`@~1Z$HiyF=fz)(Z;0l48tuO?`S;=<#jnKUB5wfMZ+=BZrikfcme@>eBeoZF z#BSmsaj1BqI7+nslO{@@E?zCth!gu!Al@M^6IY2ex5aXs#Gi{hMB2w<{tM#E;_KpD z;(Oxn#LvVp#6OGXdLR1!F8NzAk=M;ghc!LJ`eGx|`cE>~|0rkuC*>&L`cLX3+1wAH zTtu?<pEO$X1aXphrFe~avuOP%-6`4nPg*JY9?|+wdO)(dpFsPilAjWv6JHiz6U}`F z%I}l>q4=rzrFdBUi}<zpo#^rU9D0()G?7NTnBGS0DCUSg#opoo(fU&wE;(PkL>w=g z`xNM(F4^3#K)zlwKOJKG3q*dLN4ZG+SALZqRJn)6?cx)nxu1c)GRfw?2J#z{-w~~U zrQb{bqgWwUiC>AwMLrN>e}iJGm?371ZN<)Fu6UN%M;s&$70rDR^pBEEBWi5#3eoyg zx?VD0G&18UE)|Q!pNXqPzVO2G4~pjg2=e2S&3zK&=Ow=?{zl{r8m!M3JxFuE1b!*` z&!V|+Li*n&e=CNN#(K%3xsO5|1}98<GcjAFRXCRKE}n2dg|wkcKVKXxP7tpWuNCKu z3&lG{bAN^SYRP;FhwYY#4~Tq;h3R~eg?vhUPTV8z6@MpMKS|a<QkByGCc^xp(dY8M z1@VxWE;bOGiP@sLA4B<0lDmmL#eU)-kuRE1|AnHtKZ873vbjHle3Rq?af!&6Pg$Su zl9Km})(_I-lAjb`6kicv7w!Gqdy;=Aekq#!IOwmH{GAxqeNL)q?&DCdh2+j+u6UMc z?&na>+|Pl-luk2)T#w7dX(B)LWxBbq18<bPP_+J#?viZ%A+46YRxA;%KcsDvOT}lz z=f&5=*ToM+>;LGW<U``0#lMR2evguPzl8qR6B~-=z7Xm5e(*G<cNTL+>+k3s$%DmV z;z;o#@p5sBc#U|S7=OPQ@8_sk<yMOu#1e6<xJ`UQd`5g(wEm6Wl)O*;Q2bQ<QZ)CK z7>^pseEXK;7Vp=HZ<#W^h1g!~B*x!g4p6%FXGAlWtUp$azt5bl^ts|4;xaMbZ_z_a ze?+92M(Y2KXzni|e=Pam^+QC{nbemhwiMfl*8fnB<TJ&yMRVVY`qm#2ZEsSax&H(& zmpnzhO1xH_E6x*_iFb=@#C76kaf`T9H20-wkJdif{u|;4;t%;5GWVq@U#oJS?%NVY znglcBBeoJx6LZ9FVjt1muR?F0<O{`%#7o5~;&ky^@p^HdxIm1*k1baEYH@>DB5oDU zeJ%R4L$bNQg}htx9`U#0JL1RU0kKj%D%Obhem6n)kx62Gv60wPY$J9Qb3}6=jDGc& zJU|>GUMO1sLgOV*6sL<<i#Lcjiv{8mahYiEm#u%H4NABEg&vgrn7BiHR(wIEJz36| zed6!LPekiq=#b>2;#cA~BEBXwdcq=Jc`))RViPf2Y%6vabH%g7KH^|;n3yk)5yy)Y z#dyC%^Oe3(jQ2aVM(OLs`^8^~+r=luU1FKIN8BsY{w~M!_ag1@Qmzrd6FvMW&2-x1 zC9}kqVjHoO*hQqhUX~vu=7|@Imx$xVDdKeTI`IaP_I|0)`V%Uayjt8ST7N>;f6x<3 ze_nh^wElzMl>DCfJJI?N`do6QcvQ6hgZ?2oDc$d1J+X<{Ts&PoL+mN`76*zE@nUhD zI90q-q>W>a$6|4rc(-_uxL*9ZX#D~`D*18o1@UF^E%9y9`UCodWa|&8QgXaspd|cQ z&;HSnHJK$^e?Zp%PZy=1CH4_%nwj;+h~veHqV@kXNAm5W_5Wl2`)pPEW8x0+W$`uf z1Mwq~_LkXxjYxyflv70Ozo(Jp)5Oz78iZ!~h<JfGQk*1C6KNKj<(G&n#e2m2#QVik zai{oe@ePq?rKzt%JSu)A2J8FzVewS4rAWimtbeXZv(uDEi8MS-`3jMirYX-8X>gkI za*=kYDVK<}JWcs=k>;i;zbew)H0Ax`@5Mige-XbHX^xuZ8;DKC7UCHqtuwM5jZ~BQ zB8^m2o+@4~&Ju4E7l|}t&GMVYhr~xjnz3g7>msdLQ~p$>QESRaMOx3H929BCnsQ^2 z=Bz1q5^2?%^0^}IT2me=(h4=@X(EkTQ@&ZGF>A{Ah%{eK`3aH6t10ghX``C*M<PvC zQ?3$ev6`}j7e7cEq$V4Rr;05_8mMOex#AG<JdviUnLk6kPP{>+scPo06xWIOiKXIB z@j3BD@ip;vai92}NMqO3e@LXwYszrwU`VUhl+#2Sx2D`mqzP-vJw%$YraV-<L>w<p z5vPkZan16J#ns|kk%q3B|A<JF)s$ZlUlo5N?iW86Y4V!ozY}TVnsR-yiP&7Ek!$An z7isI7@@SDJuPIL#Y4DozEg~&kQ(i98&^6@}k@l`BKQ7YRHRV@DnzyFBU!;|5%7;Z7 zyQciDNK@C8Gez3ArrcJfiEGMdiL`W0IZvdWYs#02G;U3Kwn*dFl$VIh#JfcryJr4& zu}s`8(%d!kKM-m4nsS9m<JXk`A=17z<qVN#t|_+>Y3-VFPmu<#DW50Ot~KQeBF$S< zzD}eCYs!m78nULmMx;G!%3DR6t)~2(NVC<H-x5C+KNJ5XR*E!h&GJd&sbWi!#;uv( zO{AG>%0tBAV!lYT*36$H(u_6b+eI3#ru>jd`_+`66=}wr@|z+pRZ~77(qJ{^zlyY5 zO*tgeG&SWWA}v%??kv(!HRXOHZBbJmB~BKv5NVm3`SU~?pr-s2kp`$KZxU&Mn(}tB zOx!Kf1U2(N5NU~;a)n5P)Rg}r(&jYf46&uyM(if`6lr9d<<AqxiW9_Z#Op*_mS*|I zA`MJaUL(@ZH07-#9%KGfKZtnjWXj_<&k&bwhBz%5W{Yjb4q|69SL`A768nn%#lhk* zak!W-ju9t`)5IC#OmVh2S1c5libdjbu~=L!t`#?mTg7c+skl>oTHGa;iMz#e@m+Dh z__26EJSZL#kBBwmH)8znx#qn}Y|m8vUYQ}%>NvM^w%AteAa)k{E-=gW5POMz#s1=8 zahOP-NUWDHjuFR-6T}(fOmVh2SDYs<5DUenVv)F9EEZRbYsHP?W^s#HD()1Y7I%qd z;%;$|xK}I}-xUvt2gO6;5wTi4Cf11Gh<q8F<A%@I3~4WsazoL)PYRi~N|~N5wiV6$ zrAY5AxtG{i>@N-$hl#_*d~u98Nt`Cm5NC?!{ZzC!SMoe@fmkFi7mGz>uo3mvO5P}L z7Pp9{;!g2tahF&o?iTlmd&T|Y$KnC;pm<0;B36sXM85QHwuflmk43*zC1;2YMf1Ka z@|#IE@5@5&EIC)~A)5DSk>6Kxe{rxlOpN~>+q`d!dSjJ8L7XH`6K9Ka#rWT|3zZ)K zyY_OW7mKUKwc<u`v$#dvDsB@?#hv2Q;x2KIxK}I}-xc?ZABzXXgJQLKOso;V5#xXV z4hQ}1X@3XLlH5#;|2@2e(&K*@@1gYm;$U%@I9$vZ$B1La3E~WKrZ`)iE5`p`UZnKp zVzIbd+$?Spw~E`ur^Q`jnYdew|Gj*_(rMg)`@sS6pja&)6Klk8#4zfxUaFWOHWcme z=4~aL_mL6rESZKGSl|ARo~GkuL!R&O3@l6MJ@{Sj)s=3Pwfrl;%iX%>_UdKI{%gO> z$Id___#CT;L5DySe1&wzpQ=Z!Dy<huUzNVGnen`Q|B_UAULNduD}xcYb^6-GRjDfj zN54C}`MN-MUgW#KefwSWO<TjE!{>QJ%F|0i@W%Rhi-8rv#1|8TN5fA4>d2C(nlBsJ z>&0F0;@p49$eM8HcWZ)$ks7zN(;9mEEFSn;ja!&f;i7zo6FQof)}nmk_RK#m4P1z_ zZtHN@#RD&_2`&g7ZIqT-p82P;KpFz{-0F54SreRzQn#wi%(SE7w90)i1;%Gh%Q~gn z3k@jGYTml}Dzv_IV2>9At(;baDuMwgXEo#1j+0UCrH`n%*lqYCE*NkM8;KX?1J!3Q z37j6N?ztp;#>&9m1G6SI9Q+b~25&kz3%+sN7desEHSVqSio0lghwbntneLp8l$50M zD~mEB*~ojSDA?nY!o|(pv%lKs9gcVtE7k=&lsACS+WyrW7iUGBcKvI@ohG;FUlZ&c z&V6v8QxS3+m7l%cWqN0uTCd!RSlR7405u*QH~=-Aqv60&=-ur#srFK?EPv&%{loi* zba-)p1l~~7*Mfn+e6+Y}1jguFp<m~RkA8R7fFX$$PGX}7d`;(OzJ1nqrn{}2UIT_S ziX^?=DB^Z)$CO@U3LkIAw6ETIWk~PxJ%>}$MpRrLyfP5n;T<04IjyF&fKS};{R0Qp z1b^aIIBwe8t+%_ad^!AfQ|K9poL8`Y8#KRv;MecGFyz;VaZiIe(Ewu?XyrvPZZ6t7 zb?o-0+XuB^EL5J$Q9Jee!aJI|eQMw_xNlj);ndKLEw+X;)?~sP>cT}=MxF_$u20?A zyd-JG8!&KNZ&fO`u6uZ}ld>{=4}AltuJ<<7+myI5c}4gv_ulYfH>sq_n>885Y4;|q z4i&o_lGZi&6LvRj*Y_5An=WYaB>e3@c|275Bs^_Cc|4c_Uz<bMN8tH(06hP~4RUsE z;;h!Si8JB9HjrK0c+#^d|Lk#h((a1T;MTRypW4<sdv;ggEs@skY8!WISDP7n7G6D{ zJsz6<az$eQvWj3@PHpCM&KmDNXI;Y4aI$+}_)NB5Qn+dd^vs674AjU)jqH~y5(mH! z=uqffmtE@=q2_e>s-@4`{_qz(7=2j>|FlKBO<yv%qd&+GW<LSH!tg}Q)>1pyHa^uU z37>gOi)6Isthl-yEucTHZ3X>u&`Kga7CW2J%3Y`xY+IW-6D>iL3!lcJNOrCFSzu+m zT5s)Zep}CF*ET3aTeHzt27C%%_*O+I>GawL&!H9fS@`j#A7S)ysIw7XO*g}HZpQ0q z$LZ^=##(!UjqtA<$*E0TetK==z!UIG3_rv4BRn{#*15_b!?X}a@CA+_{T#!iaNyVQ zpp4!noK~B882*Nn;oI(LIAL@PXVq%Vjvi(1y0rE1BJG9OJ^*jTfe!u3aU3~!O^X)Q zPI_Xbdrf#-_nJ^@_nKg{R^_KeJ}c@{w6SGVjA7$iJWj&+w)}hH-y7Gu&lNp>b0jm8 ze&Cv-KNMxudh6UX8`P#wZvbz<4Qid|+#XK9mVaOV_u|K|Df+C)J(F?+XlVKOMc*_< zX_P4{d>o~p`*dHz`XD^ge%&DS*K{X&(^FX^D*kjfJp(8GH9aZ(*Yxnu;rTn^-X?`^ zNo(vIq4nXj)-@SXakx*)U(*9wqer)J?+va9ttvS7$fJoHGuPtCS{sTuhs%PA_cULf zv8fUE^Eo)Srf={z(O>%f@Q8}VY49$NJuA)mq-JiZyYkJNh6jShp%rdPpv%Pa%Oc*! zGi!n=J!*odo>}Ae#ZhXe(+@t~k0xUO>lJBG8)^_dGuY$i9rL%N+ya!FiE_<Qt}{FW zcW0i{3%=;PH!nXu(mH}N8&PI?*P7rP-D-ljqU<*6gy;1M-D=$7@I>An-q(A=i#ffZ z-%zi4!{%<UKkQ4`7+RllI2Z_SYOy^~l!;Mxx+j)6@E@Oh;KK$3TDX5r_xcpxJUHd3 zo0531v!Y~Q1Ns90S)a(*h`0WaU;YIDiz1gt?uvxJoZKSNIxWJZbko9dh3gAHEX1sE z0y+JT-SVqm+mj3P3YQhWUKsA`oZIi%>|a?qc~Rb?Ws6>4l+o2a_t?IvpSLe+Sva}q z(!!q?tuKtU_(RcIk>3@D;HMqBT%NB2UHTpS{kG}b(~24uURZQ-;oU{|6uwmy?)rXV zxSP|j-?4q$PRx0~Fzv2Ji!e(=d3VhzTz1#vh1+Y=%FtTB5Hvnm6Ds>+-;)R3v(uWu zLvZTp5u6Va+D8)Cc*Unh(pNN$yjhc57LHteuxTVW^4o(?9}T1z9BcKf7Fhp&pPP4^ z%(*$}d<i-?;U7J4W#A$tc7ZS7G+x&`;Q>hY`0jAjm#?{Ta}%FqMoa#)zI^%SuUEl; z)|c-ukquwI_zxr&BCF1q?+uXLV4`{b*a^QzDI7e@BnHE5I~?S#UP60Rw!VD(Fn)qB z-v?RY-}dEuFKby}zTMcnf7_R@Q4#g!J03cmG0=+V$9P|hi*q$1DfsafP6Xe;e_k4; zyn!Ol#ZwS1pvY@8=Mv_=NRh8VJ7bv}Lb=q>n0qO6-4%h9M_F|orOObj*Pdl3P~-^K z8^N-dQRMfMzF*qQsdNX$t}JXsoQVSUdPc=w6q`oH>mV9Wy%VX4Z{()UgD2ieRP>yY z;E2~qe0$dT;WZN9d8Oao$x~49ItcZ~AmWSTvH9!0!_J%BuORwva;M~@Od1}W)yrk> zRMzbSG3^#KIgL&3GZLCK(m@u*!_9zm1$*~5iX2pDIz_&wMt8ec?SRk*??lntt`Ydc z6s~_UGU#(g8QaQt*cjDk@NtUd8}TMk#z)AId>`aM`Y`<CyUu>iv8E=w;@9-$-$rZt zvXg>JnfvfBgqnOZ=3M6RMz4M?;+>FN|Idi91NHxi2;UiM@H8UEQ{F7r_N%9&&H)I{ zMCY)rzQ|Tz!w9SMRi6ep()iUsFxAsJsHb65`2C(@`dxu6zu&(656WhZeYtZg8*WHV zXl)pW=1ON8l+@=zf^Xc^=jRL8G13kZ>T56?5#wiXF>43X`5=iOYx)geYZ_jIEWcr2 z=0_)f!@k@MFLU^7+!hU+Z8XREgbj1RmLS&**gcGJjem(qgF|eXo@@8BwqLyis%LOM z&vp31v8jH<R_7}trh0wsmJNELn5o{AJ82+&5@cF@^ZR|X={H{r@%!z|mr*tY=F5v% z)eKlJ8s;M$XMwYZ4RgRsk!uEQHzOP{>TAF!HD<s*U~RuT-_GX~7-ymLuB~2StMe5O zQ#}LoxIq9#P4zZrRvXW|+>QKxFE#x>3t4`@eR(`(({EpXj8)U~p=nsO<}y>$%dj<l z*=RB~eYp|x{VwOB_B;gVXU?6R$Xw&z$Te$x0V7;v>TAGfAEwKbSUYeE?@<RLY>zhn ztZ95MvXjgn>C1uiJ*fFXwA9n4)Sb~%z8pyBvyOj6OYJhH)<;YEa^RG!(c&D0_Bb&6 zgY|+b`KxG2Uk;@6*~kUaQhza}%A%!wInaoAxje`>c1F3k;QvT(MKIJD2ajCbZ98uv zH#jN9ahmXm)Z`8Rn}UBBu>Y_5qNLx*lo<Du7)d;ZIGH!f6yN=%^+qYN&Ku>4PAfCx zom1Ag&M6!C&MA#gO1>C>itl}r9cgseVh1C{*B}}%TMVl|$twop@R}9%q6w#)eR23` zk`SP)O|#%Y5s33o*%n&OxfuQ_tNl{?-x`EDS)!eZ8gGafV?+9WCmFj50c8lf!86WC z7~#qN``#&iH6sZ=y@g@g^=O@X5G7a@4pN3&6)~o3Q74d%0GBZYb(q!|VO6#^s>CWm zDXYWJK&j}$qX}%C6Zp*>(J=(8vTbrK0-i|_d>tO$AgB?kRxt~sUUYdMtAw=RO6)pi z2#k~Sv5v6gPtYi(7r1p{iM39N^gEDtw_k*#!I5K4tCp8OF<W8=M0EIdfTtfs51<my zvxpLh5Ij6QFJa_}F9b^%2|zP)LM4o(nspfyH(q?VA9_&MENnX)L1WGpG-Ey%yZvhj zM&Nfd?;@ZOal}L~vV&lXT#VMIAfSld;C?!qyU8?ZW)N51q?^X^j}7w7PRAG|Pg+jk za-vYl9N+_HuyadDeqDlX#6e|Ku`V^JElbr%e&B_<OB5-Y+u>x@GL%ntMu2%{!aU+e z-+ri?`IHR#<b|f%c)~o{{()+X(Mk5;gmK`fZ@kbzZwU^+8<;<jT#ev6-s#oMb_2!i z2(o536v4<7s=0vN8LipF)Le;HQH=11;D!ZO3meTsfMTA${eiof=QWN1w#d`K>wYv^ z2NCX8){hwDEf6rhh;|5cr?g^ts98y02=h!{J|{NM2nm531Mtzrs6Wpy?ttmu8NvA3 zV#N0gcD+-=2rXB^%a~ijn~Sjs3Gf30Ur>zBLqN0JfKuf^HKX)dg<X*A`?6Vmvo|&K zr=;CCxSw;M_jitq3C>j1L+8v=j8W$7!uSw9F$b~zwiYmQ69U|ZZH0@vW+fE3XW-~V z@DnR+ynMP!WBf^zHJ;$^0v)Rh7@39O`&5Gt3WW%o<=p6|pxyeWW!p3E!R-*f=eddn zm_hGQTv7A258@<O_5@E+oJBQe{YDbn^>-F<*QecMtT*w#iC#kRAwD`%TEGaO<iqrR z8dj@?S;I?+9tiNi=#-QplHsq#+5&s1K;_K^b%(}F?Al?i*>x_wWf*8C-6ej4pESlK zMN4rdIlp*JCH({F=(+>wXihAD`oKFJr;k5hMsvP2GiyD11~3P~3*5A@grmW>u@MRG zOYks6a6HiQwPs-WI|pVR!Jj(dZgE}-503t5jN#80yz)j7q9Xzh&I`?G@IZ#?#j%)x zfZ?qhiSZnX2Tkf|Vk-i4yCsY~><hsXMoN7lRKm!!2<Y$uz&}}xt~*(va^1<|2LEL7 zjjhaxf3g^DPkhj02b||nbE_E`O|(V8KpVD093=_v6d0Fn1&oX{YYJzdj9hNkbo4Y} z4nhb{u;#+aDC68gP-#m6BRpRk4UG7+{}Mv8e_Mf_AEpNPXHIHU!_IE>g_C<G0?y|I z_hM57T{H_fXBfc^+!Q2v%)|Z(-+*m`jGIq_1M>~Jy>So@lGFTh#s?2iC0h}K#!VGt zJTUr)=r+N>Al?v@z|UojpS#-J35YL<<}$W9Cif)048`>_6w^zWy;UzmalH)LUaDm` zuAd>>Pn#dt(~#|{&5!FV#@}B!qRkLE%K;7)yhUL^SG)b`#w@lR*Ch$A1C>RQ<>54l z8^9)?q=t2K!5Z`GYS`r18axwY&mv+<o~$<uP$c&1fosC^Aa+JV18dH~Eb0$LuV%I} zUas&2iIZb&QX!IJwX#^;F^ow+aLQ(Fzo8z{hImQhpM@vbhGI_FHi@NvKq)JqOz97- zY+doXm1R+;$F3RE;?^t+Nf87Lq3u^&h_OSt8gl$lvKl8(681dJ4T`LaX=OFU<WeDb zx)YqtaYl1*Iw8?^gH2>3K#84a6nU`&_f-US#^l;2;+)ahM&gofGwf^Jq+rq*gqZUh zlYU@Z)eTSG1n7X`YY}1x-=@X55n)nXLrmg|*lS5@i64Ak=591)>U`62Jai3c*Nnwt zRk6y+RQ<qu)fKN>FSfE7A$Gl(7B{J4uB~lnm=rh9m=w46Op03zidzw4o1`MG+4nA+ zZG)_LE&?WqJ?K!Ji-0R?g40ox!j|A6l@l^9IaViE6*}$ViQ)_dTuTu#I&FzKoi^F( z<lJMen1fQx>X~ENPGrY#I9Ai`ORT22@nnfz2(g35Q#_w0#mw$e5P3$AaY@FD06%H0 z)jtPGOHHZhp<+(-%pbk_;6V^q6j(5hT6j{o3t!3NYgl{*i?3ht;`LjEY3Sxm1a6sm z2pp5Q5k5rt6rnTJ^{P{OQXL_bi`B6Ja;%QeAoqrjNQ@rVNkw2;9?Mzg3j|&RjQJ1B zQC9{6buC4pt_lR|8XKeU$Cjh6hSE_5+J$LZ2yCMWfq8#HU>m#=u*;7vM_oM1QP*k& z>Y@{0>f-B5c43(g2rRP}fn|a!GxI+vM_rwzi-#QQ!q3=IzeTp)A6t&Pcu1ly9;&D- z6=62QTm-wY3=cOfvju@=_)8+o%=-_@*+Um~ZAGB2hSJ3=F}wWOay))Tq-!TgUD*iK zRTQI-Wq2@PnWqt0rme~>k11yl7%a03fn_=%uuSoPP%eTaK_0?|2t0n+B{uEinDnc0 zsF;Z`3xS7?*d_M(&>wwq0|~g#`~Qzmmg{EEod`DsIa6_j4g6<*Sw0NFFH6fDZ*sQR zGTWn5mbp32z4UCaS>DZFX0~@-Hr=nREg0(^4(##P6u8|SuLkd%78UgMY6Iat-t7h1 zh~yN&JxM3HeJG%(k*bAry){mbSI=$Zc%Lrp>-_>h^nJ828_c<VXunQZHHQ?g53z3; zej@sHYIBj7ku$DEYj5wuvEHwp@cYfWd1v+=Mdua>gW>hzS%*$a$`_7B{Aq`NFy2{6 zZxFw5=yT-rh4er2fJv`dICdt4Vk0bCn1k+Sqr2H;u6IX4j(27rH0RNQ#<NZieM$VV zs=O0b{T-_A@Kr%2H08u-GW}yePS!v6+x9O;>2dV$c_{h~Oh~;5#V-Y>cSOm51ac<* z*nUw3`{MU4$9o3j{i55~d+D}pZ=ah3{}?^I$8O8>_PcpM^&Uaudu}#7(+u$DW_N4T z{2X}j*b3hupSal=lQt&4&&@vVyxeo>Kcqo+>((v2^>7$+(9P-Av0F#_gz>&`F_L@H z?vt22uVa(F>Kyd$yls=W#M|TjV?kf<4ln2YONO?MbUpvPp=~?%Te7Ij8C@dC$?@*= zvc2OAvf<Jrr<<3Y=Z(+y+QTmma9Z}cr=3nGK3#IW@p<0vg?ZkM*)3ao<NG%6*Q!-3 z?-1tCN+;)nx_CCzo5#rBrG2|}IR|Up&RdE3c_@%~0et)*p6yj(%aMly+5PDE2U9u6 zI}*q-**Q$cBxf-USdKRZ9)^lNxb5+M6Fpgw=O)98lks^`=LH15E(QeX@nRtUPn|;# zBF52$?-|6n%ZPfR@SR+o=mNud%#aU~lX$z(-c9FFk*j9Ty<*N)v#yNXIBV+MtFD`M z!^u2^)Ey3fls^wPIsK}cGkFNHUNekmlmD7qk-3v+4}yb_|Hwy*ym>@NjGjX3#{Yz5 zIq*OU<G{uG1K~EAJa_7hK-6c6@94#MKO%P{*WEaG;LPi$UNict`BwydrzdPF>V+h_ zwM|58BL5~au3?Oq8MrVRIQ)uP0pkuO&JE4~P2NRt(Soz+5BV42Np)aa+oegjhwn(d zGgOptS8$p46ZdXsK-%no%fHB+D`w`*y<*<noPRd=S!mi7Q*OL+;#ISzUl&k(@{}oa zuDB`I3kkMitT=hj<XKl<aYJm<_fuwGHR}qi@rJo`0y$H!yY|{Eu=R5WjJhCa^4z&| zu9|Y=+!M7-pELQ|D`KxP{%QPF<l@wfyDWd{AzI-6#4N4wpXG4<WS01H%;VA?0q^wu z%W}CW6W?y^#gM%&>x^=E6DYdO=<e&s=ePluVPJa~A=o}38YsgYxFhD~%jWS(e5}6N z&^H4sPkkH%t8X&mwhp2J+RwN!Cc#E;h%2``12**$>|)DZhlJR6_n!^Nf0=%}Ot<YW zM%>n+jw@RQ0@;jcv3Uz2$Lia9J}$hG#`VDKJyCsY5Vv&@4ctk6Y)p&ITLC#%-_y`n zta0IcI<|igA#UqX#Y3mTmefh~J%GGeeZxl8Z8yHfV)gAnoccH)xEwwmuantH2bp;c zFNn5WJ4}r0k!BZL?$=1b9Gz{`??t&jRsdO!i+MN3kDCAQqFk>S*{;$DNQ+&Me5{8Z z=Z46$>w!%fo%2+adIo;{W<-l^myB7xz{gz!f&NI$LOM)N7=6^wHtoKKXrLM9>2OB0 zSbbKuj7<;Xb!eMTdeOD_Q@s;<!u;44DF@Auq;)#%sMz}Us9|O9iFFHpwW|{l^UTbw zOY-ovDR%Mv7yhD29=v|Eg2eIg7mj${;?cN8%;K35tV^K3lC}^zCOo##A4$KuY+vsW zAKG!#@`FA~?3K?6S17sAo!r1bj{L9h65Q-!;AyLS0g0)wNb*l47fW6*xkU0WCG*`` z);Hg(g0Cr^uj4Y^*fxgV4<(y#QX&6Ivax9lxkj>!9gqD;BvC(8awEyvl8x<Rl<O-w zPaG>=Azn>F&s@p3NM0h@*dm6WdzF5_(jOC_7hhJs`KA-)_euUp`Q{r=q<<y(?<5ZL z#-=dZPs47@^=d#uZXwy&6o#B5xd(}Q=cwE;rC%U<tmMli&yYNa!~>0+NYuYW>BcrN z+U3JAwtt`UAC!E8Enw7pRq1bu#^x{TeXM$)EB%P%uSoQt2Sw^nAR+Ss6XjDRXG`u# zqMtb=+B;Y2#+EP2=S#jw`Ik#JwtP{37G>zaMd=GA-zE8O$=0{Y1C-I;LnP`yrE+DW zvC)fm-%|buO8<;Ry)TshwbH*6%{PUpR}W>Vw-E`sx#V^v`e}Wd^pre6<@kV#`6DIs zU2e8Fk%YeMls->fB;KL?pGjU%B5YFnqe?e6cF~_Q$*(H^Ey?dm{***N_`wzXUnTjt z<ZmS>;WWa0V_O&f%c6{WZIs?Ya(BtSBpVyLsCNNn=o(3){$!QogE#8EL7b=jMUsn1 z)LSmDA<^z;<r^EikRPWEz0WECHRZn{dB5`iAepbXvE8GRza_b@I0um_B=j~SQSMa9 z9Z0m(RdQeD50HEziTX1o^HfF|)5b7W<oS~6yrv+{4-?=Sl5xpl(s{kZ{J!EKai};_ z<h3=+@h4q!l6aMPtymx~5toT~i@X+P{dMAIaf`T3EEQiAUlIA14D0hXERxq|B(LSj z&&5B9)#5QR#Pa~kC5xHjDPl7*TjZ-aEYH`w$nK)~+yydUoo4z#@jUSYkzaf;f3kR$ zc&&J&c#BvlE){<&t`OIX8^s62heUo-!uFmMtxo`R-bDJFO5Z2$7e5w_?=O`5QgXF; zO#FxF@ce^v$)fq@2=XbCn~3IoiuAUUjZZMh)+fNZN*^emFIt}f=6s9t6O=wxyi%Mc z@?9wQ=T@;myhB_jt`g1p809xf{<+AHZm7rl1b9*ME8^?oTO!{dVtMNm;4{fzh{gvQ z@{da9S3E4AAl4Hz#VoOz$RC_oo<9JQy~MuaV9}h%kv~H6Xwmurm?)Xw2~p1t;;mwV zXwK`%UnY5_c#mk#>&SmtGQTaNz8A&U#Mi~Q#rH-2z{v7ni01qb`N!JwZ^rW@%A4~& z*j{oc(c17gzRZx%A3Iq-PaG+l^FPx010VAziTnhL@(p5vxI|ngn(F|R+aS3_v^M-7 zmi&ZhZTOq(0@T~B^f$$Q;)mj=qP5|V4<}8(%yk0lTO0ny_Zj2_1ok`L_Q0u1Zz-DV z2E_SxAIqET2k=bE=ZNMy0`Xyzhl?j%M<8vw()pXZ88^}RN<*AKnKQjeG}je~uaf*f zwdMbg^n4&55D$v{XpQZg>kTkX=i&NdQ?Z5EPV6Z1vo_YZHv1!z^Tg4j@vVk(mrLeL zvaENNc&&JoIA1i^At-mdWP2UL=Ps<jPP|X#3zkfOSll5#B^qCB$bVTfKU8CRYtx_a zSW@Qel_bB#Bdtw;PuCZTVwzZAY$7%n+ld`TbKL@c#%CL7u3y0Z%0Ex!H#%(B_-+Ho zN}ecA70q=H@@GrFS-e$TDi(=96IY4Gw;SqjlKhbPi1@hpq-bsaza)8&xL15fv_1e1 zNIoc5ibuu2i{FX~cs#=OwmtwdBwHT<C-n)3@;NGZme@xeC`LqU^Z!!Glf-G_OmVh& zvv{kxR4fu#h-<`+;%3p>{4bSkZT>$m+1mVnU9z?L|DI%P^Zzr+*5?18CCA(T_i(Mu z@k<obMB`%)>HL0_`PK(O7s=hle&QhUd~t+$i8x-oQoKgIQM^UGUA#+NCEhDOAX=XQ z+a*6CTAu)KN`6oLo%p%<C-JEGmG}?QN%q&fo@jj0VH}!EZY7=}b`{SN2Z$GlBSqtj z4tgd_=9jh{-&@2&ajD2JZ<&9;SSnhZ|NP#T`R|CIiC>8P{+9XH*IcUP43S^pGQW$+ z?{6s&660<6U##?TBEQgO`8gs#$)$X|$S-p#uM_{3E&dl(?iG=r@Us3#BEPexTqW|m zUCIt_)X1=yDq3H2S(5o(FU#eMXNlJ5+#tzA#dzEL{NR`MtxbJ?=}Y+zk)QWcUMJo! z{z9}q=AM_lS1cDl5I+(t#47PS(Zjti+u`TJWVYBv>@M~e&lN8aM~c(L86rP0roKBx zerHU1y~xjvDgR2`DLyThiMvI9kj(NQi~Itaa<v$5yPn@8Gry78LToMa3uWf_7UONz z^Rr~;PZqBduNC>3GV^a2my6a${aVS^Mm;}VX8D~Wzh9=jN92dgls^*rr84Cz(b}AM z@B<Cg`Q0+vP~?}(l-rB^$e3~;agaDv<mb!GpD4}}=ZLq6w~58#YLTBiv;Gdz+K_)u z@|)s5@t}A}<VVb`pD6MpXv$5+)?z!6pFuPKT#;WxQywW!5~qp$?wR@XM1J8+`6nX3 zWv0AI<QL17w~J-sZV{Jb|07$Z@y~m*_1vSa*g@<p=88SU_~${xls;U{7srTW#rWq! z)093#oGH#0=Zf>h1!AGNR4f)(i)+P=;%0G+xK-RHJ}vGN%f#K{9&xW&F1{-s5D$un z#3N$0cucGjzY)WFUXm(ii2Q_*^B_x%f9}*)>A7MLv6t9a93~DI^F@9&M*U;O3F0Ji znmAjWE6x)ai1E*(ij=-wTq|xAH;Y@ut>QMZRNN_+iMz!;;$E>_d{^8rek>jmkBHUc zF|kJcM&!?(9G9R-ixZR^idiB*vtxSv^Q#U@?=1Eb&F@4g-(T`zahNz<%ooRqlf-Ev zzw2YWGsW4W`F#oL^CT}2i^Sz(vA9}XD{d4wi(AA}ai{pSxJxV(cZ++(z2biHWAT7^ zP&_0a5v#>xB7P9|w}%)OQ$@Z2ZnlpY|9s3o7vqc1EXNm{N%K1w82`Mizw+&KvwX>8 z#IfQ8agsPqoFUE>=ZOo%LUE~BBrX?=#ns|waf`TB+$NTaJH@BPUE&^buUIa=D;^LJ ziigA_VvYEX$R83oenHXv&IXw;U^BhG_M?V8zTq63rQ=+eZfAAvnq9X<ZBzEl&dxQ- z{{ODIxjlO#t!t02J^Yk^ZJTmqOEiL8f*uAP(B>($O_{#Dblt#)8_TNiUGmCdCnb3J zC*g*X5!JyIH{x_1+u}D(gDbq^;9(rWV8`*b!rxa;nxEEkcjT0a)4sIo%Bve!dx7@l zcSW3D?^On_e&&cX--$%3?wn6+j=$U9e|zxEHI;$n<42ri=j{Gf+4D2Yheg&@I!&vO zI86sswVJ=K(&_kKrQ0!lrhE3ls>SmIM}tX~`<$~%2JWp4%<mfccyOS)sBmHh?gXnR zELm9@==eTDX;q}RTh+Sv+m%l5_bZ*e>Z(EW2UTsEzp~OzejkA?B^~{)>&i-R-1`Xi zXyq(<NY!cc-J=<S5UgSb&KOnxENp|FT3%ck$lHg$53XuAzZgB+hrpf{qgN{`-T4En z66cp!dWd_yGjcP!I_C_mS~9<Td3j}^H|piFUDQiPJ<~4gjoXL7)`}}V==P*L2;D*H zPJq4y=?)<t>P@ZXm5I=qn1_ws)jfxr-`F>zBB@E}aFXL5PD%)#8~Ku(&s&>Pi?1v? z;R}mJ!F9_bfz$h!H*P+nVo`F-%5d+*!^ugzBaI?~PJ!xY7PpFE#CmT(VnzwQU7B}U ziw=>}s%hh3mocGy`;hIyo+vTy=n>~?r&mJNgX1#F>qYLt+B|`+8K_Fc+BnJgRJvE6 z-aOpX?G>uZ9#^&R+(_`O5kr=v-p7Mq-xs_ur8c3+TRUnnXGv*c%gT;dCqy0%2dW<} ze7iExaW#5SjctZ?<TlG&4UN@RQ|Aw^DxCi|w%2NmSO2Qp=il7o?MiRl>Pj!KS7b=l z()qBhmxwLqz>cXG=#XFj`|aFPt11IcBUOv$b4#tNbmkAhe8ZON_zuFrs@8~mz28B| z=$g^p={2aT4Yn4y6zV0jMXnF(nHIUFR#m!rY>iuLRi!80LFf)jcLH=LNOuUjL%pe$ zTWVEhVsduZ?Cx$aYJOv1SLfoaskD?DI1TnWF|NrwjyU6BcNA;KePSQ?2{YbE&vVXZ zyBXzK*hbFPrPwF9UhiPNFy8Y$j`!I^F&C@$1-m)t?7<$fe{jLE(T}ClreFH(p%W{Y z&ToS){o0qoO{L)kSoYk#C^51we2$amjHoz2HE@r!B5_UX;q#r)ip2W@>)x#CQ0i5C z9MeGfa7uEd$peuqvie3sC2!WWEqp2B-jf*#uiP3*Sv9e8{e0(WY6#<o+3AeqI63RM z$5$hO^T$QHN3cIOeFwosuZL7QS0_|0x_SWOD=Qt0nA0(#%0>F(s~rUH-Pk{SW3<O5 zV6G3ST5`3EFr>=E957pKTt+u+v9q132e4;60BvoM`v68YaNmth0-Y}?9~kKr`3uHv zdJB$T*NBTfgti8URJBFvE!fZ6&fmA=(d}!oh2KL6b_+sZLRA30D7-pY6+q9gz;-X3 zUtKkLTxr#$yf)>HBF!UDV&uO<NT_m9auG^8C#*-e=AD|m(6bmb)`gD2<Lnw$S51bV zDS035b2mAWjNGKdsc!P&RIha4UD#T$W41mscrpGzJNOmI+;$0T!|Ol6Xn#_ZRhD>P z%7zJ%Pq0-|u|Hqjq%aU%ms#y4IBNpce<=KAWN5Sd!ovn<V$V)!>fjh$QaStT-LP=j zu<*?qe05aqdG6sm6NA{_-IYbyX9K<8MZlhh{k%;c_u+RDs;j2W=MfQeYTUaBJTfI5 z{jS?Rm0sSvmEP4E5f?{C9{+e`#J=VRI@qJ*3T$0$Wo)fP?l*`#9S2|>FdsT{Yx1at z{RVrrmxukW8~3*@*xyhu8GF7tB69v<uQ%;tKh47)Z;puAPod9~?jYhp=}v&|1nCYz zcgP%NS72Xz+uz^1xxKhXm>KJC%IdQtFEX|T)_xr32KF_spMU-thJ6j`dB4Nng+1tO zu46`d29It{vA3C)(KGIC9bL1x4K#Zjk8VSU@C@{^+21~?X}H;07u?{kPpNgbj;L6Y zT=e<<N8NDvu$Npf5<I*t+$0jj+`JBUMW-GtsZ1O<>+?pDtS^HbSa#0mzpr%XpAre4 zd*wl6=rFL9*KOuJ9CUsPI{X3r6O(Y_KiHu(*XmAq5Hegh;pe<^a1*bF<h5neh<yGJ zCOm-*&)gs6PcbQpV~KFW^{f$2Yz@>IpEN(z2NP#7&k3(FMS|~}dcn7SiNAFxq~M=7 z$61e@P>^?pi4Na+fOR?iHwHyW$C$WuZrq9Z83<v%hvwXL38Gh0#M8Qfb2D@Aqxb@I z=P}p36I#UFTbK(okIA&3=`5l&39;m}DK4h?2*u7UyM*Fb5L0H)3ph(D@q#;L_ALSD zc1nCrDfu94-a+vUJPt^?;l^tN&YfI^#~>#EnYl)!|KVib==fqv7~=7$$X^KXP}Szr z>2J!s+<;R=O?2U#LIaUTdd^6&)@Y=UjMQCH13X|(nQH6t@p%f&Mc&0RSVG|%340+V zXR<kyHyR_C%$G-eaUaFTQSqWwhz0DbDLjkfvZ%O-;%(8w+aRV~H4C4QEgOQwFCe1g zf7=pUzf|99TKm*Yr@qrabnig4gV_<arG~LjiV-oi$+DRyTWTo~!@X1bNMtX0HI3cn zHbe7hCvaOf=^M1UjZYiumez>E5&^-V&3tQRjL~2SG6+`uz8SM@Q*$K2T?=N13QAZp z-&7n;_&T^nq6@7a;cIZhwl~UD^UIEkZEsXbv>GB8V$#BT3Be!wVF_p#3}QGX6q;h4 zkw@?oY8YRcK(d8sISRu~-$oLCd&b_{B$GOtply_B4GLU$Xto`}6HD1|%I7NheHvBQ zg;6nG$Y+bb?ooBB@E*6n)TuI~!LMdv$bndfuERVBK@~_P{0>Jq2vV&k?r}6Mg(PC2 ziH;@Au_G{n4>~^OR1b_L{9aEWvP`REiAko_O9>jHfiWL~zp-O`5gbu`uTJodJ18Uk z9!?<g{LT`7XU7r~OzH%Jqkw94gE0YpG)o8k_xnAH7>5A!G<yL~S1&LpSi;E1z7Q^9 z<ZE9@Enx)rD5x=tE9|f7DB@d_dhsZ%X(0j%5Imn4m5dboLb!yHt-g?2!pI8<sKLAQ zSqLzJQ5KzQ|A)PIfv=)E_x@-0>?AwM=8g~`z=nW=LI^iOj1mIbTm-o*mnbN=pa=+v zh(Hjig2gu669{bDQcDFDqP146#){Xf1*_E}*4A1t!76wS#Mb}sx8}E!O^V_<r{}!y z`G4MlmG64i<(V~Wty#17%&ccdor@add!Oj`!5BT6z7UGhlLLqJ#317xO-zP^k)mLX z9<F$X5Q@>W(HFuodiKJh%MtGwuQ4N6VXFKJg6}iJ22(Ib&naIB#pr2_^BghZ7(JPA zC<NacJmPz6n&S#Khx(@N_ehJ1G+QV9r2vZs#4I?^xn)U=o_W5oD7Fh8wh$5#enX)) zY8vWBzoGt&SkzNEM$aiDposMNt#-x3XePECG!bk$^kp_4CRYq4__j2(EQ!&R<%bi! zP54bqV)XR!!`a|h8}FE!244`2)in45zrpt+5e!a+WAyk9K86imU>bZhu^bK!wIoIl zUsZyz$c#F1r>s8!O=N>}RSLQd!54i@N26zfFN9+BtoMa*j2^$iM?DNLU(v-G!gI`T z@G&(Fj`G(uILglk=PRqG{Pg$@K8B6`nQ8FxH7DJenv<@2Jnn=R$b~}%6RflpGpcsO z`h&Kyno2YS9FI0Wn)00}f(^SBStw_tXW1~SVW;CL8XtzenT@+$0T*zJhOY;A%TKaT zB^uMfzMtI+>7pe24fFH?2g%R59(xbN*@M`9Y<~LLZ4ft@JlFRRv0Vn?L)c%I!`VFa zv%es2Ai2}`4=34E5I(dV-GPI@&BFna4Q6HAc-$}Bu0EOt{^2BBi#qH{Y4g~IvN8_W zZ0us}UpAb6^R*YJ=<;sR%{~Ktmw#LOG(XKSzPYXR!@ey&ZL+b9m)4{o`fceWe){3L zHR*@j^c*o?frGw0(!5oMdbjZ$L=``TJmsgo%*JzEEm9cI8l}C=(BJPMa~IL~SLfr% z`<S1vzu~u}wI?mgm}}2j_y;UNewuB7KT7gcg?}J<)b|gz<>YmpE2?PnalVtz4+NVx zp>ah|hnLd^8^u%Qo2N^>tmneflnfb#OK@(cK}dSp5SXA5SHl^Dko3$p0t`aZ;}6y_ z2+1>QFB}!XASAs!d2l_q=L#-4JWU#+YeOyJkGON)7*CfTemLQexN`$Bo-&i+Xr>ZM z>E*q5Tu3;E@^-ciSkgNg4vGmb>@ek}XN3_^UV8lT73D35!28V5MerokUhMT1HtQZN z<Vmm@4nr8hHz9G2aAO=&*@18w;W;@D=Nje{ycGH)<7ier&pcMHJp)k#_T-DR%VVb| zv=O-r)%KXFwkru%9TjjU#kxR|9xb$!K}PT#yBiM2O*{<;V~>R~j?294GEL3pcSOzJ z`BTSicIt*HZ4Nba4GSz2<s_oM>E}IkXeT&8AlU%!ly)>tGTGGJ1sN4UJa4??3094% zMq3`X4~oTdAhj(6do;W4znIUoRfB9cErUA2p+N{%GmCC70`w!;Y;n1B5pY@sGM}kU zo6!i$h)aoIAT|k8a>hx&oq3*Swv#6v+6EiyM;ot4@msuHj;aheA0YZWnnRh=Cx7m| zIWw^QIRc{r)8<DyEb4t>$NU+yZ;C9KGiTQP2o~!_rrmJuw5e04P0jC-*(=g5t82F# zd*oj`b9O#V7|fb^ZI>BSrqFId=h<^+PwPDGnrmlvLAuQDT@YRU|MYnLIGuIVRIJjr zDF!RRLApsWd4l!f*DRPeX?pi=SWt+LI)A}btl!TpU}Z4L4c9CvfP|yPsbwn2JL$My zB-@)D@glHzlG#;89&XI^E{?Qr)7fhhxv;PI6|7r)3Ogq-fzmuP=h}ABZe!Y=-|nGy zQLk~t8y@jGM7p>1u84RknZs5M%F0^h-8{rg&h|b;w(q+`CKePFz&`~3Oq!9nIWvPH zi>Lofxc52CTD<H&i`zA>cNpe0-dr-o`1g24eYe4=%2*gxc`D-quVKWSo{7I%-mHk1 zJ;YmxeMkCUfIm4bz(Q}~5cs{jmJIPe@P_1YN4`Ik!3#6n)fC{gaBmB&as0!}9yTy; zXR!Y=n#Y*MLtKkv_;T5hp58|=1M+j2c6rhbJ>vZu<$lx6?%t_Om#*H;k<OhjG{sKI zB)alXH~z^w{f~+GFUNX+S!#CU?G5<6Idb}L%wD_ZcFkznm*zZDB15yXyt$cOVWI}s zK_F*k4IS=XpP7--vTL_2#LdsFi5i;4DDS5*2eR5_)phm0MzenDM7nwR!TJg8r}Q-; z8(i41*}Qat_xHdGZv$e!3_QDXaF;GCy~RUvz1tD+SzyS-88c?UKLq~Fb5WQK$Wv;C zdG)h1rl9(#)U@@OOs^fPe?r9jVCf^?%`4c8V8O*JhIN;I(|ZOIVUENYouK^@YlURW zoSR_1;53sKI2nvh5@U_zU(Ok)+Kz@XiXmGh-^qH&wbp7zcjw=0G~_>JEyP&lFb7(F zL^R{^4F*Gu;S~%iXE&>2hLvjjAvNl#yt)Ru)_A))r&$;IHiIJ8TFAE>7Gd*t{a<fU zB#hDbo9v13s+3zdvMThwq}vnkNLU?Q<J}qfKCFrGYMVL#mKzpab1k@Fp3eg7qv;S> z@3>~cHBRQW^I_`aOtwV2cZ)Xx^1so9i1UBShKN7E;RMUydI0e=2s_Uhgm7GVtGB&q z&(;1^#Dv+n0g!MfyL$Vu9cHXZ*90M$p_zG02;>bvn+IN}2j)RPp+}pIn-1Cf8TA^{ zHNv~3cC&HUA|QUh1I}}ta=c%`{c?Xi4)P{An+IN}0yoto^k}njH$slr7wm;!ya=N{ zPT8!!)$rRq@H#1Yp@Zq{X5&^NAYNbNIDI^CtUf+(v3aPYIrJSg^BPJuahu_f*VhjE zczi4$=eSni-SAUi796)fp`-Dqk=^K}+b`O5M_ZoRHpnALhvLiy%r*>@>5HuZTGcPb z`5C<$>3Y+njpgI^JY4*7bc(ANn1QH1jt20@RfAIweO$9@H@jalu3I|!8IF0J)D+?8 zz;VB9AMP_=-=ppP2UrL;o7HD!%lPnrhiwp+sb&kB_kkX)-bv7unYR@E&5vJDJ>$2v z=*N2I46|u@eANj}pl5nWd^~${&E^@4Q1LQ*QqAU}=FlDE`ZrssW}~f;_>Hzl;y2nB zvEv-a7}^p!;|%|dCPOgDW8*l*_1!#Y0%%C?fn+_B%}5lxJ&E(5;~T@fi+#j?VpJS0 zjuWSev&8x0Z6eQm>iwa}^N}(ibCSEnpNo%*Pm&l2pAmmc;$RLdo_(6~pCwmF{#f!! z$^VobLf>HeIwbbnLTs<_^CkC?%%`eM*I)8TagsPy@v|i_6;~*Ht>kr*w@Kb9`5wvl zOa7JQXT(=UvrZc2J|X#QF^rR!$79w%gRLl|yd4zYS+ZIGjQDKHQN^3}&qzO3@&v_C zl{{1Oe90?BRHy&mo(R=OhAKn$d$ybT1rG9IH|4G($2Q8@qUl$Vhe#eNjumH!*NeQl z#rzA!v)KVK{S4`Ur1ZPRz2ZY6$7||+LVQYmO*H)t@o!6hSNv4`QZ)Sy=>lvgFh#5@ zntq4y){@T^P5(o9SIIraY%xb1CYt_;^pho<{s{Sc$#cYo;$rbO@q6Og*8TIH0v?Cy zr{F`99}%ArpAu`oPyL$0-w@vx-xc|i0`>EK0+JUcGAO2s^+a<=AMwp4w-MWmokTt* zq8_{cpLV_|=ZfY$fILj{NRe|erpp)4W(UC7;X(SPN^hPXK<1o}dVVNw5Vwju#Gi^k z7atbwd(qY&z%vSeNqku}c6+d&w<PmkEcg4NXzchvJ}H?ObH)e7RI#4eL~Jg$5!;KI zVmI+(v9CBt94cD-09Q)BTD(@ADc&F&yFV!3V#zDTJH#J|>%|h$*aJeoyCvTvJ|O;5 zEEhRfWIg;={GDj+2hboo<NqQWdqI#tlKhESC4MdP2^sT+#YSRNv6a|X>?n2?dx*V7 zzNkZemx-gqE5u3S6mga~SG-xgRa_;m7Wug~>fa>p5PvN05$_it5+4zt5T6oX5D$sQ z4iWUfA^9Egeeq-QQ}HX2Q#6(<NyNtojoeT)c8cJ)_69N(-bu_7FA}Z2fdP^SiNnRw zV!k+8oGIQQE)b19Blrs?uN3bTe;{rVw~4$P&*R!H?iYU{J}Q=r&xpSjUl#u$z9s%u z{7^hDT6+ZlkQ~Iskoymb#tstXMv`mWDd3x7Oy5=PEoO^D#Sx;lPcTvP_2L|{P+Tc) z5VweY^^E(o_6Cd{B*>2|{29^MLqhm#lHU;jD!wOvEPg6l`vYG~w)O{%oh0aMiupG8 zZ|o$28It)$P=<FAyNef#eZ|Yf;o_CzMA6zCm?3$NIA1IjSBkam3~W<)Oss8Z-~omI zQhZW;MtnnjOFSliEPg3giG10Q$6ZfsA+{FJ6E6@i7W;~qiNnP!#fc(c7o`3<;;mw# zc!&6Xahn(ue<tn`4~UP8&xpSj-w@vt-xH6CpNe0KUyB}o2(z4gO_6LWwiYwQ3q)%V z;6G{yV2bivdjNAJ&lmYFB=>)ZSlbT34u$_%yiY6>e<l7}<m;5wcSw9)JSx5?9uq$i zzYxC`J^Vzbp0HS7Y$jU!039TE61$7N#7o6oaj<CZ1zaI{f@tjpOp`oYoF^_7ZxdIG zYsD?%Hu1;e-QxY?1LC7%x%iy;qWFsVy7*V|J@L5snOG%$E%HrZQ;%XJv8mWfY%6vY zJBvNVi^LppfXMe{ssBoGk~l@YPPFz57D`?$t`zSOe<*Gcw~9N&pNV_K2gF~BkBPq# z`2sJ?_p11&_!sf-;t8=*{7MYs2PyMgdk3}c9JE$^hIqc1Db}`okgM>h$Ty0qf4s<7 ziYd<%`A#wA?}=;0BC%L375UOJ)9(|1DIO3HiqDFN#aBhXrOf=+9>OP*zYzZ^(gq;Y z;j-rh(AVtmb%-V$(=Nj=#Kt6EW3j*I{fA|<7fyod{>(XDB4=NB*R5M`vmWp4>+T+I zgPq~FH}9$A4hHt=ue;lUb$5+6lpkNbs?oN3>r;!|uFp2lZ`Hi0&PM0>l2!F$=WegJ zEwwmhlY8#)<4y`(YOq)0=0njxM!QC_MkLtwkXtaiGB|#Et8P)OfeAiU<*s>pfA;<n zmBBd(Z|3%SqAGDsy+c@;&@x&uvND*A(Cldi<p_PUDq&5eWoqj{pN|hGmZofPv}1V1 z>+^Ce2D@&LyvksU2XhlQrfj-6>KxyKwRaoCSRGWJTI?L}y(*y~bzACIZ&Pu>RRxz8 z{Jy~3dSzvBXkfz%XuYyBlzwGpIQt;92ezk{hEIHb;S*KPng>`uhx^3Zl9ZpFs2|2B zFAg2wf3(t>e5BGDkq-?=V4@};`HxhFBl*zrG*Ta_3{E}>z0`5wgq!+gRbWjDH0*_j z9IOSpEE?W&q_Y0p{L1>Xf?GnHp>HnqL1(ZCI@dxcbcdij4Bg@MXQ~o2o~=sGK>0F4 zJA&KuD;py&eJ=E8V1F4$DjSbDQkjOdY3WRpUzt9XaR*KWQV&)oWu%;lVBeAO!NcKL zQM4qQjCDMncK+;Gpi#=PKz+1MNw@dv6rUSQI<`Ei?iMdvZ)@P#O1IIr&^oTv>wH`D z(jP~iPM003Tb#P%&B{p8o0UWN4X=RBmt!fR7c1*8PdpI}_lz#b`ku6%2e3BLIX-{o z;ArZJuX|j;_UjmluB-}V1ku)q_7B)U7VSJ9H5a_d?TuQ>sDCIN&20H<WpL*h^a`X; zzq#P4s+5c;Q1YHF+q4e!{^;<_^>QovCk(0#P7H1<&YKnuV~@`r#!94}FIM(mp1Q40 z$<_f*N9Xtp%dbQ!+U=~feQzig{qfo5$y-CkDD%Fi1&Ia8C1VRFV$Z7!lDAD{n?VX^ z-+`85%csVYOW9(f;$^K&%O|ki(DLD(Xgeo!(xHFs50$X3Mxx!0Rt85*fUcux{|V4_ z6zxC3w11M(7T@+^=(q<uE;VhB9Fr$h*3S=aPTXXB5;QG=#^ulijbUgELu37-r%*r7 zRE08vvBVum(aLO1w(JD-fTL*f325;NmFY{KR_{1knLfg{{4?kYVYK=^X#InSO}pa^ z2$g!r0!?<I?dxpEYCoKfUCr4zxm5sXjej<l<7~X5GB_OPU9#J!yeeqU#vUzsE-qy1 zxU=zGosB#X>+VP{=DApBYZT|A*K%a5x|@?X)LB>fS+DzBY2{yNTk;N!2_d-TU%xlx zi#_Kx-|_qx_nh~$s>J&JLM_%g$FE$`s1*Hk-_NRoxuZkFoU{!EX=yte#aeE<%}G2K z4WzGowE5k~Zue5R*DX%p5sIC&G2HXEX6v1#V=KMJo0_d_vcBmC^vZp~(%^RX)_d@7 z%^%Tf=yTyWD<>}>Um3~{^=P<pRzbt<4NLAV7+jFN>GrCmJ)sj{_r$U8xdX>rj+Vcp zDlh{*U{CT^)aZ=gRC$oxHKDD+ZF{3wff{VwJ>19XTzII*1EJ!EA2fDGREF~t*SnkC zt!V|x+kaE#?ipXO4LvzPZ8Olr_8dg-dZH>gBe67j!;>I&rS*w)fAoYKMjYa?Am-4R z=vC3O=z(Z(T{Jozy?H=+{eoc0sLEjJsLJ|lM^!d{p}fk?OD<ulnib&hp69CE8Og=t z3!bZT@}8(l-jg<S{LElc^18HwQRtaSkvER%W)x!#b@z;_42Q?lmses!|7dg+7d#6s z&mwKG<aLCE_PhZ93kYjaoLrLD{fVmZp0qx<wQ8{LmxqHT`=Y;!UJ;!X{c&_pwBgn! z+s@hkN}AJo=AqJP({0cnVb2M!cQ4`ol1oxHVee~xgA$|^bM0;rXD?UkZQtK?`{V+Q z2kFTe%ahlm&R9Qtf)^v-jG3)?mItCK>n=I;;s=RqUi=`q2P+P-{;=J?b<xSu^eC<v z7hq56$?M<zAeh0?1Lx4rr>asjkT&DZ4-zw;!#RMw8Ohr)iqBvw)Q^eHXpQ(htlADI z7e9;@ajXxd%zGYtUKu?ced#b#@7Wpsd9-dx<Kp2JM;4%m-}FVj0Tq{dc@={L(Tagd z&9<jb9y0ldlY`N4*Km)!a_g=O7B${j_}Opnd$V#ud5ayX+tN$J#}bp8H)~#up56xi zyv>iQoGa#sn(y$A^>^nscaFceywUbXrK#KAtn9rr;kcI=+8*A#E9Ca_j@{ul*m$0s za%{Qt(__KL$?F;xrEICUF|@5|K`WkvL&p{rfuZ!#absA6*eh(!)5fsi8myX4!5D_C z*EMDg!?nhYVYuF$b`A5dU;g-oYY(q4x_%|aUBBwDzbu*?#r114u3t+qis4%3O!lu~ zXTN?W{+F&_MbY|OOQI>UaLJ)&9UrK-?bY$9iNTlqGYOZY_#gHU-d}G!=Mv~wLxUH) zeRw54`)s0aX~XSyE-?V3SKW=tTkDqAZ@D)&xv2270rxk@Oyl;H=iW(;)+=gMlDfXp zhOfU|ap0=xgYVRfrf#kK-nm=rY^%Hens<6dneG?w*fDPSJJ<6bubD#y-I<_UhChEY z0jK}Lnn}LOigk_WBLZtCS5pck@!^XXrQfcZ<YOy*X9^*9&17Gup}N4$3-~vba3!Nd zN$r4|<&#Yr7);`4Ot70<O_HE_m=X-~Ic*>m<WkIpc2rm3<^c&8Bfz`C6g@Hc078=7 z=Mm&m3Eq6bFHLT42tUakcldAdmrs{M%b8}xmGI7>$Y-(cNXGtx;x5LHVk{p4CQoJT zXvT6WB@I5f6DjfeN=iSb&8K)R#paB?isCAWW+~;>ly;+%%~Hxql%`|qoWjQ(?qrHI z^qul%wO9_(Us-w0<B08m+bSu1rsrNuF&~GL^8V@K-%k_GQpzc;j73OmmQot2#7J05 zX{1*uO~K+xBYg%bjKz~vdDL7uX*`Wle<_^S`{E}22uR`6IA1(J72-7R+9al#x|F%q z;{6oE)gpg<A?5$|OC!TID<xTAZ02Xq8P-X%b9v6vVjN=4#@{}8&Ds0}LcG5mG5}wF zI7AT4_^<0EO*K0si6%zLNFoOgZ>kr@s3T-_j3j(@qnpFq7S1F=(&{Y-KCCVxn3KC3 zWpwx%M-j~IN6?oJha7}0;OPr1{Q{0AsM5R;ZgiWBql3z5!jZ;oafvq;+G9tGjfH1O zu`52suId#0`I!TUcft+th94@53hCjqf4qWD96>{vaC-Qx5aC|{ZE@-$d}kp&o8ho< zis*)hHR1I1fx{XCVuTI98Xnq~HHqkHYy>P_qo=tqgktnW;IJNaCsvw<VibDA;oWxP zL!4Sh1wE`VEH)rm9XuwICmM=Huq{k-+qO*87J=v62zuB=aj)L<(RmIWGHxuSXB-^5 z+aG|p;ZU}XC|fW_;iH;>P>jM?H38um1wLUms#uMxzm2B;_)Y-!%SV-dRgNu%m!lgN zf)cO8A?w;gdXB<j*K5tLDZEn?5Q<Uwq9y<fG$EXe3x&x~t3mI=p;c-cXmoB(1FbD& zOK}B<DJnfL`9kF~dib~pG5ZSB(Ss(yq2398<J5DOEQz(PZl@80;4L*xHj3B@XBOnq zv)dO!F?t^Hg>a0Xcj0i#tVO&27!LLQQFRlWGmMsBQCn<QwxB7eZAxTBO`9#Q^>&%o z8;W48G9jkInZ=;=T;~fY3OtX&ArZl5#&@o5Yh&%mlIoU*u*kGBQb5zq3u5%Jg^{D? zR2hw%38tyhYuU*D;@^?P7&r_t!5BT$;gEz_V8XH7ke+3Jxa|!%)Ya8pz;kY060^+@ zVUcP23X}cT1u=R~8DZ{%7(INTjLIbVOc}c))H7BWvS;vFD-NFEV^vc_^i=vnD8|0Q z6BwBZb(7VFVe|?bB1iQT>?Byk(+Pc|01oHc0NWSLA=uu4Uc9<6u}6B$_6R?qce?2n zkD(*@0omy>3hWssKl{bQa1eGC#+}})3+?G`Vr-`{d1}t@(P&q**=p5ErlD(X;WwQb zHeXz$){K7RdFE=ATWwCFxQYt+{dBb%i&<dQ&S~X7t*CZCIq)opOLWF<2#((nTm}E$ zIGKL-&J<?{D#PC>vm4qxec&GwC-b$Dr;R*nLvZwlpzW(RJr9UIHC`v>S#XJtpJy)o zi{oTFLdECdK=p}9<ConIG4W|upgq|Y;=?FE1ZT@*$MN_ywkhMo?D4ZpvNxV7jtd5l z!(s3v*h_=Xy2@qfqW;DKj+rs05lnz}Tu6`+r1|#+aOmO0A~<xbwbj>CE-3xBc%BQn za5w`Au8Bkb0f4{d0KB}Q!!IvP#KJKO)8Q~;x-okEVQOB$EFav5fCOg&7yi);wfLV; z<ieSf*_Oo8M>gOHIP9G8haM~~WTSGJK{&Ax4l4tf#pqe&hqG<>!l7KHg<SVW;b{{$ zhPc!W4Y&?+{CFA8PlyAcz>hzmG6{b`!A|M<49*-FJ^tu|1G9YuIoJ|>GXa-xf-Qkj zWDqYpS#TKs3IC#lWq<5R0e?W)TgWR1PY4WBga(S@xN~o!?Ln+FZT51(rEI$qcF5G~ z7=q^rs&sjwJy}pdI|gtOA<ugDJNh}!T?dED05K2FEIPDjJJZ-fio4>8-_8sOSJo72 zjLPKLnHG+H1c#H!a0z&qxhvLt!n+&}M@jhmUpI#PpJ4Vs+U^o5?C^rY-Kyp!G6xRr z^<ZIQ*YxUARR`FVtQr11MK2*Zma#lMGkGNR+ap2}?y84^<27)wtyWE;91cYzH1d|3 zk(afJaDuz4&cpptadkkvb|}GyEZUebev6m?NzKkXi2h%_#!!!dzU%VB-(z{<IoaOz z5$~KxZcBbuy=A611%D&n-S{XqmexIqk4@i))n)Krg3qf*yq^>f@m@gS1w;Dc>*!qv zVj0-)`PubbBK#iqd6ALEm+56j;K|Uk!<Jp!w!>n!cCA`rSy`lQH~i_Ab$&N*12iAQ z%0+y=8w(OQ;4|0nI79jl%^HeTY52q7OdC9G=TH-zb$%A|56Q|J)UDI$DZJjHY_CqX zH$URli8x%Ocpnxi{(PywNb#*eC^C#I*SJdYFJ8(xZ+51amgyB_ds*YW)Cj)d&A%zr zCuVi(*6Px>t+Kqu*;(FO_(b?mJggtY2f<4{Q_eSxcSzp}Dv(N{;Qr@#OP??lnTHI` zN^hHm;-c7@S=EJAGOy8&VKo()lG(SVH$D^f*OgUvo?ml^Q8m9peWM<>EE~7byMCPa z9=>2+;)Zs3t?<eENXxF?JX7krnO@x?-h@oAV>UzTM)bD;{vlj6iUjzZh7XxDI<r%c z))^PIY3q&7_R=HX)J!iu)0-MOFa6wBSS8Br*I_|lN+wntrevmf=+?ST>kKR|%<|Vw zPT0Z9?P+Sbu3x#=o3)K*DdVLkJe*B*;-7Z>lg>Y8m8G}HUk6#&Z=!iVe90u8z@)yG z$wo_DK#B=y%M?bpuQA2fvCxOVtkaamFYjU#p218ltBcXLd}780SWxLLh<ITf?7Yle zG*jP<mOZ&#HJoYs7W%_Htb`0_db1;CEs?$*+M;W>p#{CYTc&fF<KoO7MnUG#93=6Y zjqBho!WZjX;ZyA~^yasmNSij^39PQX4+~RY#xm4R_;!5>VvajIR-uRedFeRs-au%` zC6`=sL6=Kgdxw$qo&bt+$aseI9e>$n7j(($+_8rZ85X<jvVmQ?bn23Yzk}mry!zw% zj>Rd-m6^Y1Gv-IUc9F&GPgVHdz27JNets~J?LC6knO|V7=u6HDJbHFrEEBx2$D{@3 zBk`v%(lqNa&4<Fz^kw+}$U@A2@9N8MTNPP75dNo@XjU(m>|V3#^jp?w-gsemR@~s( z-5jJcOFREhuEUIf8^AOt7G+{-W;f^mx8Hf^mHdqBGI`7JKe;Z`??M0Tmt;D2trDij zX6qqZ__HL(X@daN7G|dYRy!TzxSa=w8T47xbwV2EiQjMhjLXi;`YRn^wgR-}99t-a z*!}i}KYjxD!nuyIv)%;Z_$6TGc`Vm(IGYDv=QZ38H$R6cV6$=8#Q7P1M;LkG>}Jzl zg@E||hCAYS6CPu5zuX^>gPaRz^T6x;0e7Y&^k}njvmnRo%kPETBnYFv6gaDICHyuI zyv`TU$8>hHas0IzuWweIJ|2$Mw+VimhdL6m$c*nC+0DkSLqNQ~CD6y?WBK^>#_IbK z{LGUD$1T(fzvrwH$n?_f6>Yjxt<StBlh1?D_vQj-yFPN}HJQ&LHf}f0ZlvpNa`=B( zK5kFJ#UID2xO!=XIC~ro;J1AqUgysd{{{;E-0Z%{xNhkzYL8<_U{i$SRV<^A?ZbV> z>)VZfnt?F8S$$Tvj1T{JtjT0qYBpOde#rmC8cWu3O&KWFY~N*#CHouegkwhB8q4Yn zd;IcBjn!tX;ZJ_{d%jc0wk6LI&ALjA2WUF8KChF)yNhOBCDQkk92G~4y#GRd)5Pn< zo5f|~T5*H8Rs4~-TfAR<P%IaJFCHNi{Kv%P+muls?<@Wj$zMu#QDKZvBvH=#lFyNB z*0mv?PlFlXO*HG+5Y9)e48M$oJVNpW$pzv<(L6audb3^)>F-qfjf($?xLe_6l7A`r z3CX<q$o(FYY|J=8--nV<i6NYTESFhN31(16KC_+@ayQAmx5ae*B%Ae=ka?qv=_e?D zl4P@<67k$v&pca5(~m&*W6BtU4SDy2a%Zut$Z?3_*`nz`kcUVfDUKCqh}Vnr#D(Hg z@iy^J@dqNubndT2+$ru7Ii@oHS7Nz%P<%;zS^T5;rud$COf>xs`o56NhxXLZhtFgq z(Y#*(IU?EgH^>)A?jq)h1H{_vC;6bCdZ&wa-Q;}9eDcru)nbuo`X9o#Oa75)`XR#i zO8$lTE3sTWD848j7GD?bcW3@4`2+E|_?dXN?<6;6|3i6Ni0#A<Vo&iRF-IIAo^5^P zRHZ8rIj`dW%(`K4iR9(tYH_W&Nh}d}i@e`UJ--ltC6<c^#lzyO;@jf8;-})5A}^fO zYu7)X?YqbsN`Ibsw)Ky8-Qx(Qze>DDoGs21&-Pv9bxOBM+#&v0+#}vEJ}f>eJ|#XU z9un<$Ti%px*Ezl~`9twj@k@~dm#IIoj@Upn2I)}0tt7V>?K;OS$#$LN#gf12JIbRK zf2GK`ZMgsIMEm_@yUuZ$!dHmj7k?-gi>2aE#Gi@xiDlve@p184@dfc!@sHx4MZ3<? zeoxu1bNoW_r^MRdRj!L;<MG*bj?E;u6wejU7i)i4nN~ZQKUcg=wCfzlNw(`8r%AT! z9Op@1C@vLm6IY9C#Z6*~xKp(29PgEE*Ev2S`7!Y+@j0>f`o=dE{ul9m@k8-5u~Kvs z{o_v%?e~|PNX`(?6MKkuU1LAVdE({bDABHKoFv&iXvV&0Nxn(EMda&XY>%~K?e&g3 z6~0T{BieP4<&qDIcHQIeB>zD?BGz92_>sat5o@o1OvFPQmM=wYB-(Y59VB-W`4SA% zXN!FBLz(8)$$W9LI7_tORbC=_xwuv=68RvOdUlHY#0SN4@t}A}d_}b1M}A-OXJVzu zmj|goET)SQv6Gl3=7<ACzOl#rlSRIjM|p|3QoKXtdwPuDF76U{iw}x?T*!2G-Qtsy z`DP!(Ul;AV#lK4CyMBzX6l<?nY>YW4<I}|UVn?x?c%fK(z2fBxA0>_#uM($=*NHcZ z_WQ+4Brg~3_lxh6yiqI`e<c1?+$-)A?YhM$BtI+Kb&R#YYy7U_E5zF0HU6i<xh9JB zlq%K})5LT!Lp)E+6uXIiM7xeLPqJOdI7+fz$2dvy6!ALIu4BAe@~z?u@ph4~akAVc zqWvE7PbKda?e~#?CHdFlLGfAfkZ9L29+muO(XL}WCfTlItd#8Fw=c^R6l;H1xv9ci zh<xvq=`+O(#Xe%5I9MDZjuG?4$>I$0deMG=*{)->-(Oy%_|2kSx5zhLSsuGi@e#>( zo#NAypBG;i{~*?0uV~jPey(`h?4kZdv5we4Y%aDE+lw8=3&lPnhV^g#j_V2ij^cB7 z+Ih0SFKh1LckTII*7Nn~(d)v9+0MS6kLNPBe_79$pSEJ3o9%9#a42Q_eXX3$xY66r zX&=i?I_`!OUT(90U!}9W$?9jTZqFNjJP>lvedd8jvBs<Zp`;UEXP4$By}Um+;g9<& z14Z2`11~&RwKmVa@Uh$wR#dcm{pn*#$%$CoQj+(=v7{7)B_|{GvnQO?gg<6ghL(4$ zOr1=<Tk}FMUz7LT34E#t;mON4Ph@EL1m1_wO@^i;e|#r(Kt*0&!l(6u&i3||f#Ghc zv&roh==Nyt?!y7CEP9Z?z04s7-JgQ)a{T$+1f2c{Z^dUZZ7?Z=a1+h1L^sqA$vpE* zwC4zT@dExWj1MGT!-%o;51+}1V8U7m-b#jyxYdLt@$R$7+oXY;@8aJOdK1pKU;-Z> zVOLi%u^XC2gn}P2Nif*cqzrCCU_ux8y(xO-p8f*&Y9L`CBJukD3iuO)d=oLrZHvF| zaD@Bj_(?ZpZkvsGoBk1@8xiS_oJ(P3GH+VAqZrc(E_5&BMw__NA(q}9!#KWp&!5Ha zV*y`D>LZjMH_|X92%9evl?VO+|7^-L9ruX<_3$ni-)#3K-gBt48-L2+QuzzsebprU znDPSrksLr2rT_64)-fo?f6E6atNoTw!r(@{<nUWQb@449iiou@tV^tuiXu1k3$I&7 zdUqXHdvw92oVA#bCcxi;hiEs`M$RbW1vvlBa2v@n<69FjG7~QTZ8pmHz$H3kHU!6R zz|R+dv&#5}5j)L6IJ||VShF8yWi)w(@pp}p>~(l4ZYRK2gcpC!#s+-^4sSg90e%YZ zKgIbx*s<@I9nbwTD`w-&%`ziY7t0*BjVD<RcDn*q`u~$xaWHy+*H>{~!K*kQ2Q$5O zw?@E-_x)S5z0ZT$My?EIPV^Qpm^c$wxhGy=Oj0LK$H3txdMj>?(Bu6xn3*vJQnvAV zi}6OwbZ^cIzOgeW;x!o(2;vd{={AAS^uRy<!sFc7wI?2H8*|0ZnV$R`b*I@d_H78C z7Bp#6zflA60D8cDSit=jGse7yZ>nqH<zplLBgWXeG0Tp3G<rokz;^nyYvxbu7@62_ z)UYnrc6;X@lX`W&cHT9!rxZlyO<Oo~{>(YEBV8}*(LJl@RnC9v$^U6(uc@!og8j$+ zsJ^ZjqJIA6iT|WYqw+?7ciYPGHkIekoH2Xa)QGjqoI7;dY~P-;dAGnB*uQ_DNC#HX z7-PnM`kZ+YR7WIFrtBjl=FOQhZT|c@^X7N_chq3NJ~$_@q49gi&4M?f|D1WST-<qB z4=1rs(y-(}N@BxcWA_}lsh8$9bDO&@ymYr!psm{ur!b~K-)Kjfr~4V6*XP#dF!^72 zUf;Fn|9f7K8Z?`|Fr5d97b4suG+PhR!lvtl0Qz~!;Kp?E!?GQ``y<@uVLA$2GiW#K zt^K@yZ(aXBJuhFJ6j2|`#Tk>$1F!iM;sJWJ*|=*UTR)@b<A7Sl1z2zG=k-16`_I9+ zU+&ND*UWsVNE>afMXUf?eY4>Ar_6rj06bKL%CkPN-w%CEXE&>__VfBNar(kYY|F=I zJ2nq>(3TsY!`aPx*C8Ojd{d#1$I0VGl~?Qg5&X=P1;?!g8v1do1TwvJdqtb>2=2|? zh%md^bbPjiCYWo(FT+kRwgPBXKNsg`^lGHzb27W}c)2|V7k?bH;_9Ul;%vPjxVkUH z>y$&^AL!9$_e%zBAUF=s{W#x0+w=O>sI-i@d{$pvknzTc|G($;4K-)=$BbIf>&<n& z_Vap-)n=^u?|fcA0WHPny^}<q%aqxl$z|e7u}It@{#4{S!SoM^oc&UMT>P#0nph#8 zATii|E}kUO$-h>-d2R|l&Cr>s&-@TYd<V%r#r~qPD~ojbl#$N-j06`;HqSvJ-$fbw zT_<iPk<a|XMf}~8_mhyhF+I;QlH(9rU$pln>~rr<3g;NXbiG8L|CEP`BgJuIzBpT) zC$1207q^P09iZ=S$^3~y{q}kGuO&YvJ|`X)Ulosve-`-@lzKiD`4g0Kq9wyI?%Csq zXyR@C*miBq@Oo#uZ_|snZO&?ksawxpS-rpGeVZaq#&GHMuirIxm^Q)=Q!?x@O};I8 zo3}nuc9<FzHH6)pS*y}v<0rg4u{f~afgL6{xofo9aWCckLtb>nfJP_X%hFD|GoR|8 za4aP-IuBpbc7j!j4O$%Py&rKC5jS{rUP4u{K|_4uJvUnaq<ibcMGcVyF+;B^>z|&4 z)P9P<iLZNqh;N8{#mS}5H*k(6hXS7_dC4c-<itw%;veM&Hao|6!xj_ngdT6dDzT*a zq%(QlNoVf5ldc18`DJA(uoHBk4Ay}X`&T#vb1M@1!FEFUyejvJ#BFIO1K|xP10yz^ z^vcstdQ0vtTVB?@WnM)%Z}*9yms63H)4mEX`*fOn=)woq<0RW~(iyS-q&pW!F>86* zy=6!-Fs~vpdLGJKw5U;KU`eA&@6lHmMav4yZYbMVHvH80zk0k3Ut7O8ry?Obup)ff zxmBqR-0VSz&Zces$$-=7WFUY2NpD}Hlimxrm)&2M*7}^5(TY^+8F+40QiJs;gNO@u z+Jq9J4rjGK_5DxRm$}*fQL0>(s{YA9_NJ48@=efFA4j;Oto5n2U!9LN8PSTQyrC7T zIgzRal<1+uiCcH$d)<L!cRJ19OD}1-eNeNcB5&*HX35*@Ztyx^`+9>NxI1us#>xlq zUH0BZiLlg^zLVc;XKg-gtTK&V**!WW$`(djCZFh^cr29kQnLfOuyW-lR5_ouIMmOy zf3uTr*zI#57hwY$pa(Qy54gU;Nq4;I1)UPB5(Zq2UXWN77%-&Of!x0zey2h3(<CQx zdkSn9;l5q?XkNmxaN?7P8|+Ag^`-Rk9#Q95Fw~INP*Pq*xtvZp$DI|8b>}WM>J=rI zB(6{1Q2K6zlDk6A@x+zMvE&_zCCCeFQ@wXS658t|9*2ehqB<>;j|EfjIkqCS!AUHx zzrGRdeZ5$jz3;`!9?MfV)Q{EOT6EIgS%iL2bTY6!u*GTfWO~AeHPP=!Ls7J84vu`* z&&q~Zqzt{cY+yy=fT0x$iRV@Yo#7RsL4zvNhn!y(Jb+#_cX&n8(4iG+gE~|NOE#Qz zM|24e^kbVetPG?#tn`L@n+KOoEn8EzV^OeAzlvbL4ppvmewBM*_^I1ZLH~dO6-@`C z7ni)X2xnq>yYTQ+cT@(u52y$YXotQXD0Q;~8-D>CR*lP3w}!WE9PnagsNA_==%Mg2 zFF2<n9Qa9EXk(qyH<G-K&WX`0R>2ZbaA#nvSDf)@Z+jqiPNkb&xF})!!m{AziRj0x zDu<u?-l=!XmX?i44{i-?^w4V(wg;-b7rd%a$=79WZ?7ul0P+{jFLO8CfE;&JqE#<y zSm|EI6tI-EJOQcQ?a+1V>$2Ruge}l<;ES?%%8;|c!NZfINwBAsz4JE>hF5%0A7^=@ z^J!8br8pCHb*z#1X;N_3+)gc@YmnIT$9XQsfP3a1o13&TWpm+YJ?>B3-l!zum>X`e zwc&9uA!U<uyupg(80?7cOx{s<YdzR|>Rt432rcZ69WcCNdFqdkE%%;37EDSi3akrm zO4tzE@?vFpUn$0pl9NtWQVDu!r&5d{zbG5pDu#9p^ym1ISK;J1Z6j4)o6?hkNHdHb zr6;}R&2Uz2!ngrzLf+3$1Os^$p*)Qo=Qy2aAIf|H?LE2K$zVF#c`o`#_#w0^&c1>D zpzl1`>nT};HV?mvzuuC;WjPo*3ZZXJSwD<b!S2C6(3aPxDq)(NJ@628)%V8^qifb0 zr0fS>IsW-R7Nc4HhA0_Ew0#XvdTW1Bc1Kx*)@d3yLL4`cCYaR_;|6;2T%2{Yww2Wy zHO4l;sKNeS1T76TYOJpGTBdN+$Qe|T+OJKOGuF-i<za7I0B4=&&UzqWtBW(|rT*zB zJ)Q;0m!)4lU}7H5{lp;BwmfvjevZirC2n`@bEw;AVxChK9NXYfgD6G-jQ!{>x90OI z&<Uge*#7C$%W(dW9nxxI-ro<yDqTrp@v#Qz1qq3jDI-!&xG8t$!9HBL@UwRJr<B&) z+NfEB(xeTE#r`<pbn5$=bKj4mxGp5{{0^W;{AoF^4Rg1mEs9Z&<|p0sS*^;-er>J{ zXxaX`74ATeWf@gTPZZ;t&>Xc`jO#*k96!hU5Zd=1)4pN0@4)s|Noe0WxH7O!nx72L zvhBO3>><-8(F%uGhOwr7m!NeYeSOhjwCx&Q7jnwjy6gqKGDNCUQKSFi7JlLM7B*Lg z@7}`Y@h!aeE8D`jE>yR0U~A~u3Kt_{09W{=4u?{sziWmu{xY`lbN!#g`0iqSXB#&^ zbP>n*RKy_Esd7(m-QW7H8%B*x#poV1t;^9pNiFMCx)XneD|_gK8%iqb`&sLI*?xgd zg`c&(e^j(z^s)E6jU4Mzw<T<SIX@kv3q}+tupQ@a`J_{~fA!C@F=elDG&yixI!8&4 zJHt<{{XDRKRn)_5gJTj$Ij?yC-v&fqeW%{Gqzw&9-+4C)*0Ivc>uqSX=}&)4-=4Io z;r12pBo>d1e*NX91J2`L@Erm(MGCr=pxYdO_QUOr{}l*1@E&G)UPsQOJU4NXLCDOA zucf~yC$C;0fzOe=K+<SV33t#xd^#h739Ep>3k(@yG6$3TQ3xem$M{gvrGOszc)_G& zCQ}l>{NTBvS53+w=doTeSma9!fP}a4CwR5n5itpz_6Dc8x%AT%RItFE2)~~D96?B+ zZos_(K_1_z49%H~d%|@C41gbN;;Q}Ktv}hkT3Fwt!DlblBPmX9{B=?^|94a0V&Jg1 z@wYQvDqn(hFQ?KO6#s@l?r_HPmwzgMQn(|`F5wH`#n_RI#RH@|%NaSIQVx7|_<FEA zfg)e%tYe-9O{BOAV%@omVAhFJNr2KVu<AtV4NSJ{@P%UcYKqU|c14|C@c81p5bG|2 zO{GbXA#5z#zV4!~l4cqS)|X7&QX_SjbT1{^Vlr_b7|G_l2zL_dnx|Wnc|&0u#5&lG z;~J6Xdg|agvBaem`TM|+<(m$5&Z!m~r9k|Mg};WX7E=7YTKo)Z>du^v{a*V#Vrd-~ zA_{E8BXwZV8;{YZuyX6dK$P+P61OD~0gXmX#;)s(trpi&^iY4w)c|7MYOy!PX4T?i zh%C7?3iog7@Hd(J7w+qIgg5w#r8J_`@O=dS2`-IE9xp=bMtt7oHl#&*c+R2c6e9b= z(>Mp7b9hH?9VEOX$J{9p3qbe9mu&7`Huo=W?!Pd15mK4ljd-)iX|f*0rS%McN4Kdd zV;j?X&qH)mQ%GO#!{lk)*X2mbBXWDWd~eYdbr@nzQ76OGj74p3lbN0UlDYlt6OfhP zzi@M0zNTohKX0?YNe{F4z)!yBHmOlDia!E<71tp<Um<k|yS!UxR7^v(DZwIosN#22 zVUrpaZyOaYco2(_{bA4@i)*k{d~Q{Q(7}v~N$@m2gk&cBA@)1J1RIgPm?fCy_P5#p z!CY*v`c0HQ51!^WsZlWwAyUEYrBrc~d%IO}8*@^{MyujEs<26oiaxxt=9gkOvhSse zWiH<wH1#kJ(MHAf^spXw!qeO)H7Z^)Doj1xhwQ8m_fD4|MKCHpu__v)Lz>2H%)5*x z>tOa#r+yk_THWw_%>8DJoPj96$N2JMCaup~fi$?`r`&H+mR6_q<@=ek$q&$!{FL?W z)v5QH)K6EZ_T@hsy@Q~4Z*|HtlkzxXl1-oWWyc(1b5zY_q;}tMk0FCOM6a1@sVP0I zrGD@<=6xM=nAbzXty|X20A%0C?C-nhV;D2pm)Y#=Z1w}p{<_WnH<P`|X6&vMZVdAI z$N!Zn=Sf8S<@9BLN0mtFaPYV`u)*#q++5|1(8F>Lfv0f?Bs1k)07>O+hdjp4$lSoo zHv1jS#s0LFo>NGA5T3>~FJiKP1PPsEZU{psoL|OO=KK$|ux0dRq&xGQ15NyA_o;(% z>U!;*)YMB;`C>*;UZ_n_5<Jhx#kC%i(36zu`Valj3lDA-Np%r~mpHtT{~iE#Uh`4_ z#<xtjZ`dSHJul3+0df+z&?q@Rh~kIp&4D<PmjbE4{`g)&U5HdKv0jacewylzO=KAw z)a1d~+S2XXXcS_`rH(fxY?R=1HM$xzJ!-Ls<R<=03e?b48ua=?8g;P9(2>CSX4HXN zx34v{h}(%tz&7!35uU+DAEyzp(RbV=vVV9+!2AcnPb2xJ_~<(VhX{fh{Ro?j<cJ7^ z9|VUcc!?fx#sD96ykc~WBz$$FPoYtHJM&*`^tCf8MiI6gqhdAX7{&a|?7xX&)xfL| zwb=rGqm4c)f+?5>3+Xuo2a76%UnK~~Zq3G{QR3Z&_Slhg_nA_Z#-}K)PQjCr)c^}C zhI`?MisC|gI2Xc;8U)W+6HZUa6zYn`K$aiAx3CNz-)2ufp>pjt2f?y&2PB6-DB4>{ z4`0ytoSWPjJ$L&;AV$wUzTm~^c^D3FhY;qKdS~6xhf(lVsA8jvp7n6(0>s-k{9Sk| z;IQwZH1NijFd1x<uu!&5;@(I}$MO1o19eHyC^#r5u7C^Ti>Z;=AK>G32HhhNqvu^X zSdQ5Zqj`ZCg@4urcrgl%F-D;Py9-%^s=D2U^bCbV35Zc}K|E84#OS*M4o1=nV|T-I z4;)ev``{8$jwMdp*hBF9bw7?(oQ8{?*$X{cMwlCj(Q_djdK1Bk1@yWxdN>z@5Qx$9 zh%b0CdR~S@j@JR&QGzieBHOeMdI>$3o7NdcEVSV@tu}(KM%zlrN<`pb#4iw|r?)S7 zF?ueCL!xeIS-yaebMzj74UN#pfaAtHjzB#_%c4Mxo-|+ZV)SIf!J<<WH1+v#&_eJ{ zZ@*6IyAaOQDIXpd!yyCVTXf1N4#A-giwo&thr-!QuuGu@Y|lc;tmQyc<0zTg;(Ac2 z=|NEhV=u(Da45SQqo=?Ze2ZJ`QAk9vw;<6n(`|TZgRnG45Bm@j)trap>Z8rshtN*! zEnKCA(h}Ff85>yi_=f&Q5nPakZtKSA;nW!EZ70GxG065J`q^1-hl8GFF?#&YGH<Er zEQb()%>M+`DN0SJh?-6@f>5U@EsQ&V2k|`id&PLd?+CXnGaVt@^om=S#^~{T#d!9J z>)=q!y9(JE_ydl`V{h;~z~W`51EibYuy|>V9=|tCU~lMPT5c4P3umf@o<Y74h;4<3 zO^jWX7P5iOD1lwr(ZWR33>V|jL}s%!hgM<9xJrW!q%E#>*howa%X|dKNL$;9rXEKV zECYi{zq^emOg}>~c^nR{P1r)(-SM1flLcxXrJDgkVdy;m7MCtyTMw4%iVG9xsm*-J zre}T*2mxolAqNKdmz<v04g&w0mpv!o%rjkyJqZ3~Bs&oNrVNSLGs^9<*9`IfhU`HM zk1vb#6_V1oG)`Z2+I`6ME*#%UB<q^fXC%=Bj=^k}D@<Et#mE(KxZK<c_~*tL_EwHp zOid1g!^lF+RxtY`2O}mSxt0b=h|3jhM;j)wjZ44nQYI_3aI0yatQf1FzguwyB08Zk z7<YKO`Da=F1bA6T2<0%g*Yu7N9J&7NdkO9l34Hbcx`W;(|HK-t6R)e*I+0rWVg&5` z)r^O{hh(<eW#zIzbnzNh<7-rnr>f_Ts_`|d#($Hl<>s(QvXOsayjKvvfiou~J?EOe zjjY+*NZWx?{oI>>;3MrW>}5L*m)MQ&pmu}KP5Juk<~O>&Kc`(Ab1moi+sa(aYtoo2 zdt5quMUUv3Un`|oqmlO!u7C?WiyiK5k;DDWL&yRXdb0_=$%Hb59l0S+v>J_mTrt9T zenT9?d4h&9Dd0X98*O%QO~XW?M%$9Oqp+7=qzJ^Nh%3DvV$+;OaXJ>o>97}Gq=?h8 zC{9Ow?ei*DOIKk{UD<0KQY@<}&!VL@DR@4xhSD&c)TEdfr-Mh$i$|JsOI(Uu;!@a4 z3sS`G<(9a;@ThqSNkf~}>}7GB4ts$?iZ~sM<8;IyH3y&M>Kd$`AJ#do^Hk3gky1L% z>CX<7Tz$CJbGGE_J`!Ws;C+_3Al3p$tK{lhsGf8sS2uR`)C;NX9_<V(x%$wn=UFJ1 zTDW?)g;X}<8ID)=Rr`3=HR6v~^%j4;HZyOeah`hSgR`l4tnAG=IIG@vZXBFdA9rvz zqn$+J;A}?Qqj7LHqdhqOAm|O3fNF&eQhG0igN0w>ikcW+dLEPrtETi`ZLU5O>=g&o zge)8uJ2YOSCp5Gb7t-@I9DY#Xnhe{S6kdnJm5F%Qct;bw1Y^RG!>iP096yG}y@i}c z=fEL3!TA%yiwk+3dI655N1^Eb5DwN(iACt}CK+c;&Ea&A^hV%NN`ez;#qgrGRwDG# z%Rv|Vh(ExwX!df41GZN?)E6qmY<9LxW2OAv>HoeQZ)Z-tF7Cv|c%Qj0=hsY5vtn^m z%6uNa|7&4_ot|UYW`6EZlColk_zlh-)s&<9>SKS<BHI}5<xg-h&O3%SY3cvU6ksfo zhB~)VEW~4QMn;dI|0o>lfMB^$rw^MsC4Y`0-2NJcS9M@+QLt-O+a9%rtq!m$SzYJD zVLm`;8fi-GALzO<HZPLc<K#ibYv2sDS~Ug!f<z+o6O!}T>PQMt!!eTdC%9wSzPu+< zoz80C3D3)Ln4uz%T64552Sc^-Qp}$-@e>~#_RSZF_}qVj@a-3J>Ob3s+-iIm9?cJJ z5U)mO2pWapZ@xgqXJ*Q?PY`Sl?uuhXe2r{I9qxrgTiRrtmX?{I>Lwk64#}%TTq*{w zGC^YqT{1Q3<+O{xc($qjF^7w4^<{fru;#+oCT4fez3^%iw<iX1jK=&hKGlEI&i{2L zWp~Oln1>BD<*-jiFd?<681&yzG^%~rR6_M{KVGxUlZ%?2FGA3Ald5_~%Ao&-@=)zg zv!@9JAB}`C3(RSMv(qL<sSGZ~g!r>d%A7&g%sg}2<+S(X?7bkwEwM8(8)xhFcI1tl zrE^%sxK~<eC*el0i8mr~F<e|PVh}HTn70#$;LsbZr<wEOrj|9mk(nMgnW}4@YU6${ z@$z{3FC$w9Cal$681x@512g@P)-((4A6EQNGkaJpO08bUp#Sh;F%$Q4`Y#H$=6!Id zhuVE}zkbQ$f9cs+_M&g^7EJLUE+{kjJ2gM}IO#wivOB#U{cs0d+znp-Smsk%+Bxra zZj9bLO$y%OHCr)6mK684Y6o%RgG@GfGg56eDXYg~-r8p71n1WHly@sQ?h42$I`44B zkM}n0n}596UDu@irXP1UWd!@U0xqsv_zUO^K|et7H~%QI`k2{I`At6>ZA#uF*$fwd zgnU@&&(x}CKb)2GW?g(T8}`k=!0b+HQhw7fRGSjB6{j0qTt)C_+!=ys%;fC9?3me4 zX@3`)-}lv*(3*jYcY-**jz7YM=&77B$4vt{xx<wm8+wa2&a&*b8J=ReQn;OPcH>Nl z^A|pd=8dgoa4X=RhI<~)Zr?Q>U$x+DglmL2Bl$7h-Ebem9fz~qcTKkl=jakRUg&tC zu-i9<--k53AUy`h^V@FU6ut!2#q*f9pm@gHEk2CrxZQZl?tt3~w<|83kI{LyTnEQ{ zdk?|Uj?q_enBiA%-!&bdtMeRK56AQ12;86G+Cta4ar(Y%x=Q9jHyQ%R8;iworEq_O zdmGMf-!&bdr?YD=fMfT36s{aDh;A8zv)gw~$Mo_2m7miH_!j=$s=9TsY}jS*fpgEe zewV9y{r`h!PnmVo)M?I?KKXO!&6zRpnj0e1XU>{7Khj}Q?+ZKT&zOBvWPw??idDvu zX<D<IkCnb=o$rl3@~@paJ0GfM&AhhDj44yPAX(?xb7oKL%oV6z%!**`$=~1U@iVn| zr)CXvFMsv*lsWUJO`38;k4f`q-Y_+@fM@Ic1yiwZ7k+e9roZ8u1^7Iye;y~8v)Mb0 z1=&rqVF=%AQtR6Y_j{cqeZ5a$&HV>%<VJl2;i-&)UJAZufY3Mad4xW`caxjhlji%Q znGA_WV3vJ&`;Nm$Ulj~^uLUx(aJy{j5Cn8=@2$kQ5Gs*#HLSz`!^uQ~=S+gw(#(F{ zJ9h8bzD4)yO#7B*w(ruh4T6v@8`&}$SDn6l?et|!Gfj|o5|?_HAJZKlfbgbAYWDEU zrI{Uv_p*iQ2<<P~(s;kcq_a+@SM!;L+XI=2c)o@8=*7dlvLy?=-+I}o7Jg{qV=pD! z+k+1#yz1f82G?hLzg~imO5i&RS(#j+{*JT4d*s$3rx~Ze8>aFfTQ=Rh*Zsu%Jq*+z zau>9`8D{m{!`go9)@HSPM#NyT*=ertM~xZJJ1(l#`1UNbVXwMF6!u_s*bDA-g_T!_ zmAm5<_VhCUiw08qaJACcmihZ$V7^4)eZ15cw+A9h`t$0fA1oW9ur1a5`nY;u>)eR; z^|`+<@2RD}&I8rDzF)2D)UwRQ-Xlw=dp`(F_b%R>(X#91v+$vUcIoVNi?h4+<mU(Q zL4upJZ#e%#e6)ZcZ)oSu&E~fYlCxWQb2IsAkGIe}f8=Ji?UdEiyE)RvE5+vr-o+OQ zj-r}(xslFoysb+k-fz$Vzi_8}YnCqXP6ft!w<Fc50NcF#=;5Q$75cK{UY_lDsrS&J z8@(ayI-MDQpfEGHrvLR}(9q2G=i^_C_8q+s@i~nWkG<O_?oli8<?5=wUei@g(tZkW z6!8j7M>VQPn{N6cIvBLFn|4zfy!P3{yw^~+pL^L;&>3&^J7cCdFoFY%c>BD_L~lT* z@qZb}^uEHK{nTuJ7UY)9o;>05c#b|;NOi9|LlDr*`x|UCYzvx0z1u|m#)&^S{lvd_ zbZ)k{8O$+MXNWsFt#%#RpN;ys)X(6&=9yn}a{4)rIOeGTc59}$GiZ)_k1dXO?t;GF z@1SUZFv7#;_gQcbVg#D*U6Of$KLmMCEL(Bj`8m;cSGPwuz-cwWTQr1;K6h4ldolj} zcG(c`dVCZnlV1yY1D)d!4!%MX33#{~Oqp{NOxe`>lmNu;XEpD@;rJU&D(E8;zUc&O zS)nE^LY#Qp2quPs#;io#fG?XB{^t(<QwAWI)qeisG^-E)HoFw2@TaLhgV_x8&5hGP zBB8@EAL20fAXwC{vd=Mp-V|dk0+nLEKT)mUw<S>k!wnHum~Y1esr%8k#7#E2Ni}KG z=wU<q4RCJk<(swWZ7}zy1zlm}0_f(*m`C;JF}ga{-flJC?#{ev^KY89!1-TgumW%G zeWSe!&X3MuucBYwxybZiuvgLRf4{kk|Es<)5sv%aWq%^%`jc1u^|u_PIfePM-Rw^z zJ`0M=?(FJ|-xWyL1mT$en_qXFUSAGp^T6x$Y2Y|B;{vRAa;<bfX^7V{@$#zOY`S~| z#P9cD6h;;BA};sK{qZ=+IdC=)yw1a&Q9gRK*|_T=$Ls4c8E=syjQa5IX|=u;@Y_7_ zI<G??AK2K<#w|lYyuJaGp$~<kKAtnSd>i4ndEj+cXQ6y?zn5%W5#r+YjhX5nANAq= z+-iM0;b$JGG28A7VL!Z9`53<kE<WAw@qF(_Ka2UxIJyVnFx#JN0`X_SMQ65G@hsw) z-fqj04l1iR9xu0paPh~HkK?G1Fdhe9qpdy;bPw*gdV3i9UagjD_Qie19iB4+9g_D3 z_)a{!j?qW`+-JPL<>;qv5N5advfD5+KK$QduL8^7<2G9>e$fBK_a#^-tPg)<jn{17 z<@*v?6=CXxV|y)o6`ZKlHdoP$+S#20I2qaR16Ym2wk4^H#A)jUu(+o^i9~$b$8csQ zImVFv#S!9_BF6&8&lG2iw~9Px8NWu{BJL1>D()2@5FZgA7k?|hCVn8A??XU;XLJ_s z$GmWb!LK)EFq=eu<tm;l$S7O86cZ&+R{V96jop6eyG1hZby5FX(b%Veo^6tUs&w~A zeo*pn#6u#N(^1b`lHZa1kz}s9Fpn{DVv%X$xnd77R~#wwr84TBEP0w_V?Q5y7D(o+ znbf;d@?DboxR3cuCI3kB9?6f0&xvn|?~us%kz`{ZAM!sWV*+mC!zA|GKynMo5y|IE z?n0tJ_EvbV!Usyel0>^sB$19!#Hg3Hl}O(IBX3juoszA+`x1ro;S|&D7x_?t^5cqs zR`Tyi?C<yDQ4+`Zp5i~0Z0y-1zl&jo=@Z0rNQAc%J1CrQ*fCvCh37~fAdXS^RpNB< zdd1HX7b$$D<U7PI3g0RIT)a>5r`e-N`JPtz^Car^h|>R6{7^ih_*0S-a11;Sn58tt zk_>aaZN&@3EXDT_FHtz}TQdJpF<;@vjy%f6`=hMC`HH_;T(0o%Oa7r4Q}}Mt*ojAe z-ecu{c%PMgl0^7Rl8v2s9QWG_KPG-oBK)6{jU9N%b$QPb{h%2MJ*^~nl-z|xy50)! zukb;VM@t@0LibhTbj9DO_=S>hlY9pWy+sP&rtqDT_mj}~3liy`Qv6HeYvNJG|4lL< zZ!q6y3J+o)$>XXcHW6Ep(93(ZjK6?HzAj>K67|ww@q;9fSNv5Z^53BNg<_$&O7V9| z=Dla?=ly5q+pF+ji2R^B%kiY*Uy%HJ68T;ekCHrZ75|}RTt-YfbZ0|zokTufr<p%Z zOcy(gT}95bm@Zq4ibKSaBA-Gr-3;-1ah|wP{D0ri@#|?iuY;(s|J%Qxb3*0hQfZd= zpJIU5Kgg+KJ<(jx5uPr&z1UIA63ulU>9Qr~h=WD@9i1_f$BEa7=K7EPH%PuwTr8S- z0OD_#%s0_lUan{-w~Kd+_lWz&Ux<&3Pl_*yhr~C<zldg@0R5jxt`ff%L%PnI`2x~4 zlH62mBeoYa#ctw7;w9o>ahNzp94B5QP7`N~^Tb=kr6QjzvVP3G0^A^Zi?~zVCD#6) z&O-_}^9|&GQt~t6OXADo8{%8yyJCfSLi}9hI|?ixtmhi0im*R!<R)Tsv5jcIt7GRS z_PaX06wmeP)NAJ_gCvg>$BOylWO0UQ=Pfr$zD4A-RO;pPRPrv7wk;|1B?+=r{HbW> zGYH=&`9bl3Xuq#>+PntoX`_Mq-V)yz&HM)8pGy8x<c$=j4~lif24b3+F18mtirvHu zMKkY#zn|pV^PkZQzf!zfyjHwkoFgt27mF*!J4C)g!SeIjFu7ISA>J+CBR(MhQY;q_ zioX?qC%!Ho75^%}Cmt8=cXjxTo8{mSQ!+_R6&s6bVjHo&*h$P1FA^^i^Tfd-UrOeF zt`O~acBV+?f-lC;7HfZRXNAIV7k?<)@9ywD6XrMbFL1Zyy`q_iA^ca8`EE4Rzaaiz zH1jfqzbm;yJRyEAekJnxJoSXcuvq(hJFOL-A#&k8^O+Z6zzZe!5&MaGBJGqgy`8_& zQVC@<e*<Z&gz|0T8qv((5WYq7Ht}9@zxXTh*Wy#+b7Jjz9POWQe}5N07f*^V?sYIe zL98P-5SxiD#r9%Hkrq{${}M4z94w9$$BHz$!t^u68^xQ%CE{|C@3J#JU&$diihSjb za!mY*c#p`};TZpr_=xy~_>}mfcv$?S_@?-d_`b;Xebj5e`}37#-n3(QNaTHL%Csp% zM#K(cC$YQOOT1Lf6$gvM#4+MHagsPiyiS}g(ufWBf17x=@BhS<?kD0s;(g*T#9xWE zzyI@!!e1BP68|bzh#!fcizh|iz-D=aBF*ejZYs7G?RS9Amu$ZS)Kl_BVy+k!hlwM_ zabmt`zXLQwGVS_s|BJ<9u~aM<4~iAyM`G>o@if72GU{tCb`viYFBeCNQ^f-DcJWSe zx42id-^F=JG7S-Nf0ber{9rTt7ki7@;so((af!HG+#&v0EEf-ov~)!MABq1EUHq10 zcw;e5JWsqp>?2+(4iiU;lf|jxeDP-ScJWTJMBFa!759mci%*I)&BSv4N&LHbLJZ;o z2IE6wQ?Z43zL+UqBK8ycU2*0gBTf-#hzrHV;u`TTu~ghC(r6U*9T1-upBE2{uZn*b z-w{6+KNU}j{}dDO5P<rV#rk4nv8C8X%oMwc7m1gM1H?h%2yu*fm3WO<AkGqR5^oV# ziL1pQiW|gIai_RPykC4sd_;Uod`^5td|iA?{Hu6O{8;=#JSEbK7weCP#K?2RW@1Ea zCw3CE#9rdXVt;X<I9wbpP7tpar;FE#^TdVXGI51SGh;00CULv?Bk^8wzxc5DsQ9e- zg7~_4RQ#*>o_JjROso>W7VF{x49n9{Y%OMpG<?SRY_Y#MP#i9f7AK06#OdO7A}yy; z-!k$0;t$0w;x=)&xL15od{}&3d{U$ZHtIhr{#ATW{7C#nq$M_{PY`L4jdC-QcG)QR z7q1bgiL}(l_)Q{hvr*nH(nK5O10pT8QU04qTWyq^iZsqf`2vx~*(hHs(i|J*ks__K zQJyMZFU}F~64!||*T(b*MA~Pg{E|pBZIu5azAt_#rZo2B>x#7I#`L{K+H#|Og-GLV zlxK>x;YN9hxKg}B{HgeJk*405{`Vpcy-|Kwq-8hCCq)`~qZ}3+iA}|x;zc6uz%l(4 z@j7v~xI|no7Kt0hz2ZKRmgK1K74Z%6E%ANvLy@NCnBK)hZ;}?~$i`v|v9(CUbBrG> z((D}Nd~u36L!2$n6KRKz>Axq^93ACiF(&>*q?J0xzbL*UzAnBiR*0v>e~L|-`uUrS zv~fp$mx_7fV39`d7@sfF)*a<J;zDt;NE3LB-z7dIJ|fZ}9^+pZ-xB{S(jp$?zYxC` zJ=|z#I1S~IX<}<JL!{L_#t#$6h~q?>&SU)bB2DK}UM8*)?-FT1kMTQ28qlNsfJl>h zl%E%AGLQ0`A`RtH{!H}nK%H`uNUM01TZlA{M>$WVc|6K<MB2lnyh5Z|Jj$CyTF0aO zxJdhWls^z@5RdXdM4G*$TwkR1JId`u8o{G{xkxj3loyG#dq?^EBF*1X-XYQo9_436 z+QFmzi5S59LzELO`J4m4)p0pA;ea7-w;AH+ry-`<hNxCU`}`#$xt-WS>?CH1J;WSw zfH+7TDvl7xh~q@_UIWTqAbFNJS6m=25|@a}#Z_XFxKS(?OU0eyE^)WGSA1A}R4f+{ ziigBk#Mi~6;``!<;&JgakuQ|6eehzpVMq*%G*8L+bTJ~f6ZyUm<Inis1;S}ulJVxf z3vh(wF`{|z0^w677l^aOx#9wGk+?*pok{99?`42Rk~fOQVyU=O+$HW49~2)J9~H~R zgW|K|3*zhIQSr~>JL3D|hvISZGm$S)vHW=L-jH?)D2K)RVq>xP^P>(5?<CfKe$-px zwVxvmQ1}S3_H(3sg`4+DP@buh3&dICB5{ehTwEot7T1bJ;zn_&xJ&d@FOAth@tY$} z{j^KBUfsJzYPPQ3FYMN<XOFDjJtL7U6YT%(nw53oh4`{;*PcCk`X87*`}bvo?XeR~ zNqZR7e5YjRzO;2sHl%IqSaN=8lO0#J3U3O>rlft|Y)`K9$<bABR!%OTo|p5<@KsHA z)GeA3b^E?pIj%fybMpE+TON$|jz*imaLfy|t$2H`lbN!)MN!VD53CBu4j^yIs)S~j zH`~@CqvEeOcPMVY<xZz=EM=qH^?PYfr+S-`)+HSaB<6hjhvoH3dqq<>2Dk7#6q71S zLoeb>2hQ;htCF_{OPUtcEf`xcvNAX{88*i2?<|5plzyZD-_0nWP#Mm?qbhMtD0Z+a zVNI}fS*s_ioHgMS^-~^*!fJlPlU0E=yH3;(JDFD>`h5S9N@woTN@wzs%HW86=sQvw za`LIGG91Z=rl+ft)=&?195~^oGIt7e?S+O*59LNLiyo=0KR3U!{;a^3#Ldt&7aFOp z2-?;{8?=U?H4Lrc^k=FPGoGzV&Va^@#2ta{`IU_kmp&KTGoD7+k;=v+j#Q>0ZCX0h z<X5H-W!!-ifz*RlNf{|8BG_{zeDH8lv;^M^iIgYDf?Jc0h3o(LSh!JANrU5FV%tM* z!RX51_yw)nM)`Gxr>fjF2lrpPe?(<)IKrE`eV(WauBmsZSv1%($~sI&X!f*%a@5(A zIMPVV)YgGMA0JLFP1%uDJiOxddASvX-2s)s7QvF#jW@N*P0xsiTRNRnHYKeOZtVMI zm;38&sJo-i_9n%N$G+!z9TGR>e3HL1?~^O8Y+n4-Cu6U?qsoakFa2B78(Xy6l)lb6 zeqd!2d_kgJ(U33pWIX@HJsCf#N}77PGe2!wDCRWX)N)I5Nz>w%+nbcO-W=}g9Lovp z#5W}xt{c=Wv7}j%du(M=y=~`gxx4v#r_tud>+5g8*Efm+C5hVuI}%IBR)(_2RtCdm z1?LqkD7X;4A%A(OYlC$Swhu12x8U}wq&-QS%BzBVLMOiNc?asC9Bp|=RbU3%bWhS& z)botrRC$oxHHljT+xA8ij=RCe-NSvH&V`41Jdjx2@Po$Ai2skhH-WFBy8HiU?%dpa zlUzbr!~h{&2(pPGY=Rmk1aeuG9a&URSrq|U6cI>R)F3<>ARq~W&}v&NE)cC7v?8K) zH@MZkXk8QAf=dm?%J1_z^O>6qm1_I%^ZfqL|Mj1|PTuExwmI9JIWu$a_tb_j@^7#= z*=1=ngWLAk**ho9+&c3~q=eLFpv`t3Ku<vp&+ud4geO3zm3DTd$6oBO0zdfMYc8xB z6TLWE8Ql~0t&c{BV~>zN`%-86N=McD%SY9wt{YX`^!a^tc7CvwxoSQW|93u9XU_<3 znK1L2IxGM2y2PDnvnI^)6$jU+%^ZdPiV*qZ8E(cFw4}XrRBbpsfwufo<NBsaW6R8^ zk;>Bu>nnW&E}@;z!~Q(nQnmz3(|SB!7v7n6_KJ*@^$#8NmF|i@5*-(v9Q}E8XS7jS z)2&Umy_#m7F{`RPddgO$A3?uK^lh-u<@ti8p-njZn*GQ@XbWmC!GFXbwmOcg+P3?Y zZBu5VUDAW)p>4qps592jPX9TGH)B=?_V)<;qM`NYR=x0<f6WV@`F3(o6I}JX)<>sA z)1&COr{hfN!42<z=F1#|p8Za(f5($`$(ab7`R-@_%x7?=AZ})GEBfyYhC=-q-^|wV z&$n=f;FgE6BQ@&-A@iTbnO8**MqfOL&^vcTe;sXHI=uR=h3M^z{?>3n^-w3jday6G zy!p1wDWPaMJ6b(3c-fR+O$qnBGtalaadB!%!B+?F#jYy*T5V6<+PWgW^a1Pxle4d^ z`QkM6{4p)IWo$>^AG5kHVRS{yV9h|gMaA_kti!JqHbc)&#I7wlI}&iM2DXJZ|0-l> z+cky$l-v5)Nj0mSU(^Io4VI*COe#*kEwJ^}nHjvAhmD<C42IH2Yji_TTa!}pDqD3; zV9W9hZ*23g@nLk@VaB&htNy+_P|6W*B*v!`N4WUW4dWBXAdPUr*a(+f)nLO=>?DOT zZVJY@rM}Jn+c>_t<J^yrasK~@V_bu>(r8jesI;nir~8w)zBU0hG5CUv?i|N$*1+q# z2k%bah8{8({cD*29Q*787{z{kY~!fbusn5}H?lP>36?e7n%Zh-Ua+{}s{!|=m7<ll z4M}g-GNI;1tEJhY>EadPieT~HmZ6d$M&4}RlM-LRIA$IGLt(Hi5O+^1o@sWz*yY}n zCdIW_Vnwk0#1`|d@uRiSVo6rkWYc1-UA7qd`G|=q*E_f;O+?$jgKLg=w;#GE;r<rB z3u(CbB*d69u{QN0wAUv0?q)HirAV<5DIvu$QVb)-)Z!;`Px^gb2pV<$+uy<chxZxe zF>@mBP4D1dG!gfriM8oVpVEEno!ayf^yfY4_qg)HxF6kx`;vQ43T{m-3zR!GzGipS z_?m>bopRXmx2@`C?nqNId{O+g-OoGHzTG*y$GLZ;MEh)v%BIzNw&MNh8itO$BemBZ ziLDmK{#ZHtf?L>fp|WAPE7|8ctv2U{H}m=nZY=n!+kIB%_{xa(s9KbfSlnP!cvH#- z&R9BP?~%$qfvv&q*pVg#lX%Z3{;i2yJn7;OTa;Oy`+jxo-iF(Gm8KO3YE~xW9<%G+ z+VQ(=j3W)AyP}h#d$2E8r>eoxWbA>K#d~O{Nc6@!UncIi{;J&rcB41WoxmP>mfZ(E zGBdR*9L;L=8pbV-UkIOmE$$gfsOMm2uU2hZ`}+Ll;L8p3s{1>GYW?T<wr<Iv7Uj73 z%t4f@@S%@eZb`ykOFIfVbGq5u+A?JeXHTITU!vWu1@>6V!F}MSv|%XS^ga1$9k);7 z>}r0XW`*5iL&No5KkN}*^hqe1Shnk<W0~_lu^#)3^G!3~^V@#~?cVs;7n9Eu51$>N zb|jx2S^_VhFJUMpU+@o*95b6AK7t<pgaz<$s0G(I;xgkBe3D^cEZ?;Y`9G9k(>oM= z2q)5pD?WeFtQq$QYdQ6`LuN%-$lr$&He4|g{G3iF*v?4%6QmP38<G_$M2Lbw2-f9+ z7hzu(=nVIzfi19KAGi<hORV5zI5`vS`ymCH2WO_8Py0s*<XmOXf<5H_68{tJBK)`W z%o$*_-oqD69(EF^$9Df@#5e=Cq^n@D2V4ki0makln@`_;6!{=vN9mgYr{rq-4x}%3 zSxM$ou04pJ*c~f*EQ1bqrBHY-{YEn6Aee9s{@J4_a!G#p3i^(w$S1u9+<|2brB{$f z1Ma{wmJ(M#hkwpw$5C8`#S-B=W8zZ~8<^cvE<A?hqIeAvzL&m6q-KNg{l|+BA15~8 z?k(e4S>GdU1Mc2pq}FJI28*#7ijgK#;(jegS^_BrTcb>1F&kiO6l2*6SIq5jY9k&& zRfng>#Gm6^Yj|5soQ_YOVXhyvC$i}tqSzuPb_qjVgu=SM#S}9bcM`kGF^I4?vQJBC zg3Sb4ri=|VvPapeTt!m9!+;aN^MF5Yy8*wQoXlMWsBAyb(Q;DK@!2pKzX?Ie+k_x7 zeqVvXMoqtV7D$TQN&x3gjuTnX`dtFJvj8_5h}&5pZleJf1P59e7w$4cgr_}1vb#c? zKcDaGk33kR{fVGLSXXI3ZEwNAgLn@HYNJ*c(DD{iaYNC@G~<*<F<pE{gwsd@Z@6F` zO)yEQuFECgfPpS3g7N8$5V2zrY|!{acv%`%K^spGkN8}Ss;JM!s8|ZHuvKwtf>Dj? zzxp)Bd1)B;`Xt7ANw7Zlx$)|YZR+3$LTrgu3_@(-C2tPaxfv0m-<~XjfsPqsow1G~ zBIu`xwyuB{zMDX<30`(^FE5}aoM40<1++9X!a{!qEiGIjR6$Ey7$^eV0p0hZ3JN(e z&^>tp@CCAC(xZiIqu@?F?3NOW!C_&M(K22|p=C^^f9{2$<y%)+S3pY!+Rvm)OR?z; z<B3Wb#wPa~=Ly7%awe;c^GJdXU{di~f>FI=DJ-lg1Xx{g0G4Nsu+(2c%ge41s-WeN zD}*a(`49$WA*e#fM1K&LDvku!h`2glT|ljiO>rMWpgRh<@N+y2Du|c|16}z`D_Dy^ zHx)XH;JQHQFZWl_a<40dDrn(~K=_0!X!#rlopu9ioh#pPX8wS{ZGcfJXn=@mixC9( zZb25lTTaV(7&PX0%LAGI3JO!|9YPfp=GQxfD=7RN#>5|lrnw9T+P3PObu>G@n)18l zajmzyfUVcZw8z-hfZLE`AApsuW~$C>G9$3jxb6p~A=G}WV_m-xB`ku$`Pp;_U=Z){ za$4AGDA(cTfu8BHCUhP8q?=~^Fcu;wy@G<;@9=WAAKx?L8X@>f5nBlOD`@$^6+#uf zklLE7=W2fiE$&q{<~&&Wat@IRU0}7#lhE)fFlZ%0{h)SvXTN1lhe6ICDDWB|LhW*| z`H|~dQw*;QxDF@=y8#!3x(+A?1$G4!pB;hvJRz(r@cMx9@fzN-u3s8!bO?)0gHJc@ zd;P)+TKKyV$C|gWf|d<1XbfVrv5q9vA8MDgKa4Z|VKgxZ#?%`v3tb^p5qEJPj_)3| z%h@$Xz?dw0ZEpmx^--s2Cv$k^L3Sd@gT|NdmY=L6dg&p&mqZ~fMKI_-Kd~`2R@W|% z>*KYtLLhhE`1F&C;Pu%gvl)e(s{_Cxn1D5YqkqCie-Rp!{UAOJ<-IUo7?z(c8Xt!8 z92hSQGt6r-K8$xc#=E(>aJwyg><{^I82gLq;o}JQWb6U(>2eM@=3oFu#?VGE0QBV| zK&GF|)v2=`SAnOx9d-5HaxW^Ez5g?RUM;yM{s>|m49@*PLG0YD)Djq65*X8<%$LFe z7^ovA+MDZlePIDFa(-NJ145NA1%6FHcOc$_F&jtF;$HRheHC#-_+B%FXCVi;-4gB) z4t>mQw2*t@Z7JZ8d<P6BD}*k{!UDD$m2u(x#RbeLg-2nay_6Ty1u(83@<!nj7}O}? zURm?7p$mk0Fean4xR)6+$_B57L285s<kbbVaE&RdgV1fZ(2ULP%~(5$;B^>xwRv|( z6oM7p5e;mbjTU#@8^v*tgB^9=aTuEigNuz=41+PGz-SiNm0BD(6EO26<*?Y~P}!=# ze=t?=MuALtS2HqET;Pok@!IzCkjts2)khP2;tJsZ)!0FY_8Vb>kk|VIZ7V47$_`oU zLjmK$$}2_<)VomH;noRpHw+pl6kviBcmt~sO=&+2YLIvq#ydOh%zf<a6nJN=kB#h@ zV?1h;KMz8A3Ab|Bk6`7FF_n8EF%BjG-SF2~=|<-@uWC$e26?rD*j|F1x?To@9!{)= zK?N2S(85YU?;?s|kV1%5OBWT?xAa)Hlp3h0fEA;=cToW?EKht(%ttEw5QBO0>Xmj@ z<GnDbBjQ10y^vrk48f{<-Z+mYm@tM7uf7-pNt=Tf77pIT6~;P(h~R=k$YIPgvr0R; z!6Y_<(CLPGnMbGPIaq(FD6bkB5$TzO#o^>N?gB}N2igdS-~8vl_mD#T5iehjR`Xe( z!`t`MROS8O)r|KKiu&qR<;}Zv{=!+8&YleQK(5Zbn1VdiN1TE@+yw9{!qk!TjAiFg zMU<;3D!JH6neNQbp%SgsAsJn}Wwg#b1MghyVf=R<|3QJ6!Gee@xoGBMf{QjT=DEml zahZ!$gZ7Mkrn5N5X`FMzu-voHbH0UEvm2qaY)RI6&i7DOwHo&CKxol}?lX8BYu{nR zhB-@yWM@+!Ut*S=hxFYc=g!&PjrXALU5*doG`aiyfl{UQ3bkY<MOr}_)~r^tWIE#_ zPPa&_baKCy<LSnehP>|UTeoqp9OAsVd?i%bg<f~kBF-YDkRG9$wnbU3pvJBBxlSje zYbzz<%#1i`S#Wa}LM>jFvoH%{ck1Cv$?DQ8qg|i2ZJkj$j6F5WNzZboMmnUo&tSF? z_lih1Y$#$<R(i)C8ErG#;RM+zt*P({+lgMro-)MXN>|Gr?QRo=jS9LhbZEza>HLQs zh0%J8-BeQC#+dKIl}yly4CSUW#iSDFkYpU%GK5LnO)<$$;~E!9^?C7fe4X*hWVBZN zhwY60cH4cEGEeW;J=<9ral$C@{H#1Qec#Mhy;y7EEYp0+tljq1em5sl*$Uy?p;5ba zA2zd(bKP_*%3G4v(<G2JESIYD+Kh*W!LD61x}M?efFj02R^&Wr!}|ji#r@unIG;i< z<H2QF#(u<l`d;TLXyW_5FEr%bbI(1!>v^pms7rJX_>hqYjb%vR2}6gT-ZlG-PCY%B z^DBl99oV&Nm#*3PKRDjUNgdyJEc(~wP}OGo*F`x_yT~Q%O`lrRo#chiD@%ts4>{vk z4?VZdIbF}{>O2J{dV8FR^CZ;XJ>+Eda}F5Gkn?a>q~5uQ=aAbaJ7dDot^<d5&1l1O zn>^KfI}NuV*R!FjGSaP;b8(iF8R?boOpG|4BXpvzdsaKAYs4vCI=-*7#+lAb<OS#j z1nO*~hS9loncJt1`d&Z(^2~uo{p0&os5ggQ*qNE*ytZtJ(=f}qI?MczIHyINizB>T zQnQ@PVTr)f-kF3z5$EbiTXP|0(fM6#yz`gkSw0{1&p~C`nLVMItly~fC!gPM%%I7` z@-G+&<c}IPd=wOWUb=A60xMpNo2#%6gI{#k?1j_j&zdtMx@gYj3un!pvw$i_Ctp5y z&NY*d9{?|(IqmW*Eu(|Ys9STjrX8<S?T4a52ileWp=E9QtoaKT4xTf0+T!|bj>#WA zW^%OO;9+A&<-2O|V(C#a+Yjl08?a!Z^&e9^_it&#^AyF!)V%emFHpZx1IM19f58~l ze5^f2558dF*kS!fS;v*HUYXx<&T+9O=1)F<@Mtt?|3TK(XZAo78!dO9in`;K;l(rn z#&UL?E;^&nE|&HM{Vo`ML9{;SqsR8|pFeuE>YTMdXVFz7=EAbT-0akeV%qUyC-b!I z83$G9^x1PSJzhJZtCx@eyPv2h@qd*@JonA$F&xmRx-=!+z-q_azYb1>eo!ag4m@i8 z`#SOBF2w)+%JHb~4Yh%(y?gW&J-CxiSAQ47ndudHVmSKo=m3K$>yL)(f-onZ_fXtK z)|=iARXD&LO_T6jP%l$(@y<IC_PFR)$Ih;<I4qO4Fb6i%W#)^YWxP1BTKrwt)3oUD z{ANM+?DTpD;Tpquhvzp94)N!G0|n!6f_Pq@kL4g2!+3FEwcf^Oga|D<JU>1)#;4aY z$6Y(i^icFzdTU|#;=pRvA-z;uba;L@L5@$aPh5Io_<8v=pP#(QU@|(!B37IZFTGO8 z@#zghdMqFF$B!gldcTI9ak61}6h<uTw<ok4{U3md4|i_n59`MJEyAJi%>&GFFT$PU z2_VzpaS+C{Q~n&``p}}o>sK#8jxWcExO&0IuUI)6!H)CBj&|+vz!j6~&&6}b70)`* z5oL$tsc>%$!}GFzc+U9rzUpLIJ>cdY@p<>$$awevgl@dF_{2@_>K*<kbmMW{sqe3> z@%rPZ=*BajJQ0Os{PDW+;)dscRFW6x>5h5-imp74S=1@S_9D*~`-xF;v^ZYm7{Ty- zQ9xcR@@*vLb>c>`O#GR6r+A;pYk~2e5T6lW5?>SF7T*^?6~7YMshCa^F@wZ4-&W*1 zOdsmEi~P@&e2(ON$wMTMkvu{2<&tMgzD8UvZV<~!oabkfcS_zR`BBMFi?54s%b#l- z7{6MwS!)g1!39b85Q%a&mVBz@Hj>**<{L(a=PO2Xm^e|KDe^5D{r^>+db=18`FK?E zjV>L?&q#hz{%=S&x^&?GiDWdJX&;UyY$uN2B(Ecq*EE?fo*{M<IS$evL!F`NuaJjG z9x09$FA*;jXNw$!nGQdYk*ma;#aqNpBJUXt_cQSq;@#pdai4fVd`>j|8u8zh{I2++ zX!<q$zmWVlkqc6I-b67~Y$~=A+lU=S)87%Vm*lg=LE<oRtZ4c>!gJvY&o@WBR=i$Z zCl-rbxWn+K|AV(n{*`#ISSjui9}}MvpA}yb{~#U`KNSBgdOEDWmF(%TI#G93Gxj^= zi;GXlOtFKQC3Y9j5&Mc!afmodG`cI19@i={-OEMqHwN=0UnedTPyCHRvE04i7HpMV zA^u7<IxTUYO34q3=K6#C6Oy^Mi23=0cu4$E<h+yqN5pT%@5LZ)?DS6-8;i}v)?%jE zS<Di9i|2>~#6jY4akO}mI7OTxUMVgV7mG$mCh~WK<XglIqS2KJ|80^zomNJ7Cfx6n z`~9M)(~A4)u-u+btLG%YAsQW;@c&HmpT%!QPlr_y1DmNov9ajsuxcgQ(_zJrbPUgr zV&sXstMcR?70(wv9aa-1Um~8UyNVwOneH_rSDR5@D{c@sixuMS;)%Md9+&%*;>+Ue zqNk(E=-|Y8s^$I{(bG{?C)v|c6+&3%%hOSHisW=LB6bi@7d_paeI)l4`-{WG5#j{# zVsX02eT{g2Pgm#llKFj-?(4)-ahu3>2K4`_x~N`I_=DnGBKJOGI-U-y8p)mxs#?j% zL<bMM44)(#-JXz7mE2O~eo742UNkyBA@`7+Bj$>p4yyAddpf8lO7?V6&5%4#Tqtt+ zBF|@ZeuB41=BHh{Zxt)VUy65$_lpmST>r>;&x!}d*Tnb455&*JKZ`~;DB^S9C#GWu zT_%WJ8$tKRVso*T*k1H>Q1y`9TjYXF#^=6M<V5ij@d}X(4CudHTq)ina-9hMxj=;c zrC2FGC_W`VD}Eq;EOM16<MWw-OcXtxQe5{*_e`;~m?fSqo+svuo-V10k}nabiJmU0 zC6bqmH;8LQt|I06J)Kc^OWq|uB<>Lph);{JiJp$ALy|uf|12I6`SF$K`(6xU&PzF2 zY%DetTZ@@u7cpD(bVQvad4M=b94k%`r-)O<tHnj)Qn64xQ8(0PxtEEaPN-i==J#Nh zhs*lNJ>p~HQzE~m)BhFm4<esE>HdMpWxbR=olxIN=8GG;CyEV3zRRL}nivt=iKmNQ z#Xe$=m@f_%M~Gv@i^WUDE5teCiMpXy$o&TK7IA~fb;``|FU5Pr-QsV>$Hf=Lm&HTk zhvFCF-^9O*cCve(6tS@w5!;EUi(SQY#J=J%afHZ))y)4D;??3JahbS6EE3m=Tf}nl z4$;$L#g*Dje~-9dd`f&td_{aqd{6vXtQNl%zY)I|skfi!NfsN5X=1v_rQ!^iEuN?= zYk=GbiNi&%GiJOKb!knP`xWBV;v(@xU0Yn6&U8w|tzw1vOYttTQhZSSow#3oMtnhh zO?*>4Bz`E?h<_EiIG*`&@JvnOw)RhT6CBlZWK$CFbiL<m{5Dy?HFkfW{A0gO?$xtr zA2;NW|2CQW&T#z0Z<BA_RUXCfx3>C<&(CPteA<AWd9&XbpRqd6DZcEDl#1!rhUR;k zCv5O<<S%>@w%?JTSQ7}so&=MO-{|-f-fXkmx8<B7t7u={s-3=wow@h^`MWR6D9I1L zS(=ydrf+-iT3?q%MNihP+-djlW#69H;f)vYo8FIFOiaH!e}9cXfXAMMWQ5&!1WG91 zd>6k$J*(Er?p+(Qo~SF_`9OZ+n^)$o&kGozx`}6D(#124PQNq#_Pn>@>MzFc4ZG)6 z5AE-K+0eo+Wo35H^1QaSiB{qfU*a8aT5SeZ2J{=IV{K~jo1HFvt}@l(w;SVG6(8oY zun3kD5B$RBH++`$2k#{8fcbU19jZh?=Vdze8UZVQ%wt~t_<~>3<1Km&pG6OU0-sr( zGkL-h*Bh7M`wYWZ+P-W5i~l*x#(P|U0>8%LR9vfvpYNE5>5zXCCBJ{Y3F#k%gv`%( z_(~!WU?Bp0>MscJJ^Atg-|{aD{0%Nk1M}f~ec(B`;O9H1L%`2>eg`SI7XO^f-JkE= zP5VrnZ)AecBN~3nvl8}@|9kvTv~R<Id!Ws;@!$&(9(K|M{vX5_daS#oX%q+Z{mnRv zlPM0NZ!yIwIJrHPzI$Pk8^GNjMqmDvXCIvG^XbW-^6=G}eSs^5!hA7izvpAnw-6vq zCDisGjqHb;`x~4?zGkpzQ=Vnn@B0|}B1-tRj4M(1clauv=K~*$@(ai*Ps8#mjQazj z?@hFa88Co<wktmeD~|sSKYK|S+$8>IFA`Idk#}}+bKvm~{r(?+@?zd=S<CnuFLvOw z4_@PrAXsHsJ~o16(6}YYkgQLQ(@26L_^X$Z6}0hnFjnsojOKd45<A+%h9z%=7ju+} z>7Hd&EFdfxViDH-QpNSX$n&lL3C)k?mLY%YIkOR#8His%O!;G&fHiTWf6_))r#U!& zyR|SzF6Q$TgL5SzIp5g3RgjBeP!wK^?1XWv@Vq*VTl2^+%5%n5IwR33$@)5}Q{Qho zwf`o&0-`z0D_UcV<t}#BD#&rNQH%fot#y3edi~^ed@phx-{yoYr+P^Qj9{d-r#Npd z8RFcRQ0yFp<9!J$yE#jy_nm})vs#(uSb^yb7N9pR2$Gdq04WPLEErmX%`~SwbEi9v zBF@~1(<sZCJH%-kY1_`Zbck8SQ@<R913t_@S<~}Vm+qJ{$FKbHR<CG1M{GUF=)uRW zHR;w1Yfao`9RIker&}-WnHXEPV%C=YXIA)VKSi^P<^SD<KC!wvVO3A8)NH2uMmx^g zU95uu-OfZsf@TNG*m@mzQ_ugV6*=)MapHFf^;YWC@0J-WVQhWU|E|S29Q#kU7Kb;3 zA6$#WyV-wWEe<Y%tohSsXHA`U*`gVfXU&;D*OL9x%PyNg?V5Ps*>f+C7cZTE>6{tU z7Q{RKqs#1BbEbJIE?79<%DQ~+RaZ?zkIO=<WnH>(;rv;bEn0YdD$}vQIA>fx_24&g z&H)07(DQ_dm{pmh4gND6UZ0!e#Bkm`q62(GFh3TKQ^OO_TWeBw|9Q8lp$g}D@jDs6 znR<tJ-hptytB=@`hD8+o2HOlSoJ2D{=Ig|@IQ*V*0Pf!5rN=3{XQy8T349Xg;8|JM z@#l>+v7mh6$JgQnQQ;9LtiwxhA>{b<QlU#S6>cmCURA`(!HK#T2Ue>C(qlO9@ceFq zLwtIXxb%4Kc=dAPTAbcUZ-JKx!g*GHSB+0E8|krLnLo~<y!3tzJL7P!#N#f!=j69E z@1P&e1ELo$3x&Me3nV{=J8>;e1;U+kLO6;CVHn;!-a$BC?%u)t@pu6yz8rnx>IF?0 zD+jt!tS`fAHBJ6$YjGaHvpb(VerzqyhiJ4OOjt*J-aR)m-u?eyi__T3P@Qm$Kgn7g zGx0fL!^+rN9E{!WyBKs{UI$zw!L}yR6%3n`Xz$ZV1mc)Qf3_ESw%AXMilfDeA|H7f zezwT|O?kPvN-P$)i@y->7Vj4y6`vMg6kiqJ5)X+Vi=T^MipNB%v0#2q6+4S3u0=SP z?zm|B%l~}Iqa^dmn*NtczEbi$$x9>`Nd7NztN3g20TSsvBKd&iSH%xRj<XE+HHrM= ziOR_QB@W-UC5kB|{F}=^Lvkm{h-t!eY+$^wh)dJRX`*?6f`1pu9J3g{x5)8`a(|Kc zB+BDN(;pyTDtVeXS6m=25l#O<c+)Sy61i^``DDlRe=Xi4@=Xfe_ldt3pA$|0fd6}v zKN3F^kBDE3e4oPfLSjSFtl@(DiECOo?lF8%ae(NpWikB({!`?B;{I#i+7;7J5bh?0 zTPto5H;a5{W9mWVs~XCCMZT(`{E~=U+rRq`wvVd;@~6Fnr8>!;Cg_j9gMIouWa<ar z!EWBwczw#o##>vLM#@v5A2PHl6w?oR5c(kp?HC9BkZo3{Y)>k_FuFJcI_`Ef-W=SJ zSX>!h9eune9C{WSB6FkA5qYiE@rBx^g-vhE{jy?pX#4%p61jGDpXMoP8QYR75=xA& z$oX^9&QG_xG}#c?eAa;6FTaO|xU}Mg^5HF?tVvArl{nCXl)B^H+A#ETZAuE)BqUmg zn;;kIh0c~ON3AJ&7dAg?r*AoGTlZHcL2J|IO1uXS_OG^bqt$jlt8JvtZnNd6FVg&| zFK5e9r?B}^=lRW*!Llut{_ej%;&-Cep=fS(IJbQrCKO#3Rt>*@!%=JA#-rA*4M?-e zQG4AZm94*B`(3|kYv8czP;Lk4!CSw$Nv&^hlUirp>x&0f4*zy--8Gdfz@qOEvaVls zAlKjBf6mbAa5PdExW>*IT!l1Kk*0+-3z6p1!pb$3{i;L#a;t*_+tdZ8Z#e41xqQ!W zIO^<ba@1LO6VAEryMfio15;ZyZ;kT~?NFDDP=3VrcPTz<?`woqT7O&g<%UW-r+;<0 ze;!iGL`sd0`rz*?EJjL=P}bX!Qc<lBnzja_l!L01`?aaFrrSBcIT$Seb<NF*{+gR@ z=<@P)s!EQ&)E{{pT9k$wZGLvzsyrWR(qCs!Z&}rMH+|^7DvvIWp=EMN6nWS=X>sGD z_A~GqHo0<WdQx3@`l`HOoqxLT$g#6NKj_=yujrTYMI+yr!NBsdb}y@wZ++iy((XeW zqfMI@K77P(c=u!b2P7S_lU{&Z(ze8{DdnH0Ib9kS=YG+CRg-PM4q1nbSEX!EsR(Xk z&!`NowSv$+wxeccQn;j3tHc^7x!4MpH`;K@#-#Nx)aLGbp|<Ca<l;u#OODz*N{(71 zN>CdO%Y2)yHh)U@Z(I{y8x2L-#>n^Vy_LhOlZM?>IiNZa9afzX>`)i5hF6CM4XREb z(z!0M2W^%+yxKo(SasT<j&*_3jYsVfUHt=H-)4<#ed&#Bong-A!Ie|dqT3hy&+b?4 z@7J-;wmR3@dxn2|<F`nEz<}yg2X?5lOaHi-{kUCt__sIJ`g;th4h?8mXFu*Mw{v_Y zzc~nfr~8u2!dpwAaWJ&cI{o~raE;@iTOIb@o|ahBp#1HiQ(_&tdgW?pmGtlMm7R77 zUnh1rTgz(gdH!upYVDkBDibzOLO)zxJN(-lzWumzS>=EXyL-YG-v*~Hp^<-^ugocN z>O!T*Dy=%_d8aOE&w@&O<5h@pQ?0Y5ajiWx^{9PT0{rZ4Nab6^+QaY&*(h=AvC6VM z|80M({IGKW!70%|`Tj=3t7}e0%O(WTy3aJ~&}#a;)8-AY{;Y}pWzgq*8MHo_w_{#X zdEmD8t&%pUZvS0g!B;)+3qnWem>t2Iq)?NsO%6MD5;TWyTM4(~l(Khgb9bav*fog> z4NDU?Z45nAlN4rKZ?}F{v&y1w#lS|42%G)I(9gFISO2`SqgFP01?={S7Dw$aVT=He zRBoxvt@ib&j?{kD2?MQ2hdRe@f$IX}zzB>1`&t}zmKImuQyIebf7cPeFTXmR&nxk? zy5K2RmpN5g_oLmXv^eTdM|-oMgdarfMiBy|07rmvrHj$_;dk-hSvt5fxALmWf=c(A zPUz?F;XfOx<+rIzm}ch;tU_9;=x>(Nn!N@g`ys7d^f~mmu^W&2QX3!jg*W1gZHz1S zmdcwd8?{br6|GK=;+h@UzAlI`{_Mu?l|K(x-R!NE?sXp=n5R^5-RI!C->2(->2@=M zIIWUeBj35h-0Oa<o%7H^r_5Il4RiMD`<q1Zb*jdn{8In4qfRc$nK(2(Sd$!>ln*_O zxbm&B8C8>aqhA)TKWgWuVVt#l*k@15#|SXCQB|X88b^Rx=rh+}#8IG25T)s#KBF>N z=NmgDV^aQ~(Wl$(OV}PPt!c#m5H?@I?8M#qXyZ`9R~h%EmM2ww+&r+kaaqdNV7c#z zo$zUkrrZ2Ac)&ZuI(+Mj0D4PK@$%-r&7q3P)wd;ChtpP|-A9z7?K$Ri?B}Szv+_}n z`vHvm`FYj$Kzt7jqctB#i)Ulx52Mw0VdP)B4Ry~}$H<RXPiCv<o>qtHcb9op=b2V- ze$>AdEoGrR&+o4M9a_p77{$mLYxTWutDABEyQ?tn7qF%=>L=u~<%go>BmXaL`B2Tx zevJ9P5U;%CPF0!FkNe*~pb18Hwtb)`*`AbdqZZlnEvn8lV?KS@;ucyQ?Hy%nv(?SG z-{m5-HQU@DYw<vNX#1y)7G+pp25sMVf34l}fxJKo<`740w0Qb`{QlWY-#-IKj^*%5 zh6d<;NgIO2oLe0G=Dj^m3CGD~d^>&lqI7pevV7ZK;2hxF8^8O$a!lnPDmi*xk<Jm8 zBh>J3*L}@V&fkb*nL9#m`Y2dBE?WHIkm!Ku*y!p{nv`0d`hNB7y}Xa);9R@|^(kB% zWnamQJ`Bx|U8+vUT}}1T{L}skHKE{>&G+O%>#c=8|5eMXT-M1X_B#7)xYyYOVzqK5 zYGs03D}lO%0T);HPfw`x4H%NKC-2V(Kj0nE3YI28KW7;Fg8zgoAe`{T!NArgW#wss zlI0&aEla4#*k08<oYt~sQU$a;E}Ea#WK&?n`RUiC9s6d{o~3E;)-K-BlKLMPjT``< z^)o;06dnIb>Xtz9<c|YeI3r3eK4tyrkB+rD{}U=N!J`Hazs+YW`*c{jz?`c8;t$^r zI5?ep!p*S*HyDKYw#&yy1gF>e{O?QPZv`A*@CHt2JJLRUIz9XecLKgSbQ!VOxCHqM z#|b4|ODPmw59njE;}3G$4*Zs|L)S7|$j@g=$B&+3B(C;Ou>B*=SKdGi_*nsdXD$fv z8EkogiWrv#((r$2fGfJMH;N(gO_|G^{raZNCDQ&&>`sUr<fDoIayyUqDRiG{Pl8?F zlsCe~m*TUpf|J9i$E3OQy3vIWu;cgfF*|<r?%I?8%2=r;j1{)I@ZKH}`z+19_ITJ0 z{?7mT{9b$pYz?L(mK|ln1r!}d8%W=M6jSLth`wCVpYjka_F($rvvkT-N<-+0&(bOP zGv-iN3N_@He|t1TMqwIqS-d@l;!KKMU2l)2cr#2YHB649v<s(8rH081Db?UXwBb9j z*yAZajOUex`*}_y9)*}{G)zw5$8BzE-Vjbu^GGp-zSa=$EF_Mm$mdwscOyl<-f+eD zDBjDWPGsDEsH=wDblDXj!>hB@S#zL)a#9j}A494Tp@PzgPvI#!mC7nF`X1@+Z9oY@ zjZ|eMgf!BJM(QD{HQo}YQgx;An`9&}-hGf7;nV!Ztj$y?vNV>r;fl9u$d(b4P@IOl zW8y;;FN}#-HiGyf%Ww%h*1HtnjEP+uL*&wW*Y}qcxnkd*`~?DcX@Yl29Z}RO2t4eA z)p$MJgzq={!mkONbTlnz!?FyPrX3OLluIDJ1WB>Kg=GYc{l|`INE7=5FZS17?AA>Y zn-{5xJrWY$q4Gxx+!SF1JHRDO;!D=VH29vnm)SI;mBu{st)w5u9&F$0orW7RnM|&Q zr8zU%!V6|j!;O}(q=?bE_jM|xkFvQW+~kt`E}7`uaLJUBJ1MpBf|?|jvG=%1q$7HS zNldc2`r9N?%$TgE+r1>dWfERclSBi)E4ErP7yLEC4hZ%PoBDoC5)pWtBzn-oLfi~X z(}xkvM6WZ^O(CL)K7=_~Zr|ob?|7=BpF<0y&x56f7t|!N3N9)HqhG)zZnC+w+vMP9 zUJ?&@Nqoj6yr3qDiF_&K*26?Zzl2E?+pTaRnj{v(+ieM2n2UX|wD5wOB)FZA>R}3^ zv(wq-_INLe#(4c`lIRJGY0RddB8Z7P3r_sa71CXa@U2W=*=NSho8Z;TbQV`GW6<<8 zgyeR?Zpg<?$me1qUHLr|k}q#wj)i=}g!~#l$tF!#w$cY76t@X>)7x)Cg>Zjx&+N)A zdA~5t{3?1FU-sC4w$H(EWoqbL_?qI4q=hvE)$LZ(B3Mijf5F&p^zRXU7o&e`FY%&3 z>_vahi~cpEzu`r1-ICFpu{JM(xdZXsyt+4y9`JTc<jU@exN$P?)b=9ZpS%-A5z^#y zA}!2k5iCu4-!=KHgrt0O?fM8tU*&6yk<}FQNiX^vUi1)NBQ{;^Meoj+idH&r{M3x* z=5dR;nML8{=FyeWeK0^@0^^42VM0wnD7V&KdA3RLB<qsmb?`d%x_SS7b-YdrRrhuX z4{j1kwlTIPLGdnC>tc-&GB35eMhKr&cQ3X(H_0Ts%al^$MBITI9<RGM^?2RAjp{{= zzOC$TsPwUc@yQcRZki-m-OSRbrpa(cgY}f$%w7A$9G_aBKCWk`z*SL5!6+nd&J=Lr zdTXUx#+||hNN37Sr<Kyt>MFLX`H1vGi>f#&fga&n6Uh756BexGA@X3Ly=+$jE!2bO zstcy=Mi_)54j>iRgEpoaw-5*sPohVdsc^{_xzeOIkzjl}Gop74fdz}O2rn0-j9xxB z7o*~HF{+|I7o)rcNWM+i>MWf)mvoaD=Ouwt=hi1M&P#w5FcqOu8+R0VVU6$uA$G-w z*u`m`Ie1YohruZfSHlkNXT%m5q_(4=7}p<vx@81fMj2tDuY#5_uHaPAG7bj%@u(u$ zsh}_w#<ngbX2X~yXt^5(=Nz;c85r-DVMhTiED-e3d9}cNv1l)PVP1s=OI+QQmY`jz z%8j8&{;&XTLfkE9(p5J%lHgAZOeSb~7>1c3S--}^t7xX_9jqZ$#~lSvLwFs=u@?I( zX!*buoC;e00)ra3!_)u;ejq@?cNF{$mLyaq7O4@<V4x+nprR0#XN`bBw0vL$R4*-` z!k~u`5!?t7!>*tu&lP+Xw9Iw|r-GK%Fo<z8@JnO8i1+{o*(ffcg%=;{iQsScj5}M4 zErZG@s*H6!@fM6JEG=KSf>S{Ym*7I7BsI@cqd7`88(^El^=4q7YbB~+Oe(Z|>IzN; zE#Jc+Jb(353xf<0Nv4reKkOC!g$^Ry6|}frWIVgYIv8kSCVmHF3PKCJ3kt~2@{X~N zC)985D4?Y&8XO8di54(^sIrJu(3SyX8fFeGOJESH1YoZ+4e50$Bd}Ak|DXVI-RO?k z1y+dKUJZk~xdV9GSSJwd9FBF}@(Nlw#fGrVEaPOCf&R|=4l{urrY#JD*hc9vZ?O=3 z72Ma0kIER{2=*WJ+yU$_eN2<jUtU3r+h67{GyP>H98f*?0_qnfreE*}ps4=u06&@+ zX%gxpB?WO8?f_oEZXcOQxZPsOa?>sT1_#GlvaEs@w~tI@|LAO59Xia}HOgR^Q}zw^ z0%(dI5a^z6dc^0R+#|h$cJ>g4Wf%F*bdga+Gc=>ofJ#fcEBGpkV9{_<Qa}rP0jksM z0ZfQ(+#QB?uLWsm6FzEMa|FS$fX-+fb1>iRj%e(Y^~$&|=Z!ZA?IlnM%W4?(h7%gj z{N@d(BHa!?!5jCOgZgA+<v{DOAG3%4i^aGDIpDy>MYiPkZjOld=z4T!^YU`1-Oya= zBl)GUBMhC{fV`GzCu?C)E+PYkpfhWq)lNI}%4(+_Co%_nD|;&4NOnjRi*UQ*MIq#Y z{SOy8tD_7C0qQUD*e&_Qq}=$E8pq;KV*Gne{7LokC!H)SADgU9I2_B$gl4g%Ov^lM zlA2JT)P$2I)!igDl2>7_v5q77V{nWhB?Yv+W86p9pKWBj*x5#U{Q#Bgo#JU&K7dKX zqUaKPP`g3xla3v0vDkL)x$WA-?nN2qUQ{2(+?nFSdAF#Dd(ZNn5Ynknkq^`%m@s}9 zVa{gpJni6erg2?pTo)Nvx^Tp43<b6IDY|}qR2+2@Kf3cC7Pc0d)RvgkyaDFqrS)z` z2oYC;#c{d!hMAM6;oXW(o<@A_bHGlsu8S+(bp`cxMPH8M2(i4r{MRk355exv8cM?w z_xcd?<I-TMIe@02zUxCQi3{NkuLu!$mL+j#VW~NQreg>R)F%R=TY7z7ydf5Sg+uUh z;d;b{i!VRNjl@{_WAmWISOdg*V1wg&mbu%pY7kkOHkUqoS7NL#VpE*NSO==`UNOWu zv5Gi4CB~}A3|8z7aT)PC2SRygWW8|AN~*6HGlsDb#KrWwL^!@;Vxt!?LREtrCB7Qe z_fD(^&)K`~Pz`FY_-gRd<6EeRsTuD&s)#GI7s{KLA?IH5ab@<B_sVPv<28SLslB+z zmD+3nSgGp=+J#<!FtZMK9AAi*b&t4kJ`U&{+n}-?I?p+@wTHn>gW&M)dU#Qp6h{Fc z8sD4I!oJj-uPF3M<8q|+p)Yy!9@v-jT3hM5o9s|W2GS`h2zav{A7+5wbjS6H&yUh9 zh)Z)>T$-_B-+@SDAlcSL&fmU;Nx)zRUGB7oQ8G-t2+sfIQ$Noi$D-@bP;BlDi;+)P z9elU+3JRRpqvnV$#yXl{)!=UOZ~<@J)DHn+M*%11-@$NB2XGDs_u>NHx_7`J;X92E zdJ03##A+fZAfLmye#ke4uZ-U$g7fm2A8$?jVa%z$yFH4|n>{WhoOnFpH`Y-ErvPyi zB0gCano1eV2@yLDCj)Ua&5Kwf_mN@(!5{78baxie;?9)D*3a_BdJ`oRq<+emT`?0U z=3EIg32!!!iM=;RGr{AY2`};{^YPP_JY;qr44$rtLKsiIJMF7sV(Q%~Fn9Ip-C0-6 zK)nm48%>r*6a0BRYSvXQPoWH^J~XAD!{F@1oiMS`6gXL}4~^5CgAec2$Ajth7_%(` z?9{u1$ppa)_q<r?^I?pPhNt~z7z8EQ4ydMG1@*PMehjOOCytw&aI!lc2J<vxj<Jp> z^ax#EFa>9ITYUuUfF(o9<ps2`MdB-+HNDiNF`8IytfL54FJ``Dyoxn;#HOBiR1(3K zj(dD#2-e+QZU};>Q$Jui7y?O~gRgd27+kQ#Y-7EU;Bx>%nnzq-OGtp3VBe!ND~RQy zom_6taUsDH(%CC1-i>%&5Q%uT$q+b=Iata$FmW~fG(30<jjtxp?c{S3rDrkZ2QVj} z3DKA7|JdBoOOZE0ej|&^A}2sU;6zPbC!Z5}=`k`x{`j2Ki@`@1j=J$R!jDCTFnFEi z1^W&nCmQIQ@lILBX&j*`S!|LOKRIg?dv?a8O*hNjcJux9^QAViyCl!T2}|6W=*0WE zZN(ls==9%o@_(GEdnINbE;v3P-X|zLC3~Uh^xu#*CVPcBd8~s+Aev7^^(XHPCp|TJ z`JvN)Lw=YnA3AW)BKQp|gyAOlv}>(46_lpJBpDa?>4h@qB=t`=x$SaKbN#%z3jCIO zvun@KD@Py1&4od)Bsk_`=qoXAXL%blf*08PFc;U0=)?&Et~G*F8uZ541Ks?%$DjJ% z$VeyD`VctX3xlLNbm_s`J28Dv=p%Icmru+{|D)B*OuNO3f8O_s#jKpr)#&su7mJa2 zmg65&S&!u~$Gdvx=6T(m#lJrAeA#vWd0$|Ne>tO!;GWdHMc`B|Zje3`osTEQoyW@u zC*xG#mVk*)@Aeh64lyA{5f{|^coFzLz}?O+Hp<e8$tGCWGYv1~C^_SH>NznzHv_Tp zn31U;E&<P$h)k;+Vzd{D4?ujW7(do~ZYO_MJ~8&m?|xoLK2@cf$#d+r3m>?Dz-bUd zo%|WxOOKJ=kSBXb;f3T|HlyP$?o}B-)Vj0aSXJ$Vllx}0{*9XF_Rk;qy%Tw%f4nmI zu$*ch0%DcHw+27pl!s71^+f`cVE7+@<-)g$d_akB^b63N-3NeJo-V=kiZiab0dpe6 zg~ln??f4ZKGXWfE5*_@+=N(nBa8APoMtmX4huXz3zk}Hi;~hUW+_#9p8OjL6<t(KP zW;@J>FrUJB$4?E{8CP`{4BxWxe&QV`bDx4Ryl>5e;fUZJCv)$DYT=dN9fo6scf`A$ z9p_Ga4h%0=4inztUCZ9k;kk3k1Fx=j=;wT!JP&3e%!4qG!g$9|4aYSQyk@8$k=IQL z%odn;U=G1}$4?FSG&&nQd<%AEm@8nY^KKW+12Eq4Q^RrX13PdOaoLHfCyzSvUWa)L z#yfs$xF|Y47eow!;YARC#P{R-a894;c|UQpsejn+>HqHTQ$MiTRBu;D#pQG7Pn&%C zRXry!n03|EteL!;W7|)mgU4+@Wv+8=KlPjiwH2pkIkO`CfBg1SUAnjGmT^XA{r*$f zaK@;qXcjS;U|_Z*GXK1tsZw3<6c=M%G;rZY?dYs6$Z|SoIe5Tu{~yDn!3ww?v$A^h zXxXFp#l3s@ea@4<ENI0zuq>xXryiZKcTL2(&5k&qfg9``26)H>*u5;PA48nhsXo&F zWm%_n?bHTN`P}pd5wqgM_dxjG{o+oM<tFeCVX+kveR?>jM4ai7`t+Y!mepx^Z!dT! zq_o$|i_?B#U+0bGL!3M9$XVL*>dDN3(A>eTm6G^>r1Kc|M>>EPnR~(4tk=Emt|HC? zZsF6zxnnu@MzUXb9zou#?S)roVrQk(u-{Va)~4<=-RfLoHVw)%PD@ZgV|f`x#a<(* zx!*4L+@7$9$nB|^+oSe$xxE~7yVo8sw>Or%J4q?gU&aze^_#>On%$_d9g!<O<claM ztJ*z(-SQ!FyCHTiw6ffe;aBo*xSI;xj<s`{(u}2b6r-*iW?ezn5@!c?jQZI3#p#)6 zjhu~|%50a;`dl!?Nsjcweqh<owF@)54{O&Mn|IC2a!wiVU|Y5HtgdHt&30B`7plMe zBCXp(YTd!9TN-iRLErz4UF`gI>2&<ICKPc##}-jPbB6TD?~#AnXf){=?0(a7*w8N{ z{JrpkYfHMGpOt5NOiQ|Sq{}5)r*+0(%hNg`aE^1o!w#pgJx>Tb#qDm+3nta9SgNDt z-X!A8G@Z?)Jk4~qm$5w+5@lD5xH)i6%bDc-7D+te<Xnx8R)D>){>n|v24<m55$9Pa zGRYa>+SfbWzYQB<O-DUB$yv@P*XKCp{)qG8^;ypC{;Z3U!~E0CRrfQrknMbG4KbN^ zp1eNdJm@zi-)MYZgF=b=^0=Wtw%pR=s&bx1ihKMleJngLw@#;-y7E$2N!`><4Y;A- z!U({?^%tBQ<Ik3<1trc}*y82JX+Qk}=YE{^1%KqS+|J#j?K-#n3(DBRxh_KE<YzhO z=zoWFCoju+)^a!ED!YDrE9XJq_zs<OqwOv^&AB#$OKgC%cnG`It=MZ0k$0lzut8Tp z(?#Dq-`TQsq4SZGgZdup)_0Dx9!>LC2O4{>L|vld-$j%fbSiGs6+tU$gD!JvJE;-u z&Xr?!=gM+-=Q5jiJ?b0cJhwcDJr>&mVyC)SwN;n9^VX%8V-Ym|b>ly?BU0QZQr=cf zKUK#MZyDNT%hf9rr-;YXKvS=#hDvMVwZhc<9H+p>I5VvF{o=k`R~xNB?j}zE*4A0^ ziirMEtx)gdcgOmVDgQA=Ij(R&u(4Q770z*cl9}z!>NmoQ>F+T~stW4W>9Ba+>bKN7 zZWA_Fms0F3F@-yxQl6NPHs<nF2F3Dilm)pNXS2k@pP-z`-ImSM@)X;y>v&Z|$18i9 zJbCo_!}<-duI}xsD(VABJ=Cl@^A;`a2K_}qcT1|7*mCm!;hn}}u05>z(-th6jqhj! z`}aRP(veL%X71eC3nJ6!&X1r7k^HMJn>Kaow5gF1^XFbZZNY-M^A~iA^vvp=)g#h9 zyIXf`Y1li`5o&g(UAkaer^qBk={ikO&geP0_ZgQ#an9v4Bha@qYXNqzi*!4yXOHY& z7hC<##+>oeX_sCG&41ajCiI^>f8n&nXPn>D3N#3wpXf^pH1;>OPq9yR((LAT3%jM0 zZf8J&U^_mpaMj|;^a^qQ`Gb0e79-MsK(A2mc(p+PJJkwVSnZ4_RDNi2kDj6j_u2G+ zW{<D<=LPT4&0X5+<p!S+`3N2NoZ&sPMiC_LvDx~(KNf)Fd8QfM`Pjwu7>#d+yg0Dp z2hEnXBF@3H&O9O95iA`p;KRBOFWgkf@#kGQ6rY!|!ky>k`MmR93%eHwR%;%*dW04o zp5Fq<@##&SjyD@{V|qz2UV1mf?!|%CDn@!wdyN(6cO&fa=`BQh*+_)x@!IjyE02o< zt2MrdWl?9mcX;R80*CnY?zqA&C(}!T@zT2!cE;fq%_A9C`QJ{+AN}u#i4SL=`@?#L z_97gfrse_Wn2&Jhcmn9<uPV+??_CJjhZY^oACKo@;>&S2%8?2;mIF;4D@P;Pao*VR zDbjm4Ce@#p=Z`C%Rh0MB^a?$Ue%kE^(u;F4R<C>%{u6qIPCf1fUah!}{}X$B;Sw?R z?Vgb}UVr?QJ-%8YIO~LC#!2)Fak6zXwL-mlHg<0xE>d0xKK%HNZB62rP=@@SO}t*A z&T?mDhC5G06B>Dhc%gWaI7{SrXU4l;Tq&**ZxgqRzYy;hd95)1qvF%zi{h)|TjC+{ zWAStGOYxYPjEZEso?f9&k|&E-iG1(KcUw!vLK5|Vqx_2{Z<1Ug`3}iu&mx59FEM#O z{-Txf`5u#UmH3|cxyZ#U3<m`fCY;e51UU&89OE@5A#+tSnJxB`KlL}$eSqW(Bws9E zCimHrua<nh<U+|eOTJZdspRdF@05Ix<cB0bCB7#9Ni=%}Az#NNH^4x{e6|!jiG9TZ zB+7e%WV6=~<clR=CVzgOVLDe!zFu;n<eMemD!EkhcFAT>AH?5H8SVWW62B@vpl~mU zuZwTX|3k@tB@ypSx%)7@Fh9v+Q?WUTblXbqL?Yf9BH#D2yt(qvmpoSf6U15a=OPiF zXQ|u^#Wiv-k-SB`Q|`OPN5#kF|AhFw-2Wi?ZIQqK<@vr6|1Po&Gy$<8iEu3>XOJj& zmfU-bxnjQjFOWQegz@&)xk~QWhy~(m5~fI8FZXiEJH%abe?;6b{$BpiiLc82kCOi+ zekpgZ<6^lIFp!c-B;1bMGY9U+?UjRapQ-SDMYA^!+(${CKtjHZMEhSQ_xX~SNj7`p zAly2+-zN8R$-k0(4~cLO%KdS<n>}!l{wwl-NB*D4pPz(y{%<57x5o|AI|cJgmfI{b z2RlgaLc+h7<XjT<GC=NQ<bJU@UA$8M3ngDqA|8J_PI5lW{A`r}7RkSs|2-tm`&;=x zCB7uSD*tySe@Y@<uBu}^{*J-4C+>-4ir9pNf4XF|#|`8zl#!p_a?g?M?P+s9Wu!k! z?iVZEWs<LwjN6b2hs(#%+>a5D^D)Lx6FL2+e1^z*6J^ft$Q&_W94w9&&3zf+E|Gkh zI9r@27Kp1v&b68TE#fAzRQ#Fv3(?%K5q_8Cec}P}IgzR*nC_b*=arN{6h9TY28r%} z6RGNe^2zotOqYK|>>!>l_7HoE{2e&c8zPPtFBE5rv&BW?bs}{nF#av#W|7|(>Hag( z)BpBkdlx>f@Xw2{iEoM@i`AmHXW`e9zZZSHuj2e>o&csuHXA)aZYkN!8z8rroF#S_ z&k@Z$0^tTp9wwR{CEz|@@?`OH@k(*7c#XJ3TqWKln)wCNGio-#a=GsicZm;(zZD-B zpB1TIf#v^$__oM}y>$Ov{8~IJ@_~o`e$mWJAUBfSLd+05h^LF)MSc@zI%fU?_Ln?N z93f5+%{&I-rb?bE&J`DkOGPuELHL^_uN6x~E=K10E5zHyyF{)=q5nhT9?{Hm;QqAa zm&8}Zx5W3vk3??|!^4ul68|pRoF5?FBr!!iMKtpv_(vpjaXQ0$^Pz5%`Hh?I=ZR)s z1bMLJ5#ku}V)0UOrpU!COlN_3t?130R!jEwG^G9thA$PjiN6%@63u)H;eI3ecjA8W zIq@a&b&=oUnZB7<fq#|E)hcxVR<vQKoFL+t=tgcVwiH{7?ZwVwH?fy^o|q>N6^D!C z#EIf%;&gGYxIkPg7K%5CYelXJVE(x-fUFR27w;196(17!h);;#eC<WaFN<%B-n{Ko z$y|cZ^O^Y@_>E*f2-6+=_!x#ouF<D^x)>3=h}mKvF-Pn#4ira-W5kQaOT{b1IpQMm zI&p<~gZN+Kda+d8Cf*_5DLyRj6`v4)FLHea%lWGKw)jW!W3gJKW*>(CyXe<EDkL@( zn}{vN)?$0Hv)ElcQ#@DfCk_&aiDv$Y^N*K2MVu;{c_jSjO7`ZDt0b=#ZxuI-W#Wl@ zCEhD{Z_mU>B%66A&hw<?XT*czYvMcNA@R6*C*pr4cmC9x^-A4HWLRt}rinkc*J3Y) zJ4?(J2Z&U*#Plx|CySShSBP`OMdEd0p}1P4wkD=`n^+;<F5V^HEB;1&MBFDH5T6rY z65kU)5Ua(%h+m6GMZVZ%e)t;~vVqu0OcT>ZZ%@V!lCwnft7OEdzA2`YFJ3Or5Z8*g zioX#b5uX!Z65kg;68|pRN$z=?i>*X&kHa34M~Y*`1>&{hCb3kcf-L6$3GsdLBhkUL z4E=-RX<{dFpg2^VC0-@oDsB|1fy<mvd|7;5{7C#v{7&SHNXGN_>}w*qt=L}dC7vaE zd-M&HJV~4^&JpK}E5sYbO=78dr+BwW6=KZSv!b`B-n)`N7Y~cx9(qAM8!}!qv4z-4 zJVVS8bH(A}Xz?;}y0}QZPFySADsC5lE>h_k^RZ8)+B3?pid1|?`BU+*_?7s*=)(sN zhD#J1h*XtE_e}A0v8&iyJV(qI2a8m$#`u%P8RC`V0`Xe0KwKsMm$+Um6}O30*T(ek z7x##diN6=06W<p9D1Izfi(iXJMQVLx`hKyY*hFk0W{4fc)5Shwj@VxuC|)3r5+{k1 z#hK!4ae;WPSSYR**NdCPa&d=vkGNZWSllZ<BfcQMF1{tcFMcF`E*=)Y5$i;1%47Yd zh^L6n#Wvz;;u&H$v5%M|=8J>H5#ktek~mqMDb5xbii^bpag}(pc#C+OxK+Ge{FO-6 ze=Oe~aliPK__FxA_$Tob@oVv@7{rGfrk5->7MqEgVh6F8c$Sze4iHC*V@2vFWcqW( z>%{``M)78GvsfnnQoKvtE&fLQow#3oR(w&UGDM#LeeqNAbMZ^@8xbGCOnASTEH)I= z#B`C`6`6jv*jqeD%oC&H1>z`iqIiioO`Ik2n>y29C@vKX#hb*n;s$ZENOg~lf0wvh z{EfI*+$TOQJ}<r^{z3es_$RSO{Hypk@mtY{&yhTTKx`m364S(Vk@_f2eTvjUNx8pB zRg{!36seJt@(Qs+yj`S@O8UPdQY$6pk3}k{q<mDQj!MdzBDGXf9xGBWCFNNn)lX7h zDpCt2<y*zgVww1`xL2flN{0Vfq&`Z@M@6coq#PETh^LCZ#Ir<duVnbkMe44kyh5b1 zO3EeT?c%S*d&NqzN_<tMYD>odUZi46%8kT`*iNKEOZxW~&lB^+DdJR-dM_FN7IBkU zD*j5`Dee&;6aOH-EmCtP(>o>xPIcuZv59!9NL88)-$kTGP0D@6s5nHVqD}fQ6scyD z@=f9`;s%j=HtGKhk?J-nKP)~bJ|R*IC;k5{ekIn50ld(sf0Ecj%n;8M&lah}li@EB zr-`#fD)FTMQgN+#tGHP#6ZeS+#Mi~QL@NAbdbQ#)(ZPZMx>MsP*-UILW{Ok<O8-7$ zU$MVPt)TS3N?a%|7O5JP{%b|52Bo}R{Iz(G_<;DZNQI#c|B^_Bp_D%msV0>2QIQHk zDThTW1f|?s>?~%9R0&G|ej+u4QXVT(K`7+{kt#wd|5~I@P|ABnss^R}l1L4ql#hzk z5lT5zq*74Iy+x}2q&!5V22jeEh*Shhd7VgApp+jJsr!@iGa^-hQhrCICQ!;@k-9)B zpD9uSDCHp{Ree&vSfr{?%JW3LHu|Ug+TbzXgvaBQA*MZs7+ww09SxD3VMnoxm@W1c z`-nMWt~fv(A&wEpix-Jg#Hr#;akjWvTq+idtHmO5omecEh&#kP#5={i#fQbc;y&?! zSS7wHz9GINeky)09u~h6xsZtMgC9H?hQzQ)rM&b%@w*xqpwc~C>?!sUbHqX7Fp<B# zV?3iJ0GuLus(9k}ws~^rZ~qwY#C14Da$hGFizVU~v0U6CnsqryZ<pi;#D~Sb;y&?! z__XMKpL;{{JK`bnL-AAbbMdhFmB@v2EEj&BVi*#`VybA?`5;`H<aDv4*hMt!e-N&x z<UV4Km@As~KnOQN@`>N^E|U8cajG~|oGmUEmx_hrYOzRMCl-q(;tuf+@lNq>@nLbV zXx3{X-%Z(n@eG-!e%!TtpX?s)mRII~w;pG9@7=3scAs96h`S$_`@dUu_L*m%8Hsc| zvwP1zCghLrdzID!C&4F|o(3JQ=2$6t#%G6zZ`);K&!Ts0%Xf7wPutiaI&^>^J7SdP zy<2<74(p3kZ%EsIZ(i;fSFY}aU9Qr$rLAuo9iGv7%W~`HkW~{1p1Q$0?7Lxat(ARF zVWa5YT3@6?t*^`8TEEqy)*rUd+FKilgreA8!|6~Pz&<r0`&UOozIpdA*qvO|q;P0a z+ge}FTKxCtJXaLz*0wf~6FS@OlTr1LzVu68)3!F0^YbGiXULjNoabiz_jlP{n{p_% zo3GF9NIA1M@cE&Gr<VSz=J8;-=5Z^Hdw%#%+0e4;oanAvYv0I>B<3cF*w3ul-F0_% zt*^CxHl*fBRRfEfN88l;uDhwopADC#YcqUje|6A`jKuC3$G-Xg*qx!Int+pEm9{;p zCK{;B$gB1T9}D_Xrrg5=RzKA0=Da?S?akYF_`KC4YeQXLt@Rg1kw?3&b>!H2EAyVJ z^%bU6`w%|W4jf4dbB~!upRKlrAuP05cUze^tk%CYaHLrnd(3>c&kDmJo$GzwN7njh zBh>W@GaI!S{`0}dtx0K9)3AqCLS9wtR*_b#%-MTBh94TY?O*M;?K4VX_Z_x<jSf2v zhgXjcHhs*BK*n$3t&Y~=9;>ZR*5T~cZD&4a{a0R#DLDh2nxOCTnglDYs(sPGjI*La zghl&YdDHHWyL;C93hc8WU7uJry(l#rf%`9u{5{7Nu1xox_4PrgCf}J{UFz>x#rn=Y zT)L`t)IM!sZRqqV83WNqq29m9v#JxUW>tN5`{>@qb8S#{R@9Syz4{@>FY@{!hJ7Ry zJc4u&IL!|`!KqbGe3={Go8R%Vy-~aC7W}uqcy3jTsMXGHgIo>84oGJ%&bTx`P;CcJ zi8gxq%-wYLwXyqLntw_(@#RyZzHX<{rO%kcyVB|Q^(!;-&#HR9CKy~Anr`{;cWQ<? zcALvGurEr=y1f3i{u<U@%A0L>``Wm2=*<>LB@aGN)cOxKX|*ozZ*M%5mrxT;c&Y|# zj;riGRy!w(O=)eOY5eZyyZdL*7O3hRWo~8{Zc6u^Q+u%ewvJndR}W2oYd`|7wAG;( zYKQG;w!USFb-4G+Q!AR5Bu6`!Hrn`iYg{yR=Ah=yH>GZFft{ZQ?P$Ec@z%!MQp*+= zRTO<zbn2GwwV`#1C868G>)D=FD?4v*RMfL*L{VjJU{__Wf8T?(fgRXIZkN^8%6z(R zb!KriRQ5t`mmMf!GECBgwf^EoMK9ELEIv@Ta%W<h)!ym=+l<m^c<T$F`DVECsu`!2 z@2|UI&69PjcG@}be&)*zmW0ZmtXnbTNu-&y?Ew73<@le8IGK;v-LNybHC)zk<CArT zGm^JChiyM&Gamd*82IdbvTogs&RbKrH7pDD2=xkXv^H6tt;20sV9UqD7q9GG9^96> z=8B?VdE$m&6a~wYHoaPuxGlNl@w!!O_PMF$KT%hhk2B``w^^mumc*jmq9^KZnDIp2 zx}AwzVcUr_BFqZ9<XbFHe$ux4(Qf{7=H+>$V)AZslw4+QMakE^Q^fo;=l&ALf4pv` zImdqF*xJ@`>;Af1W(3PZy+YkD$_Q?-HZ??>JzW@DpIF>8itzTi(P(ry_9+V;s*1iD zeYe)aUOU#IM=|dFuGWXP=!iXky6iyv?4#ccwb}a~MLW6np0Ee@Rbp?m%32G%x%EL< zKjKH=AKEb*t?EDYeBIg^&(+<$hWkrlf2tj!?$6b&v*D8eF49K0U4adWWltc){<>8t z`C8j8y&rpwb=eW>fqLHh9Lj)l*w5G9vgSp^Y=P9$4l#eB4Z*G0PiNo6qTki}cm1w5 zke#>zBl#i5&PHxRhxVh@k|A|OPFAi-DuZk{D1$xUhHKA#FQWIr4Jmdxgk6UA@jQ_8 z8K);=-#jB!_ALCLMT}?R=a!?-j{S9Ok(aeIo<)vPB9tby3nj3F2+eY=TZ6LX2e-al z6zbvMU~LSPHQXBN?mMU9#)7Yg-G{cd_eY<L?utGVZ6EC#O)YJ{tws5e=E0Ivw%}gj zw>pli;uwtU1^X^_JQUg(+?KSpdu<@xz1E+OJ=pg7&V4&*9kEuJU70@fBma>@>4)Bh z?aHF}i}s<{y+GH`d~1SR(C;AmkfW75*}pLIoVBXb*UQ%vv5P|+iz~NBTYtNv_J!K? zLN|pEie8LM!HbWw7c<r)*cS=u>@2KAiZc!zWSrsEZ}dPN9CFJ0R}aol__CqXXxrbC zhgZMXeR%a--SVmjV3)^%1FbI``hs6J^f%p-T5)<|zv`j=>@OPz?k#M*{fffSnW5gF z7lwNE&uF~4(Wcbfnxdxucu&i+W~EKGyk-q+-mGLm^Cl%7d3Q|kZMvo^ts-q(=kn#D zN(;L_**ntwn-e#L)(4CITdmWr!}k<6+1`2EnYI4Yd)4}fo{4*7FYE({F(d?&I3Z(y z-Rd=Y(V@|B^!IgznYh2&{xki(7T$l|Zp678ah4)Z7;(~{t-C3ces<2jx)qtNs<NY< zq6kxtFzd2w{m*r)^<R&$pCjyE+?!{2tMy&<OkGhXdIzrAn=+rm7!qjJpp`G@eeAL} z4EtHN+YzittVs%l%F}me7oCOHT9Mgody@)Z>C4G^)&2WBUpBPb*ZPuwn?5|F$);wT zeYceywAzlSY{lKUZoM|+k!VTOA5AMg_D#v24~v4^5=(xAYnInjN$U`L_$_AadJ*M9 zt7jHgCT<NCzlioio6abzWWPu(Wq)Dc@qO|GW5GU-1;Mg!KI&Y~5j9v+8Ql{_S~J*R z@A<fMMU(9up|^ixwVjc1uDR>BD4bYWR`^z-gSmy(weMF;@9wiZsc=Z)&4tevhPo}w z==;@zyFEE+#gG*@uXuI^W<ckDb#VHJ?Ta!BFD;r-`17K&!f3|(MZKeM7Ght!0Mhbt zuEO-*-#LAEN>Q`I3yQ`S-cq!=@I}nMUM~!FxBK?}>Xn_x`@CM5vZmPz-;q#Y$eQ_u zH)9(=&A0jnkm4_E1BX67c<h^p_e{YYY0#cyZAX5x7ki2oeAVslKG9au3qI@^Z2_Ox z_xSyo>zcWz-#!h`4ff;sw-XMFjbr^kpB<P7$!CZ15Z;+cd#^nH_a&H#zAsqF=MH}Y zA446p25-b=^!Eqnz{4@C=dN8ymr#Q72nA1vqz$<ctdWTyG>=Jk$gJKA`OU+t-`^M~ z^@aQ&Awq)fjI=+2MH_NWgcnqNBJ%P89|@KPxWI6!*_P}2z(%-ZL$1f*<V>*dN3<Y+ z2jX0A_okh5edj8B77+4(iT{cA5&XCR%QmNg)tZgZ**xsevED4{P1<g;`K)jTtV#2c zuzjn2A?$^e|A>EfvGISFa%cLlH~!pkEV-2a8;n2p(n=mqaij6ZW?IQy!U;uROwG4G zl*A{0dy@&w#iPkLQr@h{sbOvyZI>GHeOSZy^IT;{z7N*$Bb2uqxf1OhMr>}e!{4V2 z#&b>>#*;sy%!0~4ikB&2JOg?1U6jAz`L>z#Qn02Z{0-&pM&??W2Hel9!iZlO5gU5# zFd|nhr(k2NpP7<1z|K~#tr+g%Jf6jsx#A(r$20rqruw@hN8t>f<#rP@A991m-LQMs z9X1;)6JPitWB)8yzSPLwWByxRIp+Uc%GWXeFHHPDx-$G-xdW<*g*Ga8!h@NyU-{S= zOW~2qUBDz;4b$LCeP3><J58utW1(ExYM6^qt7D<=GNFDR3+2jI!zl>$ON2@_XZ*DZ z<(|#8TaCC><x`ldSXv*MP``<#<;qs$EM$@$wTV5-cOCwZ^ew^v5q377YwZeDturOr zwohU0ox)Ch3X6wwHL_1jX_D-uv`iTrXoOv{%p$e=EpnXrt#khU{c`$->vzoIJ~O$2 z+w#KR#yMs$9d{F)WNm`O-DKDYdRlHg*S7&g?Xu%Tzf7qY(R|*9G~6jiDdLkv{f0M< z*Q~TrT5fC8<mQ#o#gVi=52t7v+n)#9`Q)Hlv8NX|@i7N39*mO9ED|TAy&cJ+0UOzD zK|R(VXTu8RR0LQ1yIa)ImI?z8ViY2}9<+^vfd|19U61+%@e3D|B;N;H-HmlD(Z_Wq zo?_Ru#@3%@?5jA7o9<Zn#}59s4%*oWFLR?R>Z6T{&)ldAW^Rnh-2Wl(P2j62&%XaT zGbbk}$svRgAV>&@B(jE+l|4WNGC*Vr`xa%B%>^TiA_575HYl}$KnO`7sHkjW5Lcuc z6)i5b!CH$}TI+5?Ehy^2)7JO*yXTtZm}0fh)A#>>pZA^5XU_M&?tPnk=FH4>%>=@( z+=S}J{NTXT6l6J(U`8-(I<hIlDa?@R=pCF&DNAXaJtSWkhIK}Q@31Kgv~*C@m!>^| z3)tcRSRoxWJ&e{8-`f6mktoN*GB;pX8PRc%B|KJ0htvi`Y;7FKO(+^ESCSQ~HscAa zViSqit%@Biq=Ocn3~hy8O~?C|;HsvB)rQI5gZMU#tD1rzJL?Lt9jMa5Lc2jIF}tZf zQ|$K8JE%D;gPL=&&~6SR1+}K}bnQhsnQAu7AZ9D)M5+RMxUOmw`8Gc9;NhzpYpch? zq0V61#0TMwQca(j4=lirCa$e!<6lq$>;lul*SOKd8Z=R_rtor;hqIajU+|U~u4)RO zV#ll__|hjjVQ(QF)bN4f>ajq9<s?>PH#B@4hmH-F;H;)&lO00G7CR&X{hDsigV*6W zjUDsG?n6v1c40Jj6h<UqNBdV+)6qo<%hy(C!!ZFnA`wfJKWa(`yB#gCdtXgOc0Y~$ zqEm?~?D(jRUQNd?OK?`xvBwfz)pQ)fjubpTPg_pnUF<3`9q(C!vzm@`mf)(UgSzTS zJQ}bL?iu!?0bc~G&5Pfm*fB+-$g$XAgqWCy-Oz4XTTRDoOITe^2PY6hR#nqcgdHi~ z2fnqO#6OgCBH_V&Qq{Hlm}Rk6TrrT+Ue)N0=xXCcip2^VuI<A3SQ}-UqH}4}N}bb7 zo&DgxHb|!XG3>!<INW*IgZ(H^!S2!~lsYGtI_bW-Ssacjhb%aqT@TicWe0~*rg=L% zPr6rO$Jn1+OUJv|gA=d-PLN=~A1h!A@^EQ0N}V%Hoop=gwPm{b4u5cdKUM}S862PT zZ0s&=N~v>dsgrrG4U*~p9D8t@e^o|5G-$S3QzkX7DU+He^Q5N9j03o7GB39#^96Jd z4sBO89VirYWm40$nRE?&xIDwx?l>0s1$NkUzZrwXf#RgP3w2id1#sId2?l0^T3b0o z7^!J3n92o!$G3{Z2J)c({-n*fi)=b(@|%vC)q2n|v)UPS%&c|=9W(hnW~>7xuc={; zS+g}fWwB&diBarYj8zTKBY61G+djDI4z3-KQJ8QaNo((PmtjYo;Vk@9I}GOC>HZo! zRxZLiYBwJ%<e8yBjba{0kyj7$odP<o6UAhnC{nQ-+D(pXI;^wCO}aX3On?UsxS|Gh zS|^c7Jc;a=5R^~nr`WL~@W^`tJBF6CCCX~7-9>g|*kDcqBxsrojTbOHJ97dc529S1 z0PsNsIzGgXbIwzR`0PnF1?%ErBH`jrtNW-D-BiHDIho+8LLJa_oWqVh&aGu06#Upx z6+$jF&aLIJ@hC^Cry9@3DhrgUHZ{PisS|83o&>4ZjjKE&8~?UAUYxlok=_JPhsfzz zAsr)?|8!!4<-e(rj%k)ZVVwatZz`mNodDzBocl%Ck&l0^eE>ixE@Z{yRkiX7IU0@? z(!nDFM_AB-F`Neo+ljgno0M}R!J$;y+pXhO0nhFD2**m&S~dCIiGFcBF)zT5&uu(b z=(00qOgl9%A-Dt~%T0v?5H%mWuHC$rTw=Lb*=v%DWT)h{Ml!lmH7_VeF*Qxq#(tL= z<%K~p${S<6i5O8R9R(_~?#M67MaM2nC{fj7RaA)GOAtyL^WlvY$ww!dJcRiq>U8eG zj`&*(2P2oORijp{C6`)m)j;-+iey)RKgN`EIq2lh%g-;ASL5X3Md-K6t8vxje?@EZ z=Gl40!GS{&8>%83*IIky{HelDEftn)Z?+$`RUXCu1oorYpT+(Hc6&PrH{%3{y&M#7 zr)2}EF&(@G*JFq}HqIgVCt5W9|JQ)&KiO0LXN#sc7A&6y!*MX1g2lDbhAGA($c8Cq zA?Ax3AEV507|~hA5}y(6GnRPOZ!Ujf<uGy@P3ge_Q+zOtNc)?<Ay-~G9cKQ98jrx> z=xbVTTFRh7aC;3rB^5s}{rVe^7G@d0(R?bHQN70FDw+?;ji?1PN5BYRPZ$CGIn8&r zm}X@U+osbDKQ8Kv3-xq|aR-b{24L5(*x^25_+h%V*x~!Rq$@O9<{D?$E~j-;qlKR6 zFy2MXha9_%w-NCnhi}Y?#XT2yg!BcZEcd=4J%{v6oQ%d?Zrth}oPnRz%Z(FAbHtHr zoJ5+#j^##-FR`=nB!rh7-o(yH#x33yAlr!avM*YCVTiPsvBGC~e8wE#45O9TxDl<% zrSZZxUf9h{H&#t!<dxn&#<FRt*%OSF?7!?O#%!O_$!pB<8a=$oApaV4W?E-syw~t~ zJ9nbvS^}BSh@tZ0aCnUyyeSz=;t-Z-Ecc~k_hE*X(b<;1Zaw;?Pwu7)gR#YMU(dK} zj7T3d?deVH+{u`ra;F^qAxwAnO6kMlMD-(R*VDMlXC&t0H$AmG3tr+&P48pG`i$A$ zJ}E4wlh2sxO-RmEp3A)5GK_g>xi>wT(_xX%piRcy&ISx_-r(!1QownuZy5GeW1-h* z?@JwST$PoO%zRh+&?CMs9DP)AxtCptGCJ@#IXPXGn2x5TB6E_>>LyKKLyoLHY6LLU zcVKV=4wUTekdbG6v1XcakM09=jl1;|Lpol$A|=E43#3vVqfi2mxrToYrjYK<7{tP( zvr-1mj8Ey6nw)A>ViLcv`4VQS@(}m|44poO%o{LW&nUM~O_2lo?J(9_VmuDMhRmPV zed#^Z(~RXMsE4s^LAoX7D#@3f-UD)v^fY!d46MGk#%DYW6O}I@$Jdb32+QqajPV-1 zysL-|ud&K&O!ON4&^^c3Ea+%_=*aEg2d(g-P2NE_BNxo79Cwy<+cIyjUdA_CRuALj zwOKufbne_44lh0-;v3WC_R_y&kZfF&m6C!kfC<ezaBP01<&H^7Nr4;viRO}DY2G35 z>xsk9XTW@{3ii<(2PUJbgLhmOZE((6poeM>$E9p*-qa@pMhzQnCfXJ@f57(Tf8Cg7 z!Nhq>=PaMMC{43n=~|;D&4MNyn*VL<nZX7q|H+c3EV;J5#zqs8v>Um6PQftX|77Eu zKhf@K(}|_Y0%zk0|F>*!Hg?!gw7L0jS=;1jEm(a0^*kxsW;QultSJLquuaxAF<yN# zF>n7zS<mF1#bDc+-FR-7jaNEDBa=3S-Qm77^k=T(kd4l}u%O)IxFXWJi~R4{&xBP_ zA53~K_x<4f_c6*f^XINuIO~6=Ax*q0%&R8+eY3Vqp$+Sb_CIe&GZh6iFE{uq)xL&K zMm$`#H*Ur7z_qv54m;y;r_7sk%+KC-V;=CsxAta-@p)iyekI6{_B@y$`@qg`7Tk6k zIJLczcuElDVLNYX7ViZ--a5evDw`ee2FStXzL$dcSCJ>nrN3Qn5!`kfIJLX*x57(@ zY<Adc$iexQ;E4loVK6^DbZgA76mB~WoZ5cmS4@X&cGxc<2j{mN`PIUY`9Y1eF~0}k zw$s3=rNf}%F#MyiH#_WJcm(G+dq~r`p^F;xI}A6|a6WKbk)UaB*pm)2!?+(4+VMtQ zerbD~FCiX=vj9-rQ;0Xv7C`33O+99|oa*-i;$;QN_SE?u{DRxD2<@=@IR;_&xIv|j z<u-1qU2rSDQEDoe<p(uS!+R!LHauhDAB~;)F@KgBoL@HP=}7q5o81muwi)dIpRl)y z=@PWry<&M8jjM(&^GIykjPLav+rydJv>9q<1<RZnO`8GBmYpE7Kdg;C-n1F&P2ymg zJ=nC-9w(-g8gs5Kw6_^N7gWAKw6x>j<PR9(w6A@>cbe^ijt|G<Os*k1x`9goZH#eV zkWk@LY)_&aFx@mAkua>vKSS70m?Io5<od>ZrU~Z@uNB@RTr1op<nhmR6~cRkdxeh( ze<^%X_=@m1LLLXq=MTa^3qKWJ5VErwueGof8G<(ngvzEDCU=^IXOWPv5P7u7<3-LF zd5*}}i+q#FMZ#YQ`Ar~}&sR5?ud>+%S=sCal@0*>PfEPg67P)2>Yaat|3zfKg#TS+ zHx6Fr+k!-Xu_AXAxtqwnMD8c@AdyFkJXN?rxK^m%%0a&Oh^%aBK~}apQSSZphkQuF zpB4UE_($RA!hZ-Ov6!&ltx3#3engP@C>vPtPZqhqgbx*Y42f`lu8r|$N%$g>m2E48 zuN3(X3BN~VKILV)he*tW1H#9||2Y!rd6SayPD%LZB4b)vIu=44GD_yL%lx=*l3b_A zUcxk?I-cOqwVmOnFjqKU$a~-n=Xsx;CsfB5<Ru~(2{#Du7XCu0o)jRyI^Mv0#s2}} zL1B&XN#QfXSB1Y8s^bp%@O;I3eIWcq__^>4;opSnI7IwV&RdYLqL6$?itH@xCQKIc z$pypv35N)W37gy4n<f79gx3oBN*eRIMR=PKFN7%nJB8}FMLfPn#`w1FJ#`#Ien|We z2#*M#6xIrD9UpaEBi$+S=X+bs_amV?t|6ZnSsmYy`Fb1U89ZLVP+^oXMyQT+gm)I1 zub(l#PuSe%o+<vh!ihq4{3Bg+n|s$v_!41rn|rs5|DD3-HuoyUpKl_uUVKlD<g07s zQK4ElAipH?E5bVAo5C}~KMVP^iTRurekuG$$cq++JB7_{@Y%Na5+poDm?6v-4i{c2 z94DM6<SP^`XP$7OaEWlKP}vYhyh4$Sh3bVA_-_+g*%F3)pU4ji9}ylA9u+<-d`b9< zkS~z2UVQ99o)P|8ST9uT8^XU5`JzzAB^J}Uge`=vgqI29g_jF$8^rwH9Mks^4iOF$ zjuzUs_NIzFQ#e<+KzO6jwzYRj8^tK^=Mt~Et>S9&zhB7rBw4>FgwG0J5WXTjDdh8c z#(zinf$$UIIia#;jCcW&zZ2TFjKdMed|C<d_L-8EO=GwdM7C}1`9!vD?e!O#?{zYt zF+$t6@f?wrjbpfP5_yeqolw~}hI^aH4+!@O9~UYc$8f(Q@<}1zBW3xY2`>u&E)2s3 zD8pL_+X^oe_7M7nrZ87HL&$GsGM{UOwvD@0BCi$RBith7d#p@%NcgPq1>vuSZwUV= zd|&v5@M|I8gJpiv!uG<B!X#lgVTv$Am?g{>juMU$P7%%!&JoTRUN7W(Q>;gGn{;=H z|2@JVvq|@e#5*E<M);hNPxM&MtHR$3e=q!#@B<+qRWkn9!oLe0_zyz=a3P<?Q*I~h zAWRZ=6Q&3=gnVAibbPEz+P0C$i#%PZY$PLmzQ~J(1;XXR)j~c(XMQEZt-{^HJ;H;+ z8sRZvt?*Yu+xFa>BJ*_v=Km+*2g1*VUkGj6bAK1vg=<l!3m3K)wi6}@`BEF>^%ABD zvxM2g5yC5le74PWQ-oIu=Ll~Q-XvTpTrF&Fv#w11`GOqty<hl{@G;?2!smo93T@kV zzZLl%;U9&c2pfcc;opQtxK(bbknf$a9x+1O7G7tO(}jJ6eB+$)M+x(Ud>xkl`NG-4 zg~IEE%Y`e2g~Ij1O~Ml4c44J(k8rQ>5#bTxF=4In72!$Yo5It=<~I7yivKyGU-&m+ za~pn<_;1E`M+^A|KIN{$6k&#Nh;W#2jBvb=Z|pPueBlz|QsFA$TH&3-yM%nhpXsZG zdxZyueB+<t&kJ7_{#r;40ET}cY!Loc=oi|y1Viu#kMYBV(ZaSuY7j8Ir!Yg<S2$E? z3dad23Y*&)Tqyq638{#{{ELKCIiOrBq;dh}2ZdA+p!~EDr>%d>Mn?1huU`58mL%*Z zOctgJd7qx;W($W4M+!#^^MsRxvxV0P7YVNyt`x2o{$0pBZ_RY}Gt#_GTcgf?<`3xX zXQpQ&2-}bA>?b9lFubk7Hd1NZ*4f`qo&A1i{2NLVs-jC<n!}*8AEtEnH$i9rPwS(1 z{t`O-53cWT-f2cxM{jOxj)^aJM>d3bqDr)LC)Zb9)KZhGqAC)L;x0Pk=HOTN?8dK= zl^5?YKPZ9@vv%;jQ+H?1IkNKb7Q{|0ioWRZ%*L;tbw7R$k8{9#Mea;T{|<GR4u21^ zoLPzMqA!McX5-hDbw7SXJ?;VTt&7Uk`+smMsw%1CV8aHF-f&!x-T^Ijqh+bLF3y~1 z9&hkOw!Y{Hn-jk*2b$9n&?tm{b+^OtYp;Adc<N%!R%X;i$0%s*UkU%w!{R3u-4(Yi z=ZjO+*dMAi_Me8veon~ny0VL!w?|RgMMvs&_|>(&MWf@(E*hx`rV}|g6lHb{flYzA zXzSLCxRX+}^`b+YhF@JP$FHF|Gfx+}GaLgt)LlCKG-5fm*mYZR5jqXOF0CBDp_)7M z^tz}FeZU8&Tn+17Aq~%mw1X~tLS2S=ei-T;aiM(=b3yF=L)k{?=s8-~b!QtRET6_U zUWYbbS9;Mgdsy5QwDJC-Uz`eQ7!fk7zFg~82MYj3_PN^IQ%pw^^ymi;rM`WZyYGgf zdc9L`TQ}gaPX8?1SM=?btY<>se&B|o(6`UB^zB0$YC~d`zWq!8q;LQD?LAG+>qvlY zgb3=}-x@y``u4gjPU+jz7vJ>jKQ|{1`u1_Ao}NUX{?m%?kE36czWqwK$xjF+gfxr} z8K(5@Zy%Zit$L$gHzMCiJgg^Jvipsm$c38tFF^~rW5?Tve*N1ghdLWf=gST3D@T9L z8%b*pAuMV7;f{yL#?$4hOQ61e#O$K2ar$8YDQ{&$xq;&&ykS)M9d6I&lm5KjZMJFW zcC3rq6}c^<W73Y8oh?eg&?cGg%;MNqTf(=tE{WI{Q5_Dg<>cK_RZsf$66g*ed#)H7 z+Nrovea^T&X&1D#YkL<L6dx{*F((x7Db}|bTiXP}E__k!+}a@!@{jOc?E_i^w6sg0 zhhG%6t4$#E+cp8?tEhl+C@K)TwOzpRWK_VDr|)bXa13u9&~I}LHY&r);sTEPR)G*l zbiimI9SAuT7tn`?S0`15RZxr5-PfH#P5ECIX}$q<N#({a$Hr;J6~$+YTLoO7>${Np z8MubG3b;43-cO>I(A+L*8_=FHc0CD=|EPewJ_<2Vb}PO7q~C)u{aKW<KQ^G7(E%+w zHW1Pf7YMngO+Y(@7IhEX`6OBZJ^j9m<HI%=*3C5?TjPt7S1tT^uY?7G*xKlT>lvfs zh~JIU;&vm)&#ATUsHiHwsyL+NH1u*$LX+K{(KZmC>?~~?aF^-OHTRUX3AjH;885UB zggnzG;Fuq>D-=g!tAMk<eZculY`~cu6L3u#u+dpnxbZQ}g89Yz_D_ndijA!yRZ#)w zK37$%fcAQ9Kzj<(0(Uv2yWy$`*%69x#|iqn;2ZKZTC=H5z_~vv5Lp=$h^%cB(C6zr zq63i+Mh8N}scRn-2z|z=I)b*w2OQJe1)MuDMoYqWLUT6MS?SI=6dzW0`>9E0tIF_- zirChbEva1|qIDZW?ec!R;$zP_T85gKDX-hQ<(=!|&4%K>#oIc?A@6npZ8&oLywkTu ze`^;ob`(E4!fbE0d~X@7Ibc>iqxWeYaC0Vnjv28-@9h}U>D%JJl{~tvxV~8LL%B6_ zpl*2_Vk6GyMUNu(jz69nSKrVf;>%FStZ&x^K5R9v{<CQ3m!XFKWoSse>&p6&+1d4N zN3^Jns0iN`xpQ3o=kbwWhK7%;56=z1Q0N#@?{K=)J9TvTSrm_BZFIzz7Td$iB1;M{ ztUct}(WWY<!qKq7z#1LmDUI2du&XWBn`L#;)t;S6(0k6S8CO5mGp>G97_5ajom)SR zbu@%IPx@m@j1t#Y%)DBCr`BshT~bwwd6k*zcXoiLcLc17Tn`QJtX1(Cqw`T_>wv2@ zt!+4aJ2MN8tU64Mal~buIK)kE7jR`T%+aq^K+ot{*WFAo5vK}qHbG;&0ebwmA?`uu zOO5e#zdpKsz@2efz||){5IPUzp3$OXOh>&RwZ>ygTon;m51i$(hr^1aapdS3o*hQT zA6gk-;-x0PBVzMV&*q5pdc<y=OR^trr!>VKgZ7$brpt`pG5y1y=5#Zu;*X!)Yd&Zu zRgQ-30sqHZ*P-z-CR*W0DY~)fk)ppA;hf^qdJMTR`QiSD`xf0&^kmUD(953$?d{PI z+j8G^x2$_|-8bu^`si5~P7V1esp8fTzw;g!9qJJe_cxQxYd%`edpPPE!08x@`?HRF zAp8Y8FM@(M{Wacw?9S^2!{NGJ!99h3>awQy82acTw1Z^mp*wl2cPvB4Ent8%<PAXI zN}oJl{}|5DyAj85hb*V$4jlxD8a2YG0SbIZINUp#soVLnO6QEXBr5VMHEQ0Lck$Xy zbMcODp^MLt*1GP)?;6)&{H}H-;rBLI4N^dj`XdNXqka|%LSLr)YDagv-@^}7s)quh zy!C4=a?FI=?fi%OrRFTZs)Ih-?{KhCZnRI&&5@6e4DWz&#{owIz=tH^n~;s;pyLL( zizs(y_`@pvRmy!Ben^G)K~Myr{5c*`;Z&@iL$O8$Q?dF_Onq2HcQ_m1c+|nC3w&Z1 zaf0#@CF`4`Jbz-|PbiT(&YriM<PtbrtjyFLPdeBt-XHbw!#IwoRMErH6c2CGi@XIg zHJ_hWMN{+Ha-CqjNi5ZpdEd=5koj1$0~hK_pZciE_C6&-pZXb9sShA}cxmo9=4gvV z271ziHyv#GIOT*UneUK$x;DxDl9Y$9F*tsyMuT@hJnyjdXH{`OgKX(pKc`yByAPJ0 zwI$PHeT$XUv$o`QmJB^=OMZZ|(zC8r>0hyAgj=!;|1LdLHG#PS&Jg~q_Po|4&!b$| zB;O3V<?ov0s2FqrKcBIO-OriksM9%9m{ChU!xdRQ-_~AjjCD%IV)j-nOV(PkFV7-Y zOO@AeRV*up<<_FIQQAoCZ8>l%)*C98s+ua%lC>z_s+)~HyD?@<gLT{3SgIv!(R`@I zxldi~mCbdvpT_VQN>`intPQ7X8)k#HT~b#&SV7xpQr!MOT30(*MLViVMH|}MlU>vb z|4-^_bG3o4HXq10Z3Ebymag_ngj<@|bZx*+%@=@by;cBSJd_YXFvp*ut35Rxh4fPe zjVGva1*M{<Ql|b98GWqsor>_rjsKCMxkcEOn^4`9YyvCCq^!e)YP#N2nM@$;T2D}F z$yV+Y2)oulsKm_@pqw<4T!kH)QUue{yRmw3m^ftM=OOvT9h$bpTpTCRV>wtz2fr?e zRuH@?ul(t#!H%?f<PufPOuKE&l8xJBc@yQ>RZ=>nDjvHk?A1lskuHkl!*J+(LHEX0 zP4`L(dkegP9n~RR7zm{W%@1p-7Y2}q54hArB)ChkC_joF>Nmuz$~h&d@=>{{%>?Yo zk^Rq3XC;ui+ITWcSHnGnn4p}Ki5b|TUhS%;;~LBVS)kr>hU4JpBU|+ST;SK1GZyva zgGp#muB~Q;S1AD<O$R^U1?@Geo;#~46g7FcswtFVM@@-x<(x#YmFU{Ng>*cj+Blgw zs{ElO&35w}NT^Ib@U7(}a3zJLP!i`L9>?y`X0l82RF{mu#2BJ@j^G{@VKVU`cBM;C z$6iZtR?|UkBGpH9JcS(<uxBuwb|-Vhmtw~#`RRuq4AWL?TOA{lMs}&TI~$?w>Z?`g z&3G?gg}w`s<}`6cGbuMtCTpOk5L2)l+Ra8a9ZU_yat_oRmXlxxP=+p4hajf_Z5GJJ z+&;zb(5~hzQ;%sdLD)0w2Tm4y;vkBhYA_r!iIt8g-S=Qe^lVPzcd;v#NhmbZ{ULTV zkYE=;KQbM4TBWKup0(wg6QbRsAwHdKHkKgHvPkS9I6|PuNvAdG@;K=hNC?u<Nh_L2 z!&=v>Hs;wCx0N@W#-?!@<H9gSO|{8{9AuM7p29Kho+_jxAA6(rCIud37!+ayc3XRs zZq79{HfU9Nst}D<TM;tes>+*0$bp>AnY~v{+dSei<(x|J8(*l!u|hhmNj{a|^WryH z(OM!J<v}yvp(eSGrXzlFA*VSX&Os<CY?|nkS*@+uF$RPTa<<e@ecPH77Rr{y_1Fz< z^_prrtVx{D0Y*5Z*gXs<J-PkREV4qaF5Zi#>so3x$rqO}vxs-Gx8s2hwal%0c2ag1 zERmKYzM6qwtGH8%e_$Uai%DbLM5n}7iwypeNFIsejK6f=2??s}-atRHR^(|lhH8PZ zdMLFzxB;vTquOIk_oc!a?;LhCkYJ@#aYRAqQtGDcaM+c$8*43*;+yc33SrKej+d$r z59y_9z#EqkG)_}5sKKHLIZ34+PjKdA%G4BcW-mfACD37=1IH80Qu(ihgL4bV{Yra| zsQ`AGoCR0f9m8`#8FuQ{l3d$$ZTU)aB+hC$hSbn=o&FTNjt{0cHK<7+m-(<`5ue?s zzmB+nRPk4=CC^#zRZVGW?919#BOUun(mI3Upa#}f^!+%lpg73c+W30je(coBC0QRd zJjz8!G_tZ(Yn`kc>!ZT##3hI$iFG@%sNyntOk;8vlfQ=@-L#gxV7XV>Iq@$>9(ISu z_wdx#1L6SX#aCX{#=ixlmDdd0Yc|Hm8qmf`5r;6VpBj5Y4WXUHiyRWLcfrm=>@C>O z7v#@yP62z{i)?l6uMq#Q(wpu3lhtOAKy5ZE3;Lc$l+P&es^47x2CL1s^+Ct9_mCNb z2OGC!8R1@|25NUNYguW7;d2-oc6HFJtASqK%bJ&dDTscFAS34X#q*NPw4O;l5<7QF z={2Ya6(}R7L1(c~;^4ufja#6en`Nv=Mqf(i8<6?mk-6pfz08{h88Hin7+<f2@}$Gt z<NJj`y|y0ewL5I}+IOHTyASHMzlW;fzDD)h-$T82Uyyojw2z9LshRjm$TVt^<|x!_ zUq+gvj@zj!dIZi>4lh+ad!=_y&sM6nKBf73tB(<G@bY&NG(44tDO4jn`HZ>VOlqdi z%c9!o3aC&*Cz;WqJ?iagc(RPiUc*Zj*c9VtD4%+eVV>7W$s+Qhbn0aRosAn&?kaC) zpPo>uyxEs&wDTJCeNawq?TdpNDr{;qZ>8Qk*=Kb18ezUp(4NgV61*wK^{RGrkvpoC zh`OK_C>ZLxkx*8>#Y+X@WS?=h*GTXg3!oM1>(T?IDRtM@P&&TJ2Q61on~n5#rOGf9 zMtHk(_*Qy*uxVHM2B(WcFLW)vnLSXobZYFPr8j%iOvH&xW8IBeJ|hLS%JUfqFno9D zS=3HO3gayFQ!Dk{pBtY--LzcyrleeX1r$fq6FUxqKIcbJD&0-h*xW?r-l}^qAC)?Y zof+-T>keJrwCjvtVE%lndB@U~Wt`Q#8Oq_;jv6PRaQlJ|Rn%vpRQsx))gz<FFeoPf z6gAjqQ8d(FjmJ^1)0P@6wO)Hc_jMY6FE_^ejK0uLeS#{m70{QxvrsA2F7<XYCi*Nr z*AFp!%0$oAayRL@iflZHI?uuQEYJ-qs6xYU-i=Fco>ees>GH*MuAilJ7W!(JQe16R zX#C%%vik36TXH$F6<hzetGLdZb;X1cX<DN)=Ko>Zt2eG#o~q#|jX&x9$<PYMtyr!t zS#d+&ji~H0ZQ0^g^OxURFyB%E7M<V!w6ZEn%1DQjXk$P9M5Wc6GSZOhzplD^Q(q)U z;r*D)@;S>_EK^hC5^AjfqtsWGZqN_uukwoH2lQ8q@Sg>LAeY^G!}2+E!R1RWF0x%z zRn>gg%vnB1^UYnhOjF9K|3ZJYuNC8evihpl0bRm=u`k>**+)T}edXL4evF6fQ?)gZ zr}`ElU9r2d|5&_K#A#k`@YSMy4VsL2xH;drZAAghza4hQ;ZAu1cDoL6YJ4AarJYGo z*jTuO^Q%IBHK;7}V;|W0@m5E0e!Foq@3J5d+gZ>o-q9AYQiB`m_GZW9|L5RxNB6{) zJ>K17x%9Wo<(&dM4V>DPSWWZNA)6g`8|2{plKVF4RU+HQ{I<Ytr(qU1Bfo4qWV6F~ z_a->MEab<(2CN^N)R^BMxa~A>YEK})gO}*%-3SZLZxiyf$E_v8?Dp2c&HOkYxXr~= zqI347gUm4Q&j{^!6TFw!Up<a^7|sGfZ7+H+t-pF2p~3CYI$v6U^-aVJZpYT3evUzy z-Hujp+jAaHEerX5M2Bp4S!7W2G*1_d2RzY@|5AT-H0G&kX9n8&1$ilFu>XHTf0gS) z(-t()@cjQme>EQEL^W1`J>Ina6#doCh|WIY+Cu%+{!PiPe1G6l50_E@N_`cVg26^2 zu->4M|BC)<57bA;0fuR%$UCc)hY9n9Q-!?G#_+{L-g%|W^?<xxxKX%WxJ&qe@SyOB z@Tl;F@Yh1lf9Cs+@IB$j!n49Jh2II4z8uoEL%%S6sxXVhO-s&sayW?tY^;P&7nygm znQpPjN*@mLts<|L@QothBeHrq0r3us{EUzfb6E}_;;Qxtm0lbC`CNnkUr9VC4pPPs zBjK;~*C4kSnQt91Tzy*#yh8j(i~o3$)wiS&ex3L$yYr9>CHyY&S9)kDr$S_<e+GHK z$Vv|lveG|8`sXD5s}lYjk>3%yUihsr9E%g{dzr9@aDZ?KiTra#R{CO)XNauyRv}+2 z@{JPzlKNqYS1R$;O+LsEP{xVrkfeKB!k-oSH3@%H<oASsAu&(R3%?cr5G+M(PdJJE z+mVRZL6{`|DJ0@$ihPBHUoA4Gt4aq^5vO@Yt~tz)>oTeCj)A>IP80SMW(m1QGk&g+ z_vI-6zoH-8hQ|-u+g|7uCJFf}48sQquMp-4#|Xy@rwQ|gd>e-83xq3$tA*=@KNFS+ zw+btT)xy0(wO%0K$3%Wg_?+-Xp;|u>?>8d9C45Ktf$$R{U(aEE1H$I|v8{Q0BD|fj zlQ2=(L&)b|jMrCa>&Xrid4zDB(AJZkA@bG2`NGA*n}jQb&A(;G*NIrJdb<m3t{?lL zgsXK5;kKUalj3jd$-W@6ttWd@WLr=6w8(!Fejv2<WO+@<dR!F#U8vSI_(#h6&_UQq z*hQ$;JH$&9xwmkzkdHx_|0v-Y;S`}-2N6C;<oUwug$2S}g=>TxgvG*N2-W(C{3=A= zB~<Gq{P&5>|0b;0W5QnwpBKI={I&4+!aoS#7k(^kt}n|ASmsajpk!;Iy`I{7vI*j! zChRTb`(#YV2RP(7;Y1-{AEN(k;X>hc!llBSg@wZPLcX8I^ku@G!h41LgbxcJ7d|cI zdu&Yqy708{UEznqPlXqRexa=gYakEi6E2Jt+Iq0<MNSfS6Q&3=gvur~+(Sift`9p+ z{PTtLgbRgBgiD2Mg|`cv>&I4!|85~4FS8v7h0XP4UlxD9Q^9zrgufI1S!nCg@>w+F zofGoCK*}LPk1$FYE98?a#!D1-74iuZ{nLd5g+qkR^=-$C|779S!nwlhgf|LT3Rer) z3x6iON4Q0JpYTE9!@|SDqrzu}F9}}})(PJf^36!L?-Su)g<lH!rX<5dg)N1xg_jBA zg#(2{gr<;>|C!GY;ZETL!hOOT;bX$%!V|(e;hVw_g`Wz~3Hkqu<pqS_347yDKmGd) z`DQ0&TMu@!$hIErY>}@K+Ip`mMdq8IOn<kqRJdKZOL(8~fbbFFQ$kx0_C=9xJ=i*t zZ9Uj`MSf5CneZ<{Tkq9@YfRQFOxQx$M%Z3>xv;y?)_d(OGT&TfKBI(_h0}$$p6h&( zo9nysO;@JBL%3PEP56LtpYU<v)52GTCxyQgzAb!T__6T3@GBwToMrjp!f0Vzp{>Vy zxyY%)OktKVTgVq?nV+rC%2#74+j^{gy_NDRAzxyp{Bt1=tN*AzVX9ouXA1u&<eiCT z`hC6oL0iw>epJ7&f1h+K{*UYTC8wY;EU~Nu_4{IB9Sh&&ZTZKV@tYm}2X0<ib;iGY z-N2oBrS1l&V`lpuL$xn{eP>?f_?-u!-xqObPcv`l#1aEvARNCfuXMtelm4vD2hEXY zNQc)O9C||i`vqFB(c31KWPf?@odb97L+U&4oP=+HrmoW)+#$2uhh28YU%n3C(c3(_ z{C=%`xFfT3{hybHHQ*fC?WF%g(c~>zTTeW<*UbKM2x5(V{^V!c!YSq~Gpll^TRZpu z9a`6S{hIeQzW%FkKZ>u~y%^9BK%=N8_Oob>U)g*YCtv6G;{h!(q!iyZJn*jHovLkr zK42i0v*yfaTH-XnXY{*%kG43Tu?FJ{g3#yED^1V&sPMh#VMrd|sI4f{dZgFkE15NK zqcrH0)U-D{neU*~Y32(7Jd5AVvQB>Ha=e4`rsJEASDVkPGM!~86~1oobZEe#b`A&P zYKiaoJyFyB9uL1l=t!(I!%^Bkly*(sNq^?^W4GZek?Z!DnipkwQD*9Ne^kC+;zG-j z$3kN}%R^b&1Gb^Gd}ql^0jJeM__~p^do^;;f5#tHv>snXbR@b=9rg8S1FBy_z0#re z^Q(Y6(LkT*In(_y$?y1MX1@?{<%DcQebEvv=N*4+_H=)2{xp+$zvGXC6qm1~-N(-B z9{OF4T6@DQ&3)&+Vf&!ff_m@7R}0s1j9g|*)6)<Vv$r86>Wu%~?#s>@4zrHmYCP$G z7vB~=U2^8LJ_iyP<@uf6$KdOY#(|mm4kNz&sB68_>y{qo*J1mXBHnJso8))SVoXQ> z7Xl#%66)SI)fWu?u|=U~q&di(y=b!E*?uZ?cC>^N_WZ_C=I%vTnkD8&v{T#Y&P?f~ z53WCT^0PjP_||CAEOQDpX7EkXd(ZeUlw?(epZ{(EzK81^g>OqvG$+StJu&z2#oN?- zv$na<JKaOR`PHF`JI0mgRXG}pLXG6H+q1uTc*ErKpSdS)*UnvW$Jp`-6@zv>=pN|U z-Qa37uB?y4dCqV~rT->&blHSmQ%bY8_SrIE`<QKS`L&`Ii^f&H1<f>ko%FD~RP(yd zdz{akF<V{R_F#-0reW?iwJv|~YuW9$q?@s2oy_BbFpP*N@yutji5*H1qFq+@Dn_y0 zX7qGoTg<S_FweE9c(~f)i?RIHuEwv%Cbp<F$~$fASn8-sM1RL8&cqk7<H|bY%d&Af zwSn+NZy95q`OKSG8*t|!G!FS><CrN)D0?0wjBiUKG$HZwZ6Q_0&ZM$eFq67&>yGqE z$X`Q#?qtV~E@dwS!YSvR`K(J$&&{k$H~2XqcXRZBE6j1`vmU6Uy9g<GwCzsWhLRm8 zPzGM`s7Tw~YfDCHa+$9zwJaU2NJd>etV=46ROI4AO>{67tz%zks8u??=IchO&K!5u ztEgA*!!N;qe*A&+QP2&I@)(tl9U&E0mb<qVUYPpGjDyE9E1@S?6tgR<>IBDrv#TL2 zl)9AlMaIq%CGPT^ZJfiyONKw(3hzUQmzX8L`wR*@erNwF{;-;eEiVS#Xh#&jy{b7} z<>vm{fU$8wy!Bny@SHb3(>CfD7bE92_(N0D(0ApQp8PB(C&J9?7_y^H#Yl6qsrj^X zj~3<bB5z&q-sLC{sb~W|#LRU$C9Sp$FB`r;q7*q{RdU8fm4%m?Wv*Sn_d9n(r*X6S z2upuH;7a^G+VWDsfv?y)7NR!zK4>_<54%v`<=XZd{GFNo%v<8GGND23fga!XT=Tc) z&2=%QGY<R~DO-HC_10HF_qO?FOf`<2{;f90ltygP5@RZ&O7AoKo7XIIRcqVUnXy>i zLLDBAcNBWs<#3nJ@H?*yFRcx5G{POuvU1Z|g&umn)wXyuv=aSW^BUH;ai+UGV)JpV zR2|LX=Id%U#-OHdq>4fxhUc`Y=wv$aC14M}5A4bDl!u`Yw3^N4@cl1f9t}6gn6>ba zDeY$JmCl_x74B_2F|tmNi8aUR#OT%N+p15s_^No-t7u8?Bj}Nw8HZlRugQ6^F{W}* zRi9mF{GS%h)JEZnUbdDvd(Q0pX1_PPuNjpY)pvK!psjtj7hdRd2xpbeXZ+K!5{y2K zuefUC>hE?<u8P5WrJc*cIp@>bJgjOX*LfP&q1=AYCu|*8zb$-OTnN50?rvBg3N6O= z2OGlM#%^)qyUQiJ+ipMUUsMuTrgil$;tY=7{uI8l`XW{#d}SL)VRYjBMd&fl(CxK> zki=o7Lpdgi`F?lY1B<e8W(rBXqBMK|8GlJpK34Ge7I8MX&wrQ3b-RT*m}e(PrHOC2 zhaJQ@12+-RZ7b5cr`2We%J)YlN0;%;#52awvQ%|$YK`+vG>;j_+kR&h#?Qkuj+6^O zw|9n|nXcHJz3&uCdmHD8894qNgSeJdnqeqwKgybobJNON@79Cn41d&Wo(XvdbZ^HR zCg-Kr+oM$rQBna)${&jJQYg#X&sLzUY?O69@<!^YBKOXhas01`Mx%}zdo--n>df>K z&P=UwW{S?4fiu(F{+I&HLbbjO+cxyzn<}SR<R6<q!yh-ACA{sA%bOu*rsL>A*4c|X zd&BpgVqc=xRhU-`TjIE1R1~|ziE9t7`^dUUXg$7~dw6ub)_LUSxbhZXm8^OPvj^vz z{y5KWywdDU{e1m3Q%g>(+j97fzo2APsg^jhlreGUO~%nPjwzh|UI;ihI{Lq6cC15R zFD%9veSe4J7H6*Z$gN6Cm}R!bnJIkXVDphhTg)S-ySKN~Q|EPehWSb$^uQ;lMymB| zz>c<63Dr0k>ClMp*`?#tLkDbbTUL1C^r7+Pc{?ZUnp8bBZhQym@*7$T9&X-##(%bm zv$DlkHMjk$!R`D5$}TrA+Y0}ZS@k<Yv~!c!X<c!B<mFt947x_js)<wANRf%Bam~_C zT_g2JulGA}8rMjfa*gz6&6&?KF*`k@Ph*6dUn99OD=-Vcca2n6!+D6Whc~-MYKeIm zspcWBTY|2U`j_^@ir?>h*GRn)H}hX!BYA7v;u>jo(H;|5M%UX{Nzr9m=SZ20Ew@Li z5>XniT%6bU+sxUfTF7gp0$d~E`pA>bYoxnzjT4#}X@(;=Ph500&wchaQcLtn<oB+T z`r{g@A6vp}q&!?Bjh=>cbjVM-Mnb)@n#?Xb`B|pAMsnjC>7cqsI?HRMkdeGbf-d;q zaE;{0wa?%Koz*pxV-U`#2fCXxd5wg*n_jnq*GLgVaE;{07!CfxYb5R8zD631Yb34l z8tLqATpjhtI*4=7*%Ho7978p^n4NFuoP;m0j|wmR>Jasmucn@I%YqU7KQIB;Pg$^Z zaJFRPmNWk0&yR#Xj0;cC;7Yv_XP{LvrC7N)y0;zsFx$MwJb=)KqqA|gIAE^)WO5bH z%T+~#DkoG-z$)Gkt8@0@0i_0PbwqXS#P8eJPB}Q;d<Nfn9#i+G$@SB@BW+OxwBY0L z&1YPvpl-3~Wi4l)>DuW&2OC_y`qVvz_(O0V?<|?(cm4t^RF;-7#*D%0?9SP3x~d#m z`_wtpwbfhK8Kr1wb5zZH=88o)ACKaBPD^;r+``d5aFzKOj^hJ3yEzZI`{?~A;Tzj~ zafbQ?SHc>u?xLWVKFR@`7ad@Spw?wNQy1nuaB9Hz%RaXAb?rFy$)xhpIP<B0K+nGr z`#|h&ZBz$$gBFH=LCYMOlQFuuKHchR(A?jBQ}}df`vn!RbYPg?u)#UGbkNrCzBzKV zpLxY6gLY0RAFyq(c`tOg2YfPU*MPE*KXUOtt@>Z*bj0A9fx`>oB=+VTzIR;#KYZ_6 zJ(|=*5_m^k54{nI3=iGo)U#t}$b*PxOrTHRT&8e_Ud1@a>0^KIdJ_ZOq4R*I?_Gx? z8e%_0INUQC-|c)^W#OcT4x}2O>3i3F2?y%hh*;?21J|{#*>JB>-@ab$dLKTwxo*cV z6t&9{V1Ms=INggJIY=CO8Gf35@A?)5JBB$vVdmIQ;AtN>M|gn0!}+9{PvydM883$q z%70GL0e^?d(3dD)pg4k|v2aB^4u@kTL#d3tj?yUgj2*hze1`1EwIsLa7^TsSnTOr; zJjF2-3n`XU982*KcBK(Lj*=7O*rEUm(Rq}*;*NxekJugKDLQa-%v0MaQX#j+vK2RI zjtP8>{s}{Q-@+36;7*f=uk=_VZ%BFgbkY)O=giZlQB1&Xj}|MT_dJm~(a09mqD6{A zCQynNX|a+rM50-$7W3?M7a&n7d=mSBI^oK3EuM|?@u-N;VjMh=G>W%HLR{4-j>k(r zp8Gi>lR2)>Q#{ltMxz1N*S}S03B}C}ox)Mh;L9{WpheuIIQ*ae;&Vh-&#2aoUwp=V zkq<maeE)mT6w#^n_nsxx{@$~Q_V=D4vLV>;FabyP_rA|ebqY0?`F&<gmZohuoY3VV zhN8bM4PLsYVMhRwk6kI+(7}<gV$oHL9kB==Dpo*K?r56Ie>}llk<GzEI+zvI76~R) z{=w;TRk{g;U9Sn%O$jFiS7kyqtMUSNC{z-5Rlcv)%cts4l_R7odxNSnF{mng`C^>f z*f0I8de;=%Wvafg%Ta>F)`Frx799Pt!l3AnHRjGy;k$6qXj0q?H>^4l9*h#Qes?V$ zZL#BPhQw*4QT}wiqXc|Sl#X{T!Cg(q`<CFTri1!T&?kI%tt-(}t(2W`&_gAU>U$+P zq>*3US~_T-5ZXP2&uVntS~}PR&}O!~pVehwtg_;~yOxgIEun5L9eeE%I<8j(GnFX8 z&eUXu@}5o{6mPOdc~2r9!>;N^2ZvZS+#YM@6V$8ku0^k@tpvY&up@R&VJ#fgA%tF` zvziXt4}jpQrX!2%qn4kC)nF}G1Z@)gn2%poVmhwI4i#2nrSiYoSxv|7%6}5U$C)aB zI`|9{g1efImo33lO~+a6D2%AU5)Fk);y8AwFt4tr!&1OpzA|W(rf`(_paq8+F-<uq z5!Yi!QfD<C)Q*GTRyy%}Ey1HShfhO5%Ra_h9-{_$a?@B(dJsOxmG4C2L*<-Ce2d+{ zYpvCE_;3J2@Kn<=8#|`yaxC2UVMpGaB<X6*5uXlg#!TZZxf(m#N)%vMZM7#1atWF) zHHDlmbt?XpDH!i**trG)vz2qo7JxHG4UautlweO79N|IJ1s~}~Y*}Gy8V@{9k#g)< zf%X8k$~ld&=E};|YOX}8xw3M3H67MmnZ~(Npyo;*QGwmi3Y^t+?6w4VH4oZo?5h9h zu#VtqNpL1(M}H79(RLSd7V+V_q20E+nvNcrBoJ0s)A52OEU%`+nng3C8)wVrszQ5; zpd)q{HcgWJrb)7Tb<iYPy;4n*gKCnjUS3UyHA(V0K?bXV-+X$FJvUUgO;ZASaZ1oQ zC?@*yYC5bbk<TfyRZWRJ;#usfbLcp32{<qsX9B9uDZ$zB{h6@4kh4HnV?KjJ&&2~P z5C>Tng5AL*ySs5@?K)!`a6TWhrYdp0Du45dH9s_2gC=FrWWt<KThNsGiK8p$jos=* zU`>4tk6nuDR8Ic;RO_b_Y^CzQWIHiw?8@wcqZT_=f$j08n+w5mb+|Vk&GcsBEQ)UX z5To19j`NUHkbWdD5zv8zwYF`pTEpdx?>C@+XIIm~8Hh;4B<%3Nu$m6OEC7GPTFwxk z4q8h<q+@I7NX97%!c%Mb$opkmpo7n_k=&jnaQ;v&pHj6PPrQsB*&Sa)2jA+$f!(wi zqhhT6Z0zuV6(~j(aH1pXtZMyjH66Mtd^{mFJg90&Ep5basubU}Q(N<{+oTGgKuD!t zSCxuHsi@)E)pT5I34dEn2VWLJ$c5E(bW;_ZK=9=Z`2TG+9R-#@@uuZ}Yz-ZjX8r_X zDC&s#TUCYm1^_OGnkuaREwRSUD}0}-a2{c;K%3uO)3gGiT3msaSam$Rnhw52gJJnK zfcrFX;`SBtwW_tXkPf~$0)K+F#I*~-{~7QlrYYxij{aMgZ&OwCte&+_y_}9*8vwpF zgPaJj%5)lwlI|#4V`qRqC|YA@u@dR5EG82lsw}1vQs1IAbZk}m%^>(L3TFxMPg%o1 zIOA(nZZo(lGZU;&#9PWali*B88oOq&2Vy5@Wq3`X!!`%W0}6dg{KN7tX#4Nv#Sx<l zyFGGnHx3-!D>-hgC)~?P9t4=s1P@?%JIRr%!V`&N?D$M|RiVqSz9rc$*aOF2?6|Nz zy_TO|r?6UGPfg}IavF9k3??%utip~Wi7M>sL+W(wwgg>WRTZHf=m&ybgt6SCPL7;x z5Q^8(VVwde6Ma;LClNAQr`K{pjK#$c;``U|s^@F$D4CFR+le(iIlPV?ReV!bpTcf+ zwwTPb*lO%n7^+X93_He>;6)Eiu{f&fs8s?!3Qvc1j>AXc?LI>tPOr6>UnJ-1Yn|3+ zHl5ZQXN7&`iYqF1FJCiM8PH)}K}_Zq1P@^@)jaL@QLFtFg6&q8SAwqJ-we8bpU!&l z^rP|&IuE_sc+JjS?Mp0F!afZm!JBLCt18B^FRJK9Mb$POzf=%FPZLYAyI@LXxt35( zH!si8p>7vFDDd>?rpIQdD?xP_Pk&85l!~w;KjJRzjp-@yGO#H&rQO&Oo7jupE}d?+ zBowt#W!Y!frqp(OntV_}wRJ;Z^HL2(A1mZEr!X2j&c+1C0^ReNx(0J&1gwadG!!@_ zs1eV-9C<`MR%rJlGO&w9SKH;$F#|j13L#UVys&8sObi;v@<R5KTo9KR(!s_B4-3bF z|1nVw!n*36K*;sUF?D?+mmbFo+1Hz}BR;__aX_+9_glUM3xTiQk*ZyGW0ZjG)s~B2 zHVNk<;(F!GBW|~R30|`y)l-E{7jIK}0Lff^s*wFB6?{syc!?@MkC4Vc)!2BPVOTGA zGrHLBZIype6`QQ&up0(oM>^C+ZM;Q*RS6rG7}@wAB6tgy$6}au?L312Z?QJ9V9qjp zradDKnn_sFf5K<lU)As>bm;frgpY}588@jfkw+{rB9|LWvuJZ7YFd0sT70L(UbxBY z7|ow}{-p8;-$_?!>m&Lo_gt?+l=3p>SyJLZM_6V>=%^xeQR$8F+sAYs6E`-a``Ebj z{J3;u`N58?)VI*g|3=H5nUdCHWxsSYDg8d<rsb^jx3B?$fLl5*jvG5UF+DDQCF~ib zB_UxhJ|+&^0b>XC8ru){Aac8<8#gVWWd|m?(?K#%pK;k+GuMymzj%e2*z?Z$(>pDU zGuo8E214&d8bTPKYxMCNxv=&?`wu<iXhz^wB(ls$$S*7yJh^s(@tEU;@j5bn!!a!- zspA+}UAP=}AG+bg>#Mw-I;lQsubMnmxmPu{8xiPrHGk5A0yF9E$e*74No;hf-ZDH^ z-!D;#Ra{tvU>wUGuG}hW9DUWOCDE0@ALW-!S9YVQ##H?@4RH^Krt=5As9<HRVyNkW zo}s_WTKTFU<7QPa7?ogPQ<3P_pj=c{o2sYsQ-vwNW>sk>ULq%}<x5q`DmKiSur!sM zs-SXN{b%*Ms#(*IjKv0~QoW;Uqg-Pf{l?Ly24*H*#-G+$Z7tVT4>jecT#02ycnR%< zzz|07)YJsamDVQ?pNUV3n~_RWFOm2*eL*g2+as<^LIQolmm}s1Z|{Wo^f+432=l>z zl{XH(-7h(_M|zJ`E1~+*Jq*AUc+(JvUvXCkK)m;Mg~=ECz<Nl8H;$G?lH!u+*TM^T zzb^Pj{VH#t_*6_%8jxAWB9h>ht)ikVUmo^U6oZuU3M#cPez36$ADM?~8rX_i>Pze$ z$KwhnN8-LP!o0@caSVK``8vxN;*Y{I$16DAo`g4fkK?GZ4~9NI)ZIrr4~idsWvA5m z)GK=>pdz=NNE~XE!Gg!1_1u}AQ{u<Q4IYrXXt#08?aa3fMnMpezih^a_|y&EdnF~K zwhKlN>a}PCnsQ4n+bVI4m|gk3rgyrsSN|a$vwKY+mC~taV#l$|7IqpGm)75iK;_4K zjqYA!>NNH1Gd{;L9g&6ZMxar%I?H&+IJ)>!E7A7VUW8p@CEBhM<*r<of9FqGg&6%a z!)I9eaTUs6KdMJkzw8w`Nj-kXb*O{U`XDC^#~y}QQmtPM@)(~n#Fvy{l&oGb)A)_{ z1tZkML<^8@9a?``Z5^nU#)o4&b)GsRt^XY<y$aJh_gFc9VW-K7DLssc<;D#@qrJ~q z=rbN(vy0;gi$XJT2Jp!l0MntP534t4OO&sRF*8@4BfJS}?Nc^czSX7~uu#(mHg~Y5 zUetX$ZKBM(aRt6ge<=$nH}%PYg^8bNr6lMy_HSBFS+IENvgM<eTr+>AWv%1-8<#Bf z(&Wgp#S53rzs9SqYBYMzTQq;(by~sjWy@joV(B~@y;wRgT@w=@!v^FJ8#8g#MC*6f z#QDot6o}98iFva|FP@t}@x~QP=grR_F>IJhdWHHO+GNCoC65?8**nae>Fevu@TRAx zrKhE*_VsqdH_hkISvJ4BcP3hxGXI9T^RKyP{x!WaXZ7thcj=rZ^A>rR&cAu_vc)$p z@uv09%t-BhmDQj|`yi@Oi*8)H-1|MR#{Qa=GjY-^^NLZUCr`-vf5D>3_e}BF6Ua7c zLPcz`ZZh}!8|Pg&5hh*Iv_@xolQV<HQ2JzIuKv%qcajuz(aUSzp^@GV?mI&7bp0%( z*tyZTOTXK3MI`SO{yVl#E^X(ev9pyel>fn&PCB5^aM_H1pw^Ztv|;_Xz;{XE!FcFB zwKb2&Fm7G3<L1SW#!E(==H&+ePqF{a@Q;q2H=NqvFs|WtC4$06!;SxDjaxn{cmkDg z3m@EZQU8uuuYBym`MK~+{RI5%%?{&#QQOV1Un8E0-QMi5YvB=GZc<;o4}td%ST4(B zJ4oK<v(vz-#UyH)mk!zNuv;Mq=eHaUi-I5X!!4i2{CNMxP6MZwiToJP-t4eV@CeRt zLr{JmgxU4G4{kdR^B9i&Xdv0%?66(%2+nUS@?-m0zewzMeuv;@npEuE%6i}?mOYsu zGmQJwLOb5vUYE9C@&e*v*b4x){TA^C+5%|T@2wy=gYmCSSq7$?n?0}IfIGMyRYCp2 zw-fAkaL7?^<2Dlceb6X1mCN#jnx~yjw`@DKgMT!3=Ew12nZfzRVV(|xpS{`buw|RU z{{IR4B^~XI742TJJPmckG(|9Qrzm(c)QC6v7;0t)%bZzFo1tb#upFg%A+kTL&3+%m zk_@f69CY9zih&+Y1lcECGibj=*&Vs$`S6D=mNYKn$cO8nj)}~g>&TNOl6-}TY)|60 z>E$FMC5wNCaDea%p(&gwoF<$v<hsFpZxQk~BjruPQelPgUg2ILUoc|)UkYCoz9RgM z@OQ#L2>&enRCqzi&SZYAh4Ca7&IDl>5|cMs!j-)O$o%vL(<wUzkaI<zB=M(<JWu3B zBHt|BAlxFXB9YI%A|DW$FVwM|qavRWDmw#+{|5<gZnuQ5w=q8h2Petzq%faok(GS` z$el&*BH^hb_ZE4G$YX@+tv}?a>;-^)8ISqjA*>YcCXv6g1AuVbUJ1Vv!T8D!0K$2$ zqOA1)A^%?FcP0JDA~%TqmB`<U%=hY;o*T!FM>MJCGst6&a;#9@H-y|v<TPPFVU|$M zW5ml9dAyKMmzdwx!nwlhg<Pl^uI4v*o5(*GZWi+HF5^`R9}w;n)(9UHz9f7_SSNf_ z_($RU!q0?%5q={K2zAa6l<yMqB}mF~!bD+LVX81wsOCT74-uK~LNdLY{~%u}r94M? zt#FBuFH16fy>OGTM7Tq!?DrtvA(0;ys^bCv&x-t#@D(BL+%tdM9>*Dx|17K*elBco zm&3{Vh4kvU0b7aOMyTGdg}*v(z+U2?CLACfEX)y(5~}xakxqS83A{@D=Ll_k95;yE z{JZt*#s6o*65$Wo<#<TK4+tL>J|X-uyBu#xymy2j2tN`2RrsY)9hYdAo5v^EO4vpi zFH8{j6s8FK2nPtS5atNS3MUAs3$GF`5MC?1Nw`9|R>((~tl!;2+a3r1F44bAc)#!= z;UVFp!e@lf2~P-L7yedg+vE77$nOh36WaETz83jgp@D^s^$8V5327Xh{<fW?P9k>^ z^3?!_H@DM~CH~pM5yC5llZ4ZRbA<DS*9!}Tw+L?&ZV(m=e<3UtRtR?q9}*rAJ}P`d z_?+-XAs>sgU3}O~{!aL|@I4{lBVhPngy)6d3e}^0goj{fyfC49<PJ9<@zbAgY?D2O z=|Vo%rvD(}6~bKMSm9LROyOMN0%7y-+^-UU+n&eIM7~?d_aInqxv*Mzzi_|sknl<2 zGeW+~$n+<K&Fy;pQT*Q*ekS~j@N40>LIW3)%r8_JDU23&5OxxF6Y?D%rt2;2FU%GW z7mg9y_C02ZY}@x(D6(zeW4XvHg@r=fzQ<i6+x9)Si(Dz(Bit*r?Rz{f@-bnp(6;Y! zQe@k{2j2l^`~D=f?R}gT`J9j{0E`zR^a!Jb?SvhKNy2WzG+}RHmM~j5LU^T+ItDE7 zYT<n0Vj;f}!SI`fYlQ2B#llU(Z9?0=$bBL|D11bCM0iyAtngRD*Mzox5x(@udQb_1 zY!KoTi%R}RXxkSt@Lz`U!iAB-w!+JV3BoQyYE>}Z0O3%fDYWgAj2C%^@M_^g;dR2L z!kdMK!u7(tg!c$-dnJ`3?-A}59u__>d{+2^@D<@nVRJhrpNjuk;g`a1g#3ff_B(|U z!j{5VVVp2g*j4BgrV9rOhX_q!u5hAos_<&zTp{&XSf3TbHA35-NwLVAgxiEWg!c*g zz5?@kM0iAaOjs-YmGCv;o5It=KM6k&o)w-Gej^MBow#Yma^1p~!q!46<}kdAkS}dh z=9{`?f8ijZDa;j46iyY+7G5K~PI#lRxm}d?;{P+@J;E)*9m1W$J;J@hM}$X&M}^M{ zscOV_oD!ZEzAOAd_=)gy;TOVy@H-)um6%_Qu!FFZu#2#VFhkf^I9NDTI7&E1I7K)^ zNOdTdcY}~GoKapWEEKL6-X*+8xJ|f2NQEk<KPY@m_>}ND;fuo8gufBKC45KtXW>V} z3qrq;e}Gw@Q|J*!31fwE!X#lgVTv$AI8Zo5XbN+MlZ4ZRR1RbLON6%x3x#(I?-Fho zRtoPEJ}7)dctm(iSS$RM@HOF^!qdV(3qKN`6`m7*BMb<gxEI0tyM?WUZG>?`udutY zmvDe^urNnBN=V%a=08I?M>t=|_of)WRCt@PP*^PF3t)`5O}InIx54PYM|eQ^h>)-7 zGyDbNE5eh)w}kHq|1A7S_!r@Mp<l@76wEgSH^s>?VYINVu%ocEu!qnm>?<5793~tg z94nk4oGF|oTqwLwNOeTkr%-sO@GfDQutNBd@PP1X;V*@+34bGeOZblP6Jdk!qVVrR zClnxAAGa_@7%RM7*j?CHI8aD^N~WJIoGV-)yg_)AaFuYa@NVHRgk{1C;r+sggolKW z3aP-!@?R3ZF02#2Ej%OqQ243vyzndGKZH7N*fC#fgpzHA9fh5R-Gs@)-opOEp+ZwQ zMmSzLO_(p7CtN68B3vrGO;{+TiYn{3Rahyk7CtE4FFY)KT==Z;1>q~glft)z?+8B- zej@x__=PYa{7%T{d#qo$u$8clFkYA-q>e4)_Z8xA$-lId_7Ay_7(-(0?E9-J>HYfk z^)_ukX6Gy;vu|1-75&HUoGrf+g<)yQRA{nuwzVc|bIa1G%8onYt6J{5Dn4{uX!X3< zui77grLwE;fYpJLu{qgaY+K)QS7gZ;^Tv4CG$@HG3)vE}{jhn=e5JwApKtKEl$|qQ z7_6LKc}J+Sj23@K80<V0(8^QoBkf;rKT_+^!I73&|H0C5X!jf4_06qdD=n%7n*E{L z7rpK{3d<x>wfr)CUsG4sf#z<QXx<e6H0&{D`1P=G^Mtbe5);ra)E^#o_-Vhc!N0v@ zKp1R@;2ZYCqwCt6?(@3#lwYsG7w9)4G%KgLL%=<}O~4p_cf3A8L(H?MW}EM~_WJdn zjy{edhHnGx=|Btli`4a6%8RF*C6N^oRV{bu4Z}5~yHS$;#j*{pc0TNm-lm<a+i;Kj zf_8hnvofUIQ}$QQan6W1e%>7dOJz0g)VJGDYX7x{`q#L&wBGJ6iQF8qwMChy!d3pv zMZMsei`wpIE;@^9E^4VY7xj`?>qD=Y93OGs>C(%7Rqr1DVtv?9*tH0SMGH^oK=i9g zf#?%YT+~yMChCcc&e_k`8;Ii?HpfIrTw)-)y=zC>MQ3u_Mcwm4y_W5&a1TfdMD0%u zL>22*k6m=7K6cTa{c^owzF6<PBI3Nq=n{x}y>lR{1UK)`-Cy+F5m$BJi|)~nqHf3Q zLx*<>#O&`Jh$%jDQJ;O}q84@JqO;)nde;>%*N2XR|LffYF|KsKb9B02_c+Sj1G+rw zeDvjdXEt)&-yLNhx#&U~clNL9VI|;vjPX25Wr>bz#3*nKT3qMY_UJ{e0OcW$Gp9?S z&4Zl-ZSF#OHBw&5^YsxUUaJoq*)`DS^=^SSTKYwlcTvwjULTTk-+8wa{tMy1zrBgl zW~W_rKle(#Yt+m25hJ@>DMB2(9)n5a^o#Cf^vO`y`R@jH4@BSEEfBr;F#J)!)Whh@ z7wfeVwdl*Pf#}!Klan*ikD1bsd(OM{u7Riu_G5&37_G{<=pOenT4lEg?a6!Qyd%`H zz3fzYrCtroRW**Dp$!qbcJ9LMde2U#cJBAL8$B;S6|oboP3?WrRn_~Vd-ZXQ;G-9v zdB3U;8}VX&$gnPfcIfeT#Yus7s71Tbh+U6fbZLG3;mI%6YdM$$7)!kv^?UvDKy(3) zjgm8dZ%yRRv8KDv470YiJAGVzJ#4mp+HYL_*|f)p?t{&ZeSW=YA8Z`$^Sd->nR`p& zh35{1RJN{)-r2srzWIRGsyqrdo3wMw*0-z<+0hbqMLe~~+>VUMYR^_j!!THWIv(bN z4Hj2PXv0t)^SVTb^{|5t$HQ9SSWPZ*Za1nziW7^CiV?+`e*OA&#heqcMOB;bcO};r z<2d`OUBETjbN;)GRsrYusDR!o&NN`J1z!`ZbPsT6XvukXAHj-{XZI1mRx-fv-gLyT zBelMI7xT(L;&<&vUY_;=cdNKSXsfn?kXG&t_W(!MWdW^KdR-S2>1rNDTam5=={B7y zKH_&G2j^<Xwhn>tR+6$Ea>;k>NcX!vaU0Y9;Z;Zco}zTW=UBQw@?fh#$ckAT-`<F| zWKz38Saxh6!WoqjH9#NSDiD6f$y0hoc%{2sZ!kRBN8-(h%55{TmW*=r)6F&kXDjWT zLwo=5$ior4vi$nEJ~$%ufsO&lt5vJIIP;iaFF@ZcMhed{zcUa0(dvGzJswy_X@fX_ zfpwIq5?A?at-Jg6fh~4$4crj7F$cB{w-#O)_efM_%ZiX4)8aN<=4cphgjVf_mB+K| z!glhweZ458gI?;w3VqZavIR1%bGb1uJhfOQXCH%oqo+|KR>lI<EbeK{0<Nc77^5tV zPuqa;bvxKg3&ZH39XDAcqgQg2=9=tfEqPqsnZrgo$0qg1#-<&{=H|w+ne*Qn8;lF) zL1azS*z9N=n^pmLh&47C-SH<+g;eSlMwQ<1V%VOB7md)JZO$2?UF*>PJdV-Icpb-| z!^LrW@9^Nm{V_uDzjbJQ3}#d7x>%FFlWL7m7JG~1gL&I(J;n%U5@*<XXIR6j&<Zt5 z)l=e6v<|DlNJZ#7Ld%|MpNI2bRLL*m!b-HB+PTi_^zB-=!V7&Lf%U9MW5o6k)}1UI zEw%GuKPRp>a!UwCD7$3whkBW_WUx8ae2C{8-;fKh9(3+>Zx3lOUEyVVInF|k^=`*z zSE+XHd{IbsNY&utqkcVgVetdS7{%I+CeCSb{V|sPF_y65SaJ;GD`PrG#<UHNHCLQD z&%~IHcW3NB@6xs8F?GK_j1euuQByk$N11;8P9AGjr}67bjtzKPp%2=&353meXE?H$ za{u{A{Ziw3y75>uN}3;QM$obL?~JFb(oq#sfw2t1m}-t~I!Dx%Sm)TeG`=@R)K%Qt zY>Uy<VTJ6)!vhXu>~5sLV<3H7*7Yzq#EoF9!r+^~ptv25F|HcB<F>`?1OIx;xeIF; zM$^0dwbos5#D!Nn%dUvq8n<hxzIn(6_d)m8>`%`4lWVwE54`BkA9&HV8tb%|>-9_Z zp*b(s>sYJXV6AS0wYm+~>NZ;6kJQ@k?d^AE9k17M_B0S~6n74^emyDBTI>2zE7O2g zBX`N6kCXlSb&est#+Yq7x@akd7d9XG?wi-1X>W#<7k|>p46D?74!Q8BL;8?VSeoT3 z@7X=Te6hjl=?d$eS?1Iu6V0{pxTaB8LFp#!Dmr)#gex7_8;7qrjP<AG0L%=oLA(lz zs%vl7#9^*XzquGQKdS~;L+Fp;9dHeFXB@ABK0kH*%im-=hAhm%yuK3oxL-T9>*H3c z9<>iUcZT4c7Q=efobhMv*87Gu4D;+Y$C?w&qp%*;t!^f+n7&KPWbU{sV_j&G&#ueB zf2zw9SgBDTu86oEYaei_>#?A^q<6#B*kDud`(DoLr*M1~t^O#qeHUC07N8dWYJ;yG z*A4&vmE(}FrybIKg%{r2|J^qU&xBMscj#Msnvt6~e)wJI-XBNqa+Xc`h{xNgkB7&0 z=zy!wg1D+yCAnH;xg+iVSmxy{)rS{;?L5#9Hb>Jw)}Bk}e{gk;>~wqqI`-fp_W?M( zxWEtIU^A)!GK@L#F||Q$Hlz1Y`sg8}`5;#h<@Yy?J`5c<lL5|<4UEOlLKt~BD4$UE zE`U2^E+uzpPoT+W)JIm7JNTI0;Z|>nxSe~H=l^2wUErgt&cEM1vnP`w36O9T5W@gb zE<#8GBG4enWq^PZl5i2I+z}}PauWlR2vnn@1w}<f1{IMaMNq4@V#Q0X_11v*dP7SU zfusSkO^wy_`>p+3Gec@y`=0l_=bX>yKcB38*Rw9qy6(02p4odnf#VDe1#SV7e1Xxv z&k-DKjekyXEcBNJ`Q!b{;EnKK6XXx=D}pBxvOG8i|FLJ(J_H3W@jZ$R$$Xw7Fx_`P z{qq<;+gAvGDDWlzH~1Yw{4M;xX%JjKqL4Cw0bamq;b#E+c=sdb?_vF^xDa>zElnDy z!3w1GH<F4wV=5XYsEGNY!t;A7(mfSvK0Z{Cuya)td{@Vhui<<AAKSUA;bNv6!n&2i zHT;;dX6LGBDP}TuC}W$U8jbkGRBY#}Mx!VVXC&rDqvc58EAXUH<4u%a^K-|ksc?;> zlwUV8AG>J0l=2^p%rer=7F3n~@1Tr7b2sKaq0f_N;pfxFtiELaeA^heo>t}?;EnkV znc_QR=A|!K=J*a6^-GM1-LMWCF^C@_8t*`nzBi1_FP9r{VQx=810|(%7ppf-Wm@1n zx^!-1<%yk*h<&U)G2e*T$jTFEQk)*kyNx2YvU<ym3BScl$9`6xGy)QCWl^jrH-ymm z=D6Gn4QxCxF7w{IaV4w$wx5%29`2nRzaN*sqx@N1UWW%F8{bR$9Y5!K|E4H?s^fdt z&q-QDX_}R~;l>)-F^ufV;qdtW=uQI~pjR84owGc-4dq`_sV5I+uV?heKmNq;<$T8E zWVd-aJ$YU%rzbyWa<U;bzTxG3*5qUldpSLsDZMg1xdoqsZIXfM!^hcXL-75{rR9yL zTpF%KY}4-Wu<A|uV9`$z(rg`)H6IFTBXfJ%IYXah_Rm}z-Z0r8ve}=ZhuIG@`ynJV z+5c>^XM_-ujcMi8yUNtN7ExZkJvsFZTW?Q}Kz1_t=+f+1&cB$P3z*XMvL~;O<@DrV zFsErTA4oErzJ2XJ2qmV<?;zH+_!vE`^0)9b+YgVaaz;z!_Od^Tup@B3f4HC7>{*C5 z*?ZH&>?7c5))IqkvR`VlXAFZJ#xd!&I2hpUxDruby*+siWivZG`F>_K^?n^{7jW7% z4orj+Q}5>xYYL_sQ}A2xG~0+|EjUuj%4e(gGi#H;9X9I`o3+knZG=Zhn;nT~%}B=@ z@+#7&n%Ugi)Yq%4=WmA$UR^zT1Y{>;JRg9;kGx*4HVL^r|B_fPPmVH|X(3N9G?(M+ z9OwfDriEW2*0eB$^UN9S+Gg(}Nn-18YlPDWvULqCu-VQ;YLhKHmaP+#C|gD${5z4> z8;PHpI$nsBUh6!$gt8e4Pre<plflPl4#jfbV{$%&m{c?OJlV_T`45_0e5i&$WP9aB zO|B+q+VVV^DZQMYd^X~pmOMsRpuy$rmz{whLy75^BE*^o&ZLL^vJ9S9HmR9*H&KJ9 zg4x$l#X|wy+<7XtSQUG%iWjKDCN(PFiK}3C8bR{y3jE2cIBHe=!>UNf^O~(}Qlp{+ zLR1T8UrQCg2%LkTT}?xJA=)%#I6Z90B&x7Ujf(k3MaEY2;uPfbdhr?4i>najjhZLl zPT7o_CqKumrWZG%;4Lit<v`RHegv_m@H%=}cq2S--pVG`bZN;85N5rP*<T5K#Ox~( z)((lAE`+BiJ+t5$%Ir32iyIN5(wTKTv%eZR3nxp{^a;$xb@mE+Sb90L+oZO1P61}! z$?UHMCfV$_+3eeG_Fpl(O={=*ljyZOczzy^y7*?AUV9Y@y<YR=k13m8^W<<Q(q_Di zoUg`mE;2a_5aZ2tPxf+o{vVrMHOLjj`QIyViOF>nV!ZM^nJK-Tp1g%Q%_wz3-*$!b zT^kqzC8nRAMXc$kH|b$NeGX46n>4YXm^FvlR|VGC>|fjL4cjVv7@k%(X<~L}?aAz` z1N>drl-`56I7$(l{Ss!kNfWa(YhPwx6X2uwCi`5Qz0796mDz1lJ4zv(OL!sV_1Z<I z*B(GZuh%^JNy=uFJoy#Kj+vapQ0Pb&JTAcBZO!C7fmky+17UbLInRKnl}&0VC+9Y^ z7Bc(zz^`rgF3iQb-Opw(WOkd>X6NK&))HpFB=C3J?CH$KURz2J$Lt1Xw@KCP*38bV zlbC%%!1UN=oAqIv^*Ls>$&?l8Gkj+^YMSacI<wK3V1}>AXG~Q6Z{J_kZPYjQM@igW zG@R<gC0`0Q77a0on}eqIbi8;9Y1zL1X-2HS8EM1QHzRG7AoBQ%E8#9#=@3-_#f((n zm>>&oa+WW*X%U3+sh1=%plOnm+muO~F$u0UdP;6V55}AEm?6x^ol$MRR;lQ^Cg}Or zi7}4%!O`n{-%h8z_rXr5HA?2;VgP%55Myyt^tLLcZyp>X2tGjKMbLK$4iN-3{`2Oh z__-AcMiV@BVn-B$I<YxrA;}HduxAxP6%0m-*e3!Xwniaror<FIIu#|>sVEw+Q&D1_ zPT%DelbRJFRFRQ!g=4KkRAgja-B_!R!N_Pn1Mz<(>W!UKww2j@MzE=kpwteN--m?( zDu$8FioI~Ke^g$ZD6>z%VZ%sr23#zX!YW0QY3T1*Bn4KKIa%&!@{`BmxS=MX&K+iJ zgBj+mfkQUKJK)DS5S!po^Q~okJApU37-FIlrz{gr5BHFBovZy(dUz2BArPg9&sIYS zM(L@6!{(qw9ws9zNpkNjqlz9rOpBt4-d=ZZEu)7<(-3Y4hc#t4Ej7V~L@h2|j2e1E z=ou76u)|FF0(e%K@Ir!*rI~Pg*l|!#@bNDbPR}M2KAtd-Q=wS0(ga^Zu)9riJ3&~r z`h9=YOHZ)>O?rBGHf4H}gMz7u{x%<W{F89port|;o&txToC1d}kBAw@JNilV*GV|0 zCizS-+E09IyyJ)z3^b0w8_`=Y!Z9)VG8_^U2aR_$@saV4;RN*NTTYZcWuDeUM)uAy zI4bdK3=8p?7`$&}7|FX6?DIx^qu{X^d|pK%n1jPpHN1%mwkRdZZ=JB89g(QOT&+3d zsUXKF7@w$s_i?NN$vX&4dLmJQxn6T7Q2|faNRg;upA?X%jDkyuL<Qzr*C`~-#hWvZ zx_TR3u{CQAl<N4|R>p&d{e!XJRz}ZtMp*8T(z6o|LqM<?B-&WUuDZi?RT05USM0d8 zu`IY8dxBA7$tgCZL*g+uk;fQkicv(*csMK*$lbvorNGIffIyVO!gxS1N`dbKnEdTA zb@*^Sy6G0cJ46e)Y;oFQ&f3{$QrqblE6q;ASZSP!d?;N3!6=2ka3=qA@O%V^!?7=> zpm*SpD}|Ty7D=|1*-48HRsB&rZ6O4roVbTfvK7H7JtyH%ZzAL^5ZlT&z_SYuOBqM4 zjTyBfq7z&L(@X0IW1BUK9MjZTP=`R2mw^M|TxX#_N)L~a5Z*Gwu%K`4f^FKu17{5! z`iQv2c*hZ2;at437o~^Cl~I?l{%k9=M;PYCEjZA;rD!adAFVmt%IrF03bTv6=_0$L z7{N~N3V1fcVW%(Rb>p3of(ggjiQ}+6(ARhi3C-8dX1?Bv0BGA$Mi0NT!0_!T3-)Xi zrJz~6qby<OZ7xfgdETilVdfzKc@t(H0(!McoOzqeIO_`GQ0~4mJK<2Sr_9bYjQq-| zon;VKL^;EF%?P11N>7%VP?LyW##=~e&TTH^obz@qEhdh_VQ)Zxl%5(-z`$b;aW=-v zkDq`h@C3vuik>Al81Dq)5#t?A@Bw?wYJxKujoJ{K%IN&~L@r%vCNd3rx=!heC_S3J z8_ewGqvj_2H}G%{<Fbh7xp~Grf#BH=nO8>Rr@aY0?X5IPMiZQ!MvI-Mv6gYNdXo}e z%@zMmFX`s8gc-WIjO+gtI4D6M!sDG6$Jz6uE(bX=O%i&%Q{gy!D&%<}cJeF2gft&J z)LL8E^H8v{%nkv%`Ty2&X3ME}Kz#pEpv$_==CaPa;4tIO<p>oaI1H%Zp0fCOlyF!$ zCZ^>-aBwstd&=lxSDVbX&(WRsn#6?vz#cyiJ*emRbTiL9+u?9cV0(mLZ0t5cB{qoS zyIv5#g!0aJ#atV?6ee7<Jc2|Yn1sd4kdUV+?A2(`RJdTtisdO81}}#&VKYarE6<ti zMO;yM%0-K*$vfMQBX}lkXm*$E+h&75<-6}%M;YW*swiH-7}jktoR_$lP0S##+}M>C zC);*7%>G?w(@F~7nXS+s8K{Wu4xZ7ym2)gR>lUxZgm;0kegI#HoB@ZfA?6zIID(5K zhVIESUeWD=!^Pi#_9!j~4rLHp@Aj0j3j5$<^`cM>hl8DHgM|sp2{F>FQ8+VlA$tkV z%Y%J2DR33T1c)zY3w%+|+FRj}5Z46QsguGsI8>Tw<IU(DX1Un}!Al5@6t=_Rv_$NI z!@0{BrH8M=VBz#d>G2jRtfD*;(&3<*XlcCT2o93z4?9{&Vn@h?bEsyR^k#iqXuM;& zj&Wp=`aXb*6WYgPqnDZM6NtgaTSRb;O1R2ggAwE?V%qcE*TjtASf2ZM!sDeQ$0>y2 zK>-9u%j^})OCK#pyAl>7bED|(BtDjKP$5)*V;MbcJxa1ILHMpRwu6oEJGoKY7?UAB z-%8dXo~<((>=MAr#cx1H+l7|F_F4dSj<2($?K*3;+lzvP<DEC63rt@W6AR%m(xKp$ z+K85mUwofep7D4c5)YxY%XDusu^TRypTdi9@zj)9=VWK8x4EosKs*VX!4)eWLa7E0 z4I{pVixokEH%{@?Xn^^ggQ4sK7j)K_aDLMtfx|LHcq6@jJV*Mka3&dMH~pDr<ckQ7 zyp2jjS)5}yW=m|np)KC;vC|hl-UJ&<_)W3n2+lWL_W6uG4exX_E`Awdt{wO~AC4`~ z`Z;iz*aT;c$!Mnz!rAw%2vT#z*-!8i-VJ{I#*9N-4u>uzyt)+;9A7rbjx7DVpyAg> zSux=iQDmFU6t>Ou$BQniLF!sBBk_&#783f#A$CrQplJ3ImNH_V@fH$mjdu*e7bh`q zA1kA$z;sI?;ng|Ed{3ey<S}z$!VWPR=-KI&PUw31vBc};$I9XrAHzO7<)tTBTcZb+ zFdvpU)>I&NqT~d+4i1}v8}jVs$FwGS>x%uqadk}JPQ{Qsmm&sBE`9spFuQnD7NDQ^ zxsc6OHT{PZ;_2rJM(IPBVxscg2zg&wuy-5!c_#GZM$VoQy;~XHmjt*9Wx?6x^mEbm z?jL!#(*aZ6`><(VbC&yUbG&MG@Fz6L&juwl!GC%a^4i$jc2zledX;;bD)XF<D*NrZ z9sb}yS3h`q^?SAXp6cU)JICCZQQZLex#A|<`!d@$w&yuGOuWRP`%F+V!A`~v9|mEA ze?ZoNb7J3aFe{sgb1uPjxVS*N4*q&6IWPGA2hKSJAA)IsX`)mVx$Gb_M!qMmOiVFs zBCA|4*w&IdSpyvRgml`HPuH3E{W@d8i8?u;_=<(t4%YxvSQVo9n28-tu*%+zaJ>qj z7HCzojwxs;&rFG7RGM)5VfP#~K@)5$1~saelVSBnjX^wy5-uRw2F^J&$0}nAUAx+# z|J+h;%=5jC;`DgL6i`gGKss-<{%Hm<`U5YeEtgeE$jn_!{zYb{<l&CRoJdF+P}f_X z)W@f>5__3p_4<lI^?D)U%Q35rL0#%8V^}?9464^O9uBMqjtr~pbp+`OJ~4=Uv7psv zg{#*V=7>lC(_CfrLAZqLI0n6If=X-|Oi^zNFsNQl7*wwbcDYrb7kKqFD|5YiG9#a7 zz`2yTIG(cpg2BN{NXZB3G~(Zv(vN6NW+EYFNToAqsh6TF4#Wj;NX#`O3<ob)zhR!& zb_UDV7odqj^*Vzo>J1))>ZMA!4YRtqob#pzZ8B#d)s#U@jfINfomssUi3(=NqIrvE z7D5Jj_j9q?WECYG><r@VD29RHIimp<e(A8MkaV-gns4S5V+&8Xm9;@s>D{!Bu{W*p z{Phn#<}Zg!n2!wNc?>PLDj9T(2^wpIm`UG&CkFA!iiCxWsp{!skk>D<ix6hgm*G|y zgZPL=!gtyXs;7%Vo~{y`k(u<pcw*44Mpx|am#OOMVvwgRc1z6^UQnzjY>(oK*{Wx% zAKc$e%(`HZ?a@QgD<xJvs;k$z@q%M#{CH5TCz+{UPcq0;AIlgIiZ#_f(~;2M462tg z9u&)HpXNxIE%BgOiSeLVx7ll-gr4L&&<w8LfyN-FMoOEhUJ5%DRKOc@FFJN8+I#eb zI$A{uha!VInPOt!3T2q%&Rka7L(&$-lw7QE;MyRoGa*;~!;QJ>{a6%N6ziIJQ0#DH zrW{jT?4p1{UJYUy<3X_*!Aw0(#@LTT462tg9uzx}n5nnP7@L+1s+Tby6zhgzNHxJ^ zjOk(~1}F43g9gAQtacQ=jInvcOe5hEmZ!M-?<q0XU(8f!GRFFgL7w_p#&}SyznH1S zWQ_F}gX(3B2gNcn(|D6H)?W;&moXj`>zp>2KR6}g6T5XWw{9i~|7PGcHVbYcTq)d* zaJRyJ1IKGqUNo1&9fbP`&VHC@9vt(O!`TnRsOvg7>ari|<mD)J^2(JuSHgV?$7hc1 z=ZB`FE?%Wl7q3OBYZV-I9fh->ADYfy@!A_u>TC_iC(GNzQTL5-x4_*B$1+aB{T1$O zIQ!wXyVdas{E0ev1(B%Z4#<f*zD>}>yu6BJo-S}qy9thI{8&5f=ZB`FE?$CCS2kQP zxZZHoxdo0og9&>7+w|1^GF)Hi8YrDRLF#Ohpx0iaGED?-H{2s|Es&=5|3bQhD3jNv z)Kwr|kAu{enV|27rlT%iy&i(&wJCL83|9m<7Vb$nzR0m3?gh9o%E*H21lKj8yhI&* zVA|@KfIOGNv5xh1y@W8<xxUVv1ikipl{%)uF%LIvW}2S=3+e1-EOpJ6F1{l`UA+_Z z{m^umBF_}K8E|vq7Q^wH(S8!+c!6#|^xL$&AhaJ|81fp17puG^v7ZoDzcjdXIG%yS za6CoYkBw`iI2+Ei472BB8=j9ao+1Xr@g$J=;a6Su^CW&J@H+vF=npi^1iKR6-|%_U z=PsEs)0y6P(t?HaFIzbEitwz<=gwRd?zF6Tug;S$o3|vqc>eski^8x75VlqqCc!v^ zG0kvg&q>oRpEn7r=3YLn`(@LocSo{r^XAW+*=^?3X_t35MjTX<SKjIIm#g%DwE=VP zd7fdW>GK!PoIL%Co|6|{e#MOJ**tf|Y&D_#X|3Rjsf%ZOF?bOQ@%SFl*lJprl<n5Q znhcq`&TTZy7;4IQ8x`bq%RQ?@w~@5ek!a4NZP=wDk8HPnwmZ-Bn*^R|9=E}|{?jiy zH+N|Nb31(ErWB0sz|>8{9o#uIC*lug2i?ydsE4tau>B94M;or5<-Q#}>F&Hb-@P+= zmOC>%k`_f~7W5tn2K?^+6$P-3^M*TXWY-*s53R_Cc-$TDKD}%)<ab=k<$;0=V7?`V z8BQ%{$c8|HyQ{3gC|GP16eKG6%q{4SxVwzDpTiu>^T}bz8%$x)meUm`hhQ2AVc$yM zcr?QYo%wjtioX3TW?^E{_l9dMr5LkA#>NjSY9dT!jqkXZ1eKnpI8$*Gw<=(=$BiYO zro$9((xFL)yUN0bZ#q`jaaPfU{hzCf+n~TbXPw)npchO9!GI4Z4lNF)v(M^EIR=}P zjLDs=XcGv5@0ZfbP%lJ0k!0-byal5^8(>OkeS`dSyLWd#fOwxj+#TM(z-rd<V7B|X zf0g^u(pm1q4MJNkXxs0SKHW!jhdCkl&87M7t_B7DFB{QsD3bpH$#*q?eVNki+z}(& zjyMZC`t<8QVpx0l5@X%;{E1^a49%V1;oJ^S^gkCCi+aK?kvl2h%`*SHgx#^(?h)AW zX}Z`=+cj}bw~@oUpWA&zXZM{Y`R>CoaCBfvw)^vx{Qj4CB_A~6Kb72lM!QUuoDl1# zuexYVe;gku`3*N?7L6cH$acGh>4Dy*;oK~@IPB&aZ%4O~W9)Z3=NpU*yYD;sSsmP+ zrLbjI<E+YYkKlmW795|Mli9w1ySDw>wd>ox`}FQ3hPWR<;m%;#eH*5HwgvP1kLcI0 z59;!c5yR&w{Irfzb-g5}F>d<0i`Y?KbyKoCw&~+e$al{PcWmRLGN?A{+Bw_(1h$xr z{U$fPpetvA8U6>%A+sZ}4mP7;N)7hk(3IaU&o08)R|aRbcRyI3kAoFWKewYhE9~aY zazA%Ht3$6k-sJxI5^u79oU$u#+JH!2NmiGvLvE7-CT|*cuPAU=m3cWHT<WF#q`^xU zO-Gf-poh5v^>k-@363l`hpuN&EW=apnVN&GB6HL<_5!c;(AL9r4-LH}?LBGU>2aPU zWog-5<PO80QH!uU$E=MHugrF@4`jQiV5sS#viuxt@(qz;PCQt@Y9Hom3J*ezu{n1_ z*zf<hCXiyLd5Xsj8Z@N1IKe{EX(oK8&Hc$B*bSOIxoAkSlVH#YhG}wp!7z<6Ulg-p zlxWy!^5o)+MqW7BOF;uc2?ma?%smfz|Hr`5@OgRvof#@~(vFSY$RQUGEg3d>NKw(K zB4^gz`BN7=vAE*l7Y`jX^1`BjefoD6Eu21Z<ji^TJQrVh@$icyG&<BH591S?2*yy= zB4gi)0~ssh!lI#LE*f%iiE02_kH`hB7gFmItH$Jx<tZLMVaVi*h8JISVacFj)+CZ= z@M`j8D9puN1M*D0WhO~eX?)x=(!a8ov~cF4C36@5|IA#Hu?6rQJ~;obXq48_$+Fj; zATLF~*Iv>BME>uxmy~;+^FQ{IyiUh;zWH>-e>|?^*gKG(UU2NcP1hA6^y4nUe3-6T z!tI0o5{BR6*gQ-)3~74deQx`)aeQ|o@or=rGOk0~76`|4Hs(tm){9>!**x$%D_i20 zH6g%yy@v>x!uwR>1=F{}R*LJGgP_E6d!m4v_FlOxkL@6@fwQ{dbsp&JIAMD9v2j;J zPSlrGhFdp;u^o7rAf|5}{5B7~&TpZQ4}95=jpL&aiTZj%Um+?)eQ9vEe)qv|^T6wT z)gQO-c5o8nw!ojLZ_V{y`>3xGoYl8md2&F0_TnLpT5}KN$t;WRSE5b#^Wi_tUQ+9V zA7(FUJks^{iZuW2ygCReu^nsC4%^R75og<x0l%HgRDcaSevrMSp4hM<2Ms&}d78jc zAN8}$M13;~|HobuUQt7@#6SOyy(F&l|IS{LIrC>NcCu#tn=?9!=2Z9NxZp8C`!*bR zvbl)E|KHk6;v8f8AtKi!%A>_g#p&V#@hWkp$m5myZWH-f73F)y9pb~{)8g~u@5Mie zZ;9`VpNL1qQz8#WmeWKWCXNwr5{>;9q}xUr>&*^v7m3OJh|=+EPRh?penoPn<UdOO zSn?N=t0jLUreMury;_PLNa*b(xrgN5k_SmH7RM`mx@3NJM}3PVFO$4la)spEB;P0Q z75Q}}^FJ&3Mac&w9}<n-6x7pv4GlKLLC<=(5xa=|>X706Na!6V`69{VB^&!Eh@UO_ z3dQp)L*~CmGJij%e6!?@lJAkcL-OO|3*zrd97_jDl=Gp&Kb2f1`K083NH+FMkS`4j z9P^z)vYnDUO71H8e98St<clbLw8F<qHg-v%ha1vR@0E(Tc1X%7Bfqgff^-`df3LV* z@efP>HHrE98-*MDBFOiS;tz>`R(!SOuOxp<q8`SM2=d|GTqCz4A!kbFhB%bFlc-;B zg%48rFv-P|$4j0r`80bW$bYTEZxnA6H<F0ISMn|rZjZwEEByE3LGc~MACmmJ<Qfv~ zt5Y}^M9Qfo+Qau=C>whpkk6)!w4F)R!`Syg_&}u}Ar>lr3W@YHBpW*(NPmseT_@h8 zcw@H%dbd(Wxx2(Cl+M`cK=|(@8~Yqc|F+V7Dpo1}q~w1{PR0Y6tWPS5e8&C;>XSto z%vSgXN;gn)k>V$c#=ZvfU#WP$u*3TPRPlT?p0cr{0lk|fKcM)BNaTM^;m;`i1<94- z`wIVrMEau&|4QLsOHRT$jP*BmGEi=F$!$rrw}aS2;rZeau|V+`i{lhNP4X<!*uOwI z#{LCZp>#JZe!a*C{8^s|B>!CemBRU4KjU8!D;58y_@Tn<+qvMoG0c|)M>Z0hl4$1{ zVp!qEo(1|Xhcf!b*s*|rfaDQM$DfoLKT+~b68bI|uU7af@g{Md;x~xf#2w<3B;2#& z%i<qM=zl}Bb|^lVZ0t|K&x?QNZy+`zk*|r^UOY$SOAAcjUo>ymLcU1xqs1SS=$Ba} z+I=O7bW0^)EBQLf>m}bwBHvbpKcw(IlJ|+fQ@F7kf%I=H{1b(LCi#Tqze@HYE$fj= zqMh87j5Ky35Z+1gxneKH_Z17oi^WSx<hx8<ATCk-)#44}&Eh>I@@*F%7VF!2cuDDB z6+ciqW5)sct0jM__`iuRE;!kb#*PE}{|w4VZ|pZf?kKq{3Hf}<7n10YA>tT?Un*WE z&Q<&Zv0PjuZXi+KJ)*J80Qn)sTl);pNPb>?L+L*djr|4WJF56<@mrB~XMG!yNOy)9 z7SC3^wYPv7Z_?wmYX~)lyoaJ5+_oE<$2K5$lbkD>>v4qVOOA*IqPZ?dJRjPjzRBWr zagNCE0T^E{t`Tn%e<t1`n(K9>zfbaZ@fYG=@mcY=;;Uk%_($<0@z3HH;+Nvz#BW7E zubWYRGqI)ER^%2dj6YZ8-Yb-QiG9U^VnpPAkxVyQ<VJ&(XNr8Bh4Mm?I}}nb6W56w z#LePX@fYG=@mJ#0A|IKcK0X#f^6>-G+(&?)OFkxkDO&rF_>$11cX@q|^ya<-Y$Q2T zY%g{Zv&HkoKH@-;k5f?JMdE0Yk1sHsj~|eii9Zp!6(qyW{ReohWV4ed<h7Em{l|@x zH;WI5KNt6ikBLu<<~{}bUXsj5AXx63;=AI<;-AFhVvYDWu}%!|`X72iVq>wXXzp_m zAC}xvwDutR*aY*N`ya5s<U!&Hag;b#oFGmYFB9jBi^Sz(nRuOeqj;Nmrx+EjJ;+BS zoBJfx?>Ca46JHiz7vB=!6Ay`p#p7a)_&2dm<aUtkkD%C4%n)0NXNg%Nx2t2m?qUzI zuXurI?z51tKys02?za$b?z6x-3STI4%Sh_KM!Z(MLA+VqAe#Fyq_1!H@lnM;A)5Oz zq<c>Cf3o{{MCp!;r^H(EJJE$s);CpbEVd9^i{?HJ{%(@b6Z?n*#KGc7kuTIz|9`Uk z$emD`?rL$Bc%Aq&(cI6$zghBDk$X-u|3l(qBH!a>_%q`3VtqT0e^&SxqPf3=|CHo^ zh(6vA!C&95qqXPQLGd|aPqCkPp*T$B%fr-HERGYcJ;!O1tv$#2l2?f3;?3fEaf^7L z_>lOp__+9M@mcY=;_D)JWMKW?7p?uqBa)AcUx_e$VA4Chze2u%*ig(6TZ(PO4q`{K zm&g}>sb_>ZN*pgv66c6~m6GYN5|@js#OuVH#r2}O&qTg0k{=Y!{U*ZA{U-RN!p(gr z<mV;7EWR$jBbxhAq&qD6h<HLY_oImC*1xQ`wbz&-+1!sJoUep4J}h<=&lU4TYrnC- z<U!&{k-ue74|lvIr;2mLdE!;#a?#wcBK=y)cZv6iJH_4N6XH|i3*t-STjG1-r{ZVg zUqox4(cHhHeEuN7dMAsiViU22*jBXm8M{c%7S9*0eMUZ>N4<PRj~pXjB2E!!h(8hM zi%Z2)(b{MHspPeyz3;X58Shbe{rlg&3jd|}8}T{u717=gzbp9zvHpE=wZcz|);{BR zl7qaDM7vYO46(VGDcbwy?vi_m`QiX^xM=U6$4Z_cP8Tl|=ZOo&tHo<Xb3cvx-6+}I zS3|yC@@CQ8UnBfK*=02M*+}<_@*Nb-{WiismTc`a9+g}zekJ~0<UJ|-k8k;t+^U<j z_8HqtK1b{(n)`92>n%B7<Sw1eZ|yS{N-hy6ij&3J;#_f&c$HW#a{Er|y-EC;xKZ3J z-Y-5V?h);M{L_-373<&6zoqc^#6#j?@tF7*(b{YLMzXojM?I5uKg><LS?|^&x8kPU zP2?t@ln01I#SvnWI9B9#=uCgPXz%wIOa4!G8rLh`?IQP6r@kGc{T{&DY2*f=jQ@@J zTk-dz`Cb6=ha`V49urTBe-#_({=cDE-wva-znH7|-eSJUJ>FTLQR08H!+3?#T`4XT zSBR^{>&12A263~vReVsi_81?N{G|9>(b{9Il>DanzWA|tL_9A3UHpd_z>n>0Z%E7# zn~UwmbHr|9uGm++KpY{C632=Y#Ob27zqmm1V(}XBTCqZ0E8ZsFDQ*?Fi4Tbni%*LC z#OKA|iLZ+X#rMPy#lzwe@h{?+;@9H0B5eY&KQlyYud$8f4q`{KyVyhQD_$TD6-S6A z;&^egI9;40&J(W^my4^!>qKkc@ixhKi&1fhxJ!Igd_vqWz981O^Z1U!-xvQRelAvv zC&j;u{}6-tqK^HUBGSeJWoz#-OR}~1Sl`a$P{ofBFA;w%&JbsdOT??i>&2VIjpAm} z+Hc$~d7rpn{GIrU_^$YYXzerpRkA<BYoE2x*if>y&)7=xSz>3go7hwAEe;lkiG^Z` zI8mG|(nbaQccFN-c#XJ5tPt0Ww~L#_t>S~?L*gUi<Kolev*OF*>*8DDd*UJSuy{=T zi%6RqtiOwgWz4)08;Q-uR$@ExY_Xe|EA|%i#X;gwag<mrP827Lv&1>#mEsa{g;*}q z1_<lDLA+axirdAV;$HEW;%~&~#NUgriEoMTiJyv}iGLBl6u%a~6_fFZ4eOUGHWOQl zZN&~^7cpC;O%vuHB+{x0<+0+W;xuuNI8R(At`OIV72>Vp9pZiBcJUGMaq&6vMe(5c zw)m;|nOH5J6n)LS`X`AQVso*Zm@D=X`-#KFi^MVFCE`r+a&d`xwfIx<2Jv?BF7aOR z0r3~&UU9$pf><fODIOM&h&AFVkv3a6eho#Mo1q*QJBsIuG(W@mVd5yUSftSz#xE4F z7OxT4itEJt#Ro;2o?-qM#n;3G;vw;{_%{(I&rCj=s$u@tVmt9{v8UKu>@N-yOT_Ua zjoVP)5|O5DDBmE`xDDmaBCXj_epsYY8_Lg%v~5HAZIRY(D1RZ+qz&b7M4Ggr+*r&M z+l!sWZX!+IFnxhIS{x(N@D1Y^i>t-!#aqN%MH<3k`n}?l;y#h4a2Wr-NQ*d>|02>b z4rM=ns3U0_hiop=77pc3B2D5@&KGGJhw><qws9y=6KTnY@)D5-aVS@aG>Aj_LGd~9 zMUe(@82_F~J2#Y%i!^sb`8$zTZzwkrY1D@D*<x2QN2HM(#*Y%miW5Ydw_*I%V!60R zq`4c$-!JYIcZ<)8zZG8<`J6KIy(7Laeky(@(qInL{avKp9Li}TE#pvbE7Cv?<vfvQ za3~KEX$FV#c=0FVd~vB*D$-02)88fT68DHSmc#hxMVh^#{I>X^_=#8}o)T#`hv{30 zG?PQQvzR0H6lo}j@nc2W%b|R^NV7SVSBNy4L-}VSt>jR?Po%LN%D)t8Gl%j^A}!`n zeqW@C9Lm)qE#*-5;RkM#26M<}BF*Jc?kLhe4&}Zg&E!zNNTjtK%2Pzz%AveSq=6jD z*NHTcL-{UotGG?1!5qfFD841WC(>jN<ByB9oJ09Lkp^@qHxX$ghw|AXP32JTEz)8R z<q;x{;!wU+q-`9^SBf-|LwSuzD>#(z6ln~H@=lR9aVS46()11GN|B~-DE~?PtN69( z%k<)tM4HB7`YiE$@kb&J<S_nXk*01aPZej2b48lQVf@cTn!=%cpGf03lz%JI1`g$S zMVi8)d`zUZ8_M5`G<rihL!|8+%2^`K+fY7Vq?H@W1tN{zQ2wz<dp498h%{?Md9_IE zHk9uWX~c%|&qW%sp}bF|5gW>X5I+(>6=}wX@n4C7uqTJaGsH8+E@HOWPrOhp6idYE z;$`Aeu~fW4yjk2VZWSLE9~GYyUlj2(lQD;e+ey<7zz{!c8sg^zLwsFkXw%_x&d4}T z8RGCV42xM}C$X#8Tg(>+h=avKu|ym%P7-H}bHxSXVsV+cLM#{8i0i}+;zn_^xK-RH z?htp0Pm24*{o)JaOX90yrTC_JNIWba5s!;C;wiCK{6-Avd6G0SU9A7yO&f)W#VoOt z*j41aBAmZH#ol7RI6xdM7K$a}cyW?AMVukd7Uzl!#Kqz=afMhet`RH5wc<u`v$$2< zChib-iF?Gy#Qow6;!EPIVx{<|_^$YYctkud)`+LXTJamvvCplDAu&yCDYg;AVwTuR z>?-DnJ;mN)zBo)ADHe();&^eAI7OTxE)W-s%fuC8xwuBG5Z8(u#m(YYahte9+$HW2 z9~1YBFNiORuZor8o8r6T2jUU&xL6~e5^Ke8M7|Wo`EQ>qN|)SBY$?`%t|&|4okVUe zLp?cS{pXDG6+S>5EDjS#isQve;uLX)I9ue_Ei8}QvXI=Gg<K}C5X;3iVuiR?TqkZ2 zH;S9Zt>QLukNBAQq_|JqFTNnYB)%%XD}Epz5)X?<#N%R(cuM38ZER;i<j&@l&3hnV zy5weJOVPX+f_VGfl6fx#a*pDAioHb|yQSU%;$X2*ED^_xlf)_F3~_<DSX?Hq5G%yB z;yQ7IxK-RH?htp0Pm24*{o)JaOX92IyW$7pA@Q(SBc2j##cxC!0yO<Cri;zQu$U#% zf*#X1<M|8U(YMrjtb1Oc++N}MC$~qhyz|fNnbW&RIGkgGz5ls6IlX!zVeWaky?UGQ zDJf+`Mn-%-DAvd4baLVn%E~ekNj(iZIj!*4X}2$GM%}r`cjCJ~AJ(qf(|&WtZ4DzM z1_zF%`tBO|VeQ(j&dGDvWNg_zaKOnYR(Fo9iDcZ9vA$VkRA$!3mClVJ=U6bg@vTnH z8Ef{{IypZo&xq`+^@lsw`n&E!2X(9sr1|>ns||)j5!iiqJJtrfrkx1+9y}59FL-p( z-qebw<s&NE!`Ayv_#eoBr6QEuzBZU2>g(&BS^3Ygj7z<teQhZJz7rw0;D!z;=SKVw zblqFq=umpDzxVyn+@Usj{Lq2McRhIQ+2pii&pH`*H?48~jcz@&@<)+9wa)(0nJKJI zGIIa^hP~bQ=G6Mz`uaj@l~OshqE)0_t^exlD*`zPS#eXQzwfC7PIz>rAoAVczWr`T zDCJnt9a5RGCFNKo_(<l!qk-gSk^^YdfSL)bpE&ErfxVyEH*kMV$?DOyp{{S%2FfF- zqp!Vl;=2o04SccIU*71bAL-M5!4r+rGAk$V&G=%CGZJb2?L)b%29B%^tO%ZHk(N=J z@x^{84Gwyi`}0QE2IeBwa;2GzUQDYx@T@bj<&2iiYuu!Pm2J-opS9YQ-t!r!y|4YC zqXD0<+XndkH9mhvjoWzC(J{%*o^isEovU%cJ2gXBJDr`H!K>TPe#W_ZVCyOQgWY4v z{%4ORIV~&CsTi8sCz6b`7@wb9zqixgp0)lmUmr-z8&uA!NRNaOzP%#Qb8PvlHvT?e z9dM5gaVH<WE6}Nu{XL-O;Z<!TzO#qchR&UmITT|QI{$%z&e0^NMP={3euj6op$#kh zM6B#T??U8wVBm$w;X4sZJ^{TixUFj3<QbLEe>os+-;hqv?2GuiZ^VD+<j1R8N1QBQ zJJf1K+KKOaEz6ubBzV*pY#zxt*lRCC{q20crw(ZzX>hQ4#GiXMLwc8#?`*@cuim(9 zNT14Ak0mFs2+eW=kGjW3y1sVPGDp=ojn)nvR2w+PzH9Ve`@Q~lo*a6wHM9&w%=5K@ zLru?GJMgdXJ~1%qSS0DiV|Z(}($^b%sztCbua6~--`i^MpiKILmE9vaDn`sLf4YtT zN3{pSo7!(2b#z4P9|!w!q^$|PR$I6=eSPx{PR&i%Hohk-+HgZ^WNhRMC)8_M%O>kn z?r6F$^|se)bGD{!N!iqRb6#y|*W<PRbx%}$S>bebYEE67wdE1a?DHxXRP3#27AdOO zR^h+Z-O#+Q!KsrKfeo$elD<vZ(yGom_F--My2opS<&C#AuS@>Ad7b-JdY!vFy)Jn} zdR<!K8FjuV{P#4i^9^oV=U?u-z`eV{9c}7-M>Fb@d`;?-cDJeX4{lQDwn~X+ZECPF zSmO(Z@<QixCOuv5WcRJiy2stp`G&%Z+bZ6w$fyfe`?nzXQ}7MWs0*!sf;C1hU#ktR zYf<Ms<!*VRHn1+eE_5^<DNufff8%SlX^3-w)27bd*|N@!G_7-*wyaA!)}}6LM)Nvn zH(J!E!97o)1uXgU%m(YrDyK($8(LIA*M5X=T~_g0ZOi>l>w-_YcRx}aLTPQbLSyxZ zwV|!PyEjyfsYqJ)T5WiLWksKg7Ii84p}ZD#p*s+}E^w>6sa0LlQ_br_)y?bt&o`+H z!0(%xv?=*SDA=+tke^W(INGevKPIqwVZ~!;&5v&I-}+$1rxlM?xEqo-r`H8`2RCQb zIj^>?bDo4WCbSXKP4FE}x+@v+zLyvpL}-KGU^LdYstfEy-ydsMm%P7KoqLJ@uBLU# z51{W;UaJjkMM+P&n>kt-mphx(`7T9`t`2Mp;;3k_C6xEe%m%lwI#3cxxx2}xO)Z<= z-Do3L#U!Uw|4OVjy|-kxtnoEYj$p35npYc4%c~8vX;+yUIaYCg#qDj|)FnT4Mx8Sl znycG>UH;7(b?#jij|`2piZnj7sN#zX%!;S{y_(jAI1{QdBkuB_=j-40>xysIJ+i3c zXobHQWsJ_?wqIXci_v-tX{yT~LF&6cI*__4ZDUIGaMQq-jopTui_1efq4P%_J@%tf zN2@PL{j#xd)X^_`Wt2DivT;iA%f?Cfp{;LWJf~)+txLT%<<5rd%TC?2yZPqyP0hCa z$$6{gUt6Z%-t3O{(KBk?)cKV-|7_0MggLZlcIa9sfV0YnwS{}!btNs6)(372ZgB5$ zx?NV8wYhub$B~}3fiusm4Wwe_ntLA3GNqXqgA?hPRl%k_vIFM@dM<dhbT87ZKw740 zgS1`Gs0;RBoUeCAoxjIfm7OE)BS^CuY1Zb}23|p5E=Ss3)SFxDpOjbYAK9ud)T4DB zY@F34Pj6lq=+W@3W@q_(e{$f%+Ggv5n^KQ8@C7!u+}og{362bZkMz6zo8E8ge%aW; z*(S9(GxdZ&HGRGRwkXau10FeJ&(lY0es)#nuOb^Ffk?((!w#Pt$=Y;D<ipSJjcku( z-90k$N+kJnr~PG_{mcs8x_m<Urt&|QyEqRy-TR-K^^4wnQ_2g<Z!CYgJd}G)X8%(^ z{)LrOt}VFs#%o``7H7+Trw$bU`J9T(@~IV<l;2mesXUVTNyYh*_sV%>LzkcDHR^k1 z*Q~vbDq56ZTrsx%mWn&dU&nd+ZH$<&fB#cY?>ar^?ea!9w7AxfBc|Ynh2=Ni@JPAN z&HXWN{o8AUhdw)S-{C2d9*4gR-|+dq6Heo@Q)m66cjT-{-#>SXw2nM?*m)+6*Hq>j zCE)uS^xccAm<Qk)W&-2?{J!8ENPb_4yHvU*^q)77|NTjmcq8LaUWBZ!c`0PnL`DRX zZUkI&TUL052}vG=H2zT1Bub&=vw=V$i8}=O1IeFxNj_l`U+8u!4F$HCz(5O6I*Pa? zpF7(3If8?{pmTz=5LFgrD^>=%Q|UFqUiiNv*arWX2f4>=sguli)ZI&bk0L|zM*MT9 z`_89-CBtX?3gHh0zQq3qKEC$vyUk~cK!9^=i2o7B>;IHD=-c2+MWSx-rtl`zce`&I z{N<G2!$030CjMp0e2K<)r-?rcc~Wm<{6-V+U+<(2r+Al%_OB15zQeLNNerbdW$fK1 zaWONOQ{Jr1>1q4|!WT6n_Yg??Ez8<!<R{=wdxi47MqYw(PD5_Tcc1S&APv{UbXI<i zGM<05ausD<D_gk;U(%)hmF3-U^zEfwM|qo(+v6GNh6|QCz6XrRSAZKXxZ3e;H{v0P zjpjJM2TjWw{>1wDMs8X@OYvg(q;J}9SnD08`+r55qvHFy$@wbehRbqu9N$hKgXg2h zkaMm4gpu=N@n3p!ES^ttHoThoA2RuW<jIKl<YkZ>Pe9Z7%62}6J8cjP-|e3UJcr2i zkK?l0C&QT*OZ5wriVsVAsXW>I`e!zh_jEmMQXPq<@?<9?2a0#cbnP*z>SC!p*=byg zjx2}cEv%>Ss7cufW9yab$xf4Oj14DjQ(uw)YWyGVFU9{tAIfRw<BksQlvJOuIeW1= zCv$T)5pDfHV=-Jtz>Upt8rmKZymMfhH63oOi4QksyYVWEH6HHASf-tB2E5_vX22W8 zMZPp^EO=9AdOR1t<d4}3zhRXRNofAN>5Z$fk5<b1fheL`%tART$0t#nCpolSZaz4O zu+CiLkeDI#(-NjxB4GKOUmV6iec^>EI)ZN`cy_$$+YW~aq7(;!7eU_&I7AR!bpF}$ zcWa|^G?8b#V~E~fDDfhXNM}sElrh|aX{FIS2Jx}a1@OUi4`C};6pd#qN~~N_l$G<8 z6cM&^MbVf(c<}2zR2CCd1d~>S;|j-8A@eafF_(EM%~_vDa{gnVbz&X56_%s+l+m*T z4*fz@!a+qL$^8X<&O~A@oa-!K8Kq~P7j6qj@-&vd+Y2Us4QI5|qgt8Oku`&6W0?W@ zmhmg9Ev8nZ39o|1L@AO%#jY}XxPt?1_XVQ#+~^6xC_St`jI6;PBJ2uN*bIk`C)ggN zf*w{JB@T!;U^Lr7Yk~|;Xv{L(7=|abW>;B4YnItQ4#U&a%!&!b6yq(XDVj8{vrh3j zKu@j*&cc;Zp4%6C;oE_9)AZs=z$`e|S+X+9#y@EUj1E2g+6_(IV=N+5;QN9K2t+CD zj|T*!6yAnIClFl8uqi)LiE|G6V@DZ1G((G-Onhy^7cPsYpr4w+A-oIF*Ygs?;7si} zFAkgViF}P{0UWF(+1|tCvYm&CWBU#@Vb8s2(iRgh!5O=?^zd~c2mxatnR`+|2uA7o zBOI+SU|I*@;3YzECNn*0o)C!A!xw){W_r59AqO{;@D8mB_RykAeK>jp;V{(c<i&8X zAwi6XgCV<>QF^9$!ip$89DBr+M(HVsLyk{@uRSmEt??EUX_yM8y0(*87Hh?W9y#r? z&tN1sAI<^uEh&srkpV1-KIc-R4cr;VFi~&Wp;@0UJ0qD<M)opk#}Rx%bGSEiWTpaX zJII^q&8Q9j0k9p$aM{1eq|u{)*4@5+lw)u9Izg?-S~CwubHq8miME~C09J-!b{hPv zc&6(LhXxX?bPoC=Rv}YQ+r(I7O{i^3`yW&Y-&^GgLxprnEM$elZpb`cy)E?n)%V6w zO)-aiN&IjxiBHy&_+(uS+oBk4Z?Z1-CM(}gbDhP(C_Ua}Es0NylC=os%9&tLg9V<1 zg9VqR=wJ3RC(Qt~$813aKaXr$o5mC;ctFEYRKmiZ&ZBmrIj)N0rSW#beB#Hzr1&ua z+vVdCI|a_zE~kf6A3`wU7?{Lkz?=Am-=mtf*J_*2DZ?c8P*`RfT1fD4V6Z({6Aug4 zjs?R`-(Z@`r2h^$ENc78So#qg2?Lq*{}m3a58)k#uxQIwdVv|XLJk|x=t<5J2RZ2V z7VR-yv~%EG=Sp9c9&ZJ|(r;F9+96277mug{z21Ue!UcV&VxWy){!)cQoJYr}rq9jv z$VU?~4{aaW*09AKb!3PSe(a)xXG)GZ<^xfJkwvo~E2C#E98L(2l?8L#L@98<u`Cn2 z;ZQ;-h$_+lqKTSF&_pzJRFu(k9UOF27#$RNcEmK|Q1J*h3GJb5N=B!e4+nLFNzA)E z^VyFYzUft#*oPU4Ec?uf=ZKk}g#=$1Mr#SaBa9?ZmeJ##gT{4*Hy;j3h$1*+S(NJ# z?}w0nZ5ijY8nUj;Ru(5PR`qdHs}h1kV5(rN$TKU?k1)cx%$_Ix&f;Y}QSt{H^qE-) zd9n*3GtFn(<};7g!xJ)BFr+tUXujn8Eu0_cax&fIT~Zp)yA+ec`|#Y&<xY76326}O zefFQ8CYX>0+P%-~NOKg9W<5#P2aQb+(!;m$lPGiuux_l6iL;q2<C*<7vzOk_?EDeT z6NAi-If7yquOyp!{!*)nS87|~63(yOifgS28f{apgy_xB2{wpVb$t06!)AlZq1&5* zu}ReiabDM<O8Rhxw4d3~Fc)qC9Lu#I8^-FfaQk7JWeQuB5YG5Sy>Fm6zw;GBf1tIA z|96c`{FAMVf3Z2``~{0AW7F^K>FD;jc?ooIf_Vu{4UE3&ba%7sbDQM5^RnG0#!>|T z7to)Iz2e8y`~y6Vv$+BMQtW-7-G9W0OR#hQK=<LYZ1)wXAh&zJe(+<jeQey}g@qZ` z$9<$M-~EG=ZK9bp?Ecau%cf0$^jQ;Od?NQ;Z2x{U_gQZ^-c#@1R5sqNbzX8S%KUjg z_ou#mw{B&=Tj2}6<kqeX!;^ip@|C%b3*6%?7rS?2Tl<Fo4nFq-q<qk~#eEM6AM|Bk zH1zUwFFy;?Nl5vjpZ7l(<}SvdF=t~h`wM#DU(VU?OUU!6Z&#+<EZcnw`Cjvd-K)ag zbK7MN@JuDNbDLw^f7loZyH}Xq>}MOh8jH-9@NKi*X|PJrGTWV=Pa70Vvh#YKN6)hG zxo%p%J0|Rg*=z1q**U%P+PXzyH#@(bJ32fG<`2SD-OimK&V#>auXDR~hYgFK?ipcs zCe*l1v)jM|1AauM)~-}CCfn^8KF?&wPW0W~xu#arvU9jQeFxMbJCB9_1SUJe?v-Kp z43ryg<A$?c)Ok_1dv>-vmDSG4<A}0N=!!+zM)yPvcbLQ7FuPsHb}$9d*-gnuGrF)f zKhC}&uM>n`%!ImjLC!qd3TVn%ksCppHo0gcDw&JwWxLs7Huce!1#TTiuwnSj9&YV5 z1@0~Wf}7p1t_iz0(Q?Fy3*9B*+zw~;gTaH7Feh=FKkP0m=wSR6{&3dtoPL}tO~Rd6 z>^+!He{#Yd*(Y6d&u!lw-SsD^dJ+S66qD;C<Igq&x)YWt-o9pw`<Q==`|36M?mmBh zmmXaPxr;GT&=a%r^3Ki6br%;HDSJR(7sy@ma;b7r0di!!Py4go=b`asKN<?-8O32^ zMq#|0hmL`8J`69sc+IS{+#CG`?%Fb=X<@jndr9`W{oA!`=YEE%v;k8v?hiBktOVJe zk>9;LS_mr-KSkTVatbb@HI1L*AgM!p$giAme}tWjqaoY<yOV9Avl)!zgvrdYG%6dW z5!|V>{6QEZm^yuWj)Q->{D-~Wr%&$@-$wrbF%tv}il;A}x_J8RT$md0e0gzm1PcZ) zTI_g+2xiP*GHvcmXHefsgDxr_UhMs!Ts(8}k_8GGTwFML<mJ;Q70+L?aQe(iLkA5q z)(b8){|9<o*5}4I%;$IDi>EH2<%FRZj|mS78)H4jtWR!U&iUa^3un%qId##@&f$sJ z7{9x<{nM@I<ny~tTR3&z^x5HsGnZbz=<@mV!nu8V_Q*N!$6m!_Tk^96uAS58&s#cq z!PJF|FP}Ph@~o+g7Ejhv>v;S1pOzuE34h6u;*!ac3x|&!Q#8a`Flx!-|H=wMd@-N5 z<ch-isLCQ|(dDHx7hk<#rdLgM=z`(%(DgKO5G(lynJoDKfMEjmEsQnI<yk9krC|C( zZz`Q;oxs6t=o{|Dfi5xToMz2m7)FD`L#~)MbH<FBGs1-n=TD!xXwm$Ii#q@Bm<bp5 z#ffq%jX*j42G~g&G=JgZnajFe)YAzzOundrKPA{C(9GA|cZS>2*UH!0cc$CMm+5cs z%i=u;G9=m>=mgn*cp);dQP!FUKMh@%e0{JY>4w0KSQKyZUD&7`S^qnG1DN93sMX@^ ze?LwC$L@gF`=)>4?Fh%~Omj5^de0oeqmNC;fv4YIOETStgbP%A+1eH1_@O2CS=ZUq zkJp;;owVneZ9JUS4X^VO0@JOMgt!v;6ZLI`zA30I^|3C@LVYvf67{`{iPt(Iz<QU~ zOLr3c0<?>LeH+)Y5a|-jtwqE3AT7&fxGndm_4GAu?KokC*^e#vS_CBO+kt~B9bwdm zUut6dHo|Z7z>8aVudnFm$Hr}dKT+S4(6<0#)Q4)u^zDS-=7HC_3HrEqv;Ek(?FdNJ z*Xc*`apO;Uw!M$T&pezD{7l1p10Te0`n)*CKMR+b?t=Ds$AlU5VI1Ada2QTj-FzNK zIusrIFbzK+!C61$<4DIJ|Ln&$>5m9VY)1~1*?w+@INJ`qHXWPu)X}K}-tnMEA6pig z&^+fG954eA*b?DQ;HZ!KS*AUP;C1pl<L;CmeXKq!TPB7F@IZ(SC*9LpOgXV8loLy& z9B|qtDloN+2e`4L*9&vak&_so*mKm!nHm4MW@aVIoEh<ti(fUfAhJKa4|_cRam`bd zi86aI{-ON>u1D+>o*QVNU`RaL)BA6iciiy(JDUaeTIIB}Elif8z!dyU$MwXIa=9FE z-&M{Lvbl&?{>+}UXOl>TCBek^5POTPGxLoU`Sk$hOU3CTCq2Wj5?6|A#oNS9;=SSy z@nP|4@p<w0;vdAf#P`Kd#3SM<ksZ(aG!^UbecF-Xm?F7~&zF3m<dKp`OP(Zos^qzn zuasOa{!FBaT$cZH66NfcZ1yrmxOvwB;jbzDU8Va_vU#@w@l}#*75@*(Asjx`+mM95 zmXgns+(~jb$-O1_lRQlFIB}N9=Rl~}*g}BbTO=D>2#~i(zMq7=TQVP3V7}MIkHl*6 zTd@%ibEdO<gq}e;$-(`Pr_bySiufGK{YV_T5y_)T#E+G1_5elvT*+4{eud;4NW|YN z+1Nlp{`(|9OrrcpMSe}lcKwb-x>qIJJw5*_8Pm+<<C@9*ID`zjUQp(mN}4ajz;2Rr zMRR;1oa;W*MZ^Np9A^mU7j2B6EKV2ai1Wm9agBJB_%rbi(Hwu!cdz6J#9iVZ@d@!M zk>@tn<8{#-hmiT055xZ?elAvvC&h0>b6g^QGUqYKM=nS{6GXNXJBeLI{u;pe-eP~z zJV%9aJ{H9IapGigx;RI)dlX+K+3r!iO7eB$E#j@Bv3Uah_ekC@?iBZmzZ9PqpB2sV zj{L7meoK5$G`3F=Z;p5HD~11E<mr;_3y7&=W6>P{i08vzOn0`}S<DmpNEqV>i9^MU zMgC60_=)0VvHsr0{4Is)_$4{HQd}k8DBdF8A@adArZ?*c_@HDyr^WE6#OK5p#n(h* zV+HBnmCWlk=KHhwg;@VxBfDqucZv`3I7YrSvANhvJV)#zo-h7L943wwi^Xx`r6M1T zVmUt%?H<KTC6|h;#p}geM6+%o|6P*J`UQEr<elPP@t2}m$B=HnWV4<@ens*@kw2-h zejkdTi2OC1;m5_V#J`L6_bP6Tg^=l+imk;=@ocfPm?!e*Z|3VS4iZO-h2l7IqBu>o zdlvJ#KI*wzw0ji)RPtKUzSDD;<a@;J;!g2D?N$7HrF%_$Q?&2&d?MM{l0m(yB%cuL zzstjC5Ly3pv8C8X>>zd&?ViNLB##n}O&R#_le}HrEj}XtN_<-6bB`?F?jii1<PSwY z2g&#o;@?HPhj0KFoQw~N7l;Ez{wT=!vErrTH1Trr3h^HCUXjmPGXF2ded2!6*nmO& zE0Pb2#ug01?H<2>QaFDjqrMu^?(u7E!yw%5@oRokgxomUOW#!F@0!eij@V7i75j+& z#3ABvu}~}#jV&4In=JV<@h2i51z>sn36<o7rQ{7Fe}kjUA9%@o#Cye^B7c@-{1f6+ z;&bAQ;%njo@sMcu{5>wYMywUT5nbGSu$*MEvDj3!HfZdgzs42~(x0#R0peiM?(th9 zd7?O3oGs237m0Sy-&K;Y6TjC+4a(o5_y@&@#K*;7i_eO`73<rqd0*ili*^s-qmoaE zUx_|kYqGzLEgLXRa=Q56?)_``{2i(M#o{<|x_Fs5Ph2Q27t6%@d;Q+2@VmwOd;Ri> zWwz&OkxwjBt`y%9-xm*yN5sE~Uy5Ig--^j8p1xGk+Q4Zc`7E)W*hS11&li6r4i=4# z9Mp4^<YLk8@q4LcK5@<VEE1QCW#aYXO`@@tgY<VxzF&M$<P+P}_mo(FFW)y5{*G9G zFJC_4&HR58UEK3fP8RF$-P=*&=Zbs+oay_EL&XuI-J^HB<muvNBA*y%{uQF#lea?h zZQ`BceWJ0cgM7OsKO*uWbLx9htiSgz9~@`=agmRRQ~plmgW!~#h*;+TtGzYq-@k=* z|B@x<h&{#n_jAJ(K2r4SI-cJm)qD50XP<EV^Pk_n?Q?#fN&cVTy<OJ<g<(ly9r^NQ zOMLr-cW)ctxW`@ZZf?4z*<JqI0w=!9pFVhPtIY$QlLuG(@A<Gct$fIk0VhYT9vZ<L zPv!3It0T)ZcSK$~7I30xhL0Xv;&cz*KH$q=te!E%IeGW$;N}l&hi#oQ@Ck=;f!kA# zC5L>yQjaAkHO?IH<um2cs<-Am8<~9cj*wH6yZWsvr}S31n(D}$q17Gd+*;*J83R{S zJ*#v;br^B})??r<tZr8tI`LiZtyON9F>q;odp2cYb^B8PiFD@yyvyozEvo!A-sCy6 za$S|v_W<0W>JFvrs(b~b;RaV{!SA0i8g5AS*`<|L?wpJ&w=_K`y^k+HQhj}C59Uij zz7&>=e65ksl#6^_kgp3%TUX`w9S!H{4nTK6x|5(gNxFm39W15RbydkJqv7z{bRT~{ zHNSGee=OAOfn%YTE%5q$x5^76zTPOc^#(X!WXB0Sdx-a4+1|hJow7I3b3g<!T`J*x zeeN^ytVc%WhALm*ch$<4XyKbx{(?|Xf1l?fgR9q+?l=(&RUP=^xUbaL-`6W}p40uM zNdLbLe%ODP+d1{vYInfNWvc>P_JoqwIW_OES{w4!xG71#b9}GWX6+vrNxmJu+8~5? zaPL1BI5Q&>x^-LRk;unYmzDZXq$Q!Y1?#JP6Ao1QC+w-dY)%avSULl5?sbW*N1YDB z1*%t<4yktMBvr4TGYI|+)bSu(Qgr~~Yv#CctZ7D-KLw*aA*s5&bWnBqoB-UAYNr&v zoN}<rosixqJr55URBtUET)h?A!ie39UK}&n>0VfQDN4K>J(t_|<Z)k@m6<&wf$D2Z z`R>?|Y9CTxJ7*x|!;kLXJF&{w`t~Ycmq4EY^d+Hxhg9D?#}Busdgg?IkZY=^O{l3J z*yZd>-)+rJJH9&Z>zh>VBIRnNJiQ%V&hkUcn$m%2#~$Ro7w@UrHq}&5ho%`_-ap{G zy8^TOr7GW?iYot{m#SRM;`GpfA;TjL(8Fb?#ylL_l)O0!M_JbX7Oj5n1U5ITf%VLq zSIYg-*J_*XA9eK3)Z`jBH0o$-b6>7=Y)v3ql{9Bp#DDDC<ROv!kEON>+`2X5Kjb{a zBl-@^-Z$X(R7YAn)#27}qs>jx=9=mYOE0WmReDF2e?l=FkATz@-}QYPM^bT>JEtTv zuzGuG3XZ7YiFE({ryWf=I{P-Q3SexKOEG?ZIgW$S?t@kS0*>8aj2p%&1xHis^gJF- z1JL6=DlrFIV=i=Ixj3qEboflU$j74z<FXy$F7&z59e_U|-AT}$B;7&i4z{M&gH_3` zGpdrig!6bbQS&PYW(^+m=-f=Se8PKh1(9}<KwrF(8DJZ~*jutU(Ccl~;u4HNps%mb zBc`Ppm3N>eTzA;2BKXlW1)*O4KDXlN=}?MySp8K84&mszAQCu#z>u<2MGpry;VsJj z?p^-P7q#hpX7C=2fFEDt)%3l#ZPnyCzde=~oP2aMj@{?V(ei@ZsvN9g5w-xc+t<1n zuBLhlMxh<ov@T7n{Frk|T+`0yEXOEx=@%JNeP1cwc2CC9=ffxjoNk4cAMeHSHU}fn zH-e++0P5PT%7=PiU%DQ3E`j6PwjLu;0+*hfp6~0&b*4updcXCXa4d6um9Go(nKBQc zY~<_0(hgL)bDCikJlz54=15a_5_Bg?cM!URW?fv55h#HR=Z5qB{ixZjXJ_1hER@j( zdjNE+#LC<cE7pWN(d%6!fj&t!z9hCZ@aT{C2F|aDyn{HbTR0AV`Cf~gR=$JL;kv<E zG>l-T^u4pnUmEBaI^UmP5gCdR;n8*M0N$?859B>Igd<aSYUslb-cwECd#a5W4E;&w zZIR6pe}w0&J%=Y(y-?aJaz^B_&nH*?u5?GFS!C#+19&TUPG#uWPm_NY@ka+l+E%?b zr^Q+8BF{XE`b@xxVFid_b-8}dHJM!_t=h8Yd#YzmIJI}o-VoO1ja5zx#xaF8$I&&R zN##b2Dn_TZue#lY4D|53=v9CIjgb&mUw`!~vnCuru=Tia!k$Q=*RUb|Pkp@G>HfO| z(W>SX;-Oz1c;mC+T|8^fX#MBbdz`b6{`tzl`jlgiId3{iGY?NabM2W;?#ViOctK!Y z(y{A8XW}i@Yd`lzT0{m!{`}|f!plD&5ZU{gw+0v+!(0rUfNv-M`}o7a*Cycfe|T?o zJ-_?%h591`FRMl<`IE1Q<o=#lC!-1(5lEVc2zMr93#XZo<RwHX$=F#7CG#uN_{*wS zGixCE0O1RLNq8@i`U8QRs3a691I){+A3#r#R@9u}C?qTk;sOnidh$EfYl8fRV@2>X z{9hjAx7~PIl?K%Cvg!^<$vKE{7y9`9btrHI{~P#dr_MLoN3Hx+;?|QNUrKxapTeJl z__ccqzf1N_9S3i@5%JGAjj_*Cyb6W*rZbkm5v4xB*cpuFYpEraW-^j*r4};jEKdrh zJwvtgm~sq!Y23xiH=iPXX?>Y<0mUrjGOvVQN$Em-fo9$aT}Y`DdLZo{s$E2}8kebQ z{A$z_JEKJn7cIHM@hxVH7eP#;u{TdF4nf=<6IWAwG$uYu5t)?uPY@d}!wa8Fjv$N{ zQt`g1q#j1flf*m4hRb?LqHWWLcmU1I_dF!Nzq*utz}HuehxcM>*(}P4eQ*h$c2P{E z)k?gtXk#Cv_!#@@sv!t0#cwTX{ISauKcvVp_QY|GAf8Ea8AtgPMEL)YzM7fZF>QF$ z*sGbCFM1O*_4F4rDY6^%ilt)hi<uJbi<uDF5Pa}$Aimi@?M2EkZ&f&#@gn6*OcVR$ z!-w|~h?kJado7Yae#wOhg5TqK5%j$PhX{fi|M^8qb|_vzAb7`vmlg=>#QPkB$v2Je z@dQ;c7%5^O-uK|m4Z_x`C`un6{znAiRc~Tq^@^gb9=~it8p2lZU%pDIIt?H_g<}ZS zX@IRz3wXRb6%sVzh!P0%jk>dbd|W}{At><PJuol6u}Vl>uj5!duj6bzk>;O^GhNW$ z6gTnv+aE7&BHwcGN}KpS{eV~U67|o3fNnRB1(m|@bH)>gc+fbP5J%x$=js(vdaAu} zVg}Y4q$Xy=xz4gcl%Bbs5Q@^X*b~yC^stkVgZK?P2Xn`E7i+){XDbj)95voc2*2sN z@x*n;TS#n%V?J`52_8>8reM<R{_zCOkU?|2Z^qlcp^kXxkGGvO3m)$os3I;ITj0#g zr}Xe#2q6@u=LJtli_-HE97eDd{j<q*RSCN)VWRLSG8_{`UpTy46o}GufhUBb^q5nD zGlm!s2Zh7}I8z0BDm)<+rDvNbq($lZH5_sf+zSt{Q!I=1{b=?*e|^FGU<7}Fah;`s zC_S~F5Q@^%Bw%9FqV#w#=8dH(#Sh?+IgC?FHXOY9z&ztEA{N4#TGF%96GBmXXi*+9 zX;FH1!=aW17~a`tq{qd_zleh#FauUZv^U=IvjM*7gEEPgUMRu$L=Z}N6J$KC(s`4j zh!cThjnd-796QG5V89lbK^w!-HfIOC+6}Ka;!9RU<0HOgnHg~|oOlm*ag-i!#K&;N z`ExGjH}MFZIU?wJ+z1N-QEu(v&4pr)ZHXD4(ZpOh%!L(Ede(TtvM4?GdBWl-J>J-k z=I@rT!=cT@N#mUuAKT*i*e+U;Ft&@9nXzqQ#&*%-C_UcT7IR#=6&wzF4rqZHp5pj` zLQi}^p@##y84fSCE{@XU4QMe3bcz|!(F9+&F>{=rRYt%v5kJ1iaHzdkNQ*hlea%1> z+k-cRLohFExzj$%W7r!-^X@QL=7cwl5=Jpzdo%LPce)lX0hkLII`c^w6K3@mrsDWy zEM^V8SylY+D`DqP(Ak2H^yU)hj^9~#5xL*<k0Lp15I*u%9BLdao5v0u;s)}VOo1l& zN0A&U=Hb|IU~C>cNQk?TW3&#Ac}R{5^RPW^h|R+;XMbDS_C3=sKsXz0<4{%e;mqZ< zwc+$<p^nIN@ko&KkN$s`zLS^cqJ;Fkm@}X6)8ExgKe8~cpI>_Z%k<{S9<;qAo_>@~ z&o%cj9O^in40^f?Y&@4?#TSq%Ui=6f&y{&A9P*Fg!Kkz&$R=LeA!M_9`M7q!>g5|s zeqUPVX<_p5cF6nKbpho??6Ls=ur<)J+0!?GG*2;L?8)O^_&}?JbCT!tBpyg-N9he= z5#m`MSP91%ycJ6C8WU4U+yUp}4cRC?yNrN$VCi|>6Z{D$s!5y)+u?A9M?4OPmzsT1 zE?4YDyu0}-FcuRSmmfThcuUO~PUVZ?lAIN+9=-3vp?X|LE{0<asz+}L9L{FM3^=U7 zz9>B_jDX_k@zxl;YRwt{860#Goc!tp&V6s48E2<DDrkGG1w6Ch_@X*_812P6OL$j8 zOUn|jgvQ$CgUNXS>@v+AO|Z#W`^uu(Xwn`OidVLYC*kncv@c4Jx86*OUkK%xnji9# z6RbHZK=5S9f_QWqyQ}cW&vavX!t*YFa-wzx#o66w7Ef>4n?!h*wK-AS<gd}TZ{hF; z>M*`hO@F2t&O(C6B`%UivUwZeOfrlK{d?dr>I9o>qvDlaKa3^rhr`tu!9|?f;^$&M zg=Rjyrslc~g~TklAfAO@ishevo~Dx_uMY&<M=7xHLVT;)gmA#wG4T*ex4=Ok!Jfj) z&7mL_+HQ-dX7BCrQWIS3W2x=JA5U$o9}hu=%qN2X{IUacieN=?!AE?GenlwZO{J@R z2~%m@AvC}n>~XBQclk1oVDX6?C-5S5iqTt0@a%^JgJ74ScZb=|pnn~5Z-he<!qfYI zvG*q6Rg`D{|IC?_<d6lz9x#LhL3Tm{2mu3xK#l@Yb_GH9wIU!yrHT*`HMrD-uy3Jm z1wjR+7VCzJiV7MOcWKdDHHm!-isfKz`F+37d?z_X`_{MrUjOTQf7frWOg_*3Ecdg_ zGs~Ho`<@Z(;~o<`nqU>!LS9E%&g&w>P;D0eJY)xvm6&LPV+gmOqY0izQD}J0sdx<g z#!fMsWSh_)g6&~6$rlL_O}Kq7HZ5}J?ifPTXLv;8hNDWeu=5A7qH$hSG6I;sSa8tM zQU*1VOQhV?oMXR6^8YnvzTLb1Z0p`HEIb{7{VGgC8)rFj`A*$!PFz-c%ZwJOU2q@H z?#F*ly`aH$X_3+*H!>m1L?)WFwhZ^|Kk%~KF3HHajRur3H$Ag7&H1}EWc-{ta}XYa zaGDbzgso*NV{V-Jqj;yu*#6T}r)5k_9h15f2D!1ckZqAnv&xP^=Zc{7HZ;IoH6g9L z^W@SM&TnDg`v%N(e{1JE7Yym%8CH-trFH27!{ipGZLy|p84P#hKNI??e<n4ZS!({( z<ooYaoyuF>;knP}@<ua0HHu6qqX+C+`#U#fp;LM3>CS?*4A?l+JQol7(({Hn*QE7m z0mDQjElSI9^QAjC4nbeindl_L#<Q#Q6f96*N3U<SgU;X4^IzFT&W>At?|keV>pTd1 z)<64p-#WN!*IS*NhO~7yz_9i+-;nXMX3bI<wy8Izr9z%oE6zzw%NUb71|#2FBj3Zh zBF*{AnmNMxW$>c@&XY^hoTE-!#P$t3_b(ZOxFP+y9o_Jcx9@rJ*uL3Q+l=nEpiO3* zgKb8`fVU&9fjb3hz}zRT4XuN#ZXVmoDRqWi#Qt35toFIn?9>vM>z%P?)0QuB2YbDf zmU<5wejV(^H>X~Q(d^;OP8;OJ4k4Z2VNB}5<k?uNFM<to7JAy&8IM@ZBqN`ID<<p+ z?_sk3)t826{l-)_!Z!9id&x!lQ}VB0be`quwE5S=5_H<^8Pl$D4LPd~KBvu|ve243 z_Zk|Z&YZJwQU2h0(`PKMvBW&j26XQHd9#AmMQL366Xv5+=gyyY%_tapcDIc2?0?L( z_206;g^}ohyczSXnRDk)$?x86(ofmLc8{<;9We5eF_-0DK6+B_$dSWF){Mib!Ixh$ zCU3yV^R0Kyfc$t=s_j@u4ZbRO(q)53T{d9!z)LaA8X05mDw|h~qG{Iorm;pR2e`US zH*7v^s%q7j54e2r<)NQ#k!y~-)rCD<YIpOoo*ElnTG?m{UGFunx;dWBt%=YEF+&T} z-dXczOb?nyE*P~CHr)JgjJP9hy8m~X!T!h&woSyTnrG^qy1|u!+hT5yz9Xv8zX}HR zcfksl*C%O<etB*Fl&N6;LYK3>5Y1h!wCPjwr&wuI7cH{>nf)uK<^OiGSIa!mz;NI? z$Siy~61linL$HNFBrZhpOT=Aj=VKa|c31;g|5d)u$ip&`^+sM<dDmb3Iqm62(D-!w z)@xKm+9e3%fl&4Gd3*eFLO%XJ!}X=Po@2ZC!!1%D-%&e+IB)UN<{-igGwpdiNQ$ZF z<*G@WfvCuOw{*hQY6G`k*2jL3i?K%Pd!@N$1rg^hURpjPBK39d=i2?TA82Z|zPk|i z${=Wc0ey)KXz|kSfE=kWD?%ThepTz+im+FPD*gt27kC>XB5fnWk^1tWkNso&_~gdx z?@olNFC8nF`Yqk<;Yw~A)AwPG%=cQWpSEYEEgt670<V0Z)<12}dQ|$ne2bBfKNP*i z>(UWKMD}BJ#JKQ*nAeZ`2zzsxI`%-{9~jWWwsRpd-Kv-2*bycpvMFL3W2HWBAJ%!E zzV@(1Mx3{J`aIcVWc+`^o;Ar+Z0I+~fkV{|^P4Ad{E6-^Zu;Sv8rchxj@iR%VjTPu zj$D3h56hWcvpDX<;pzy-%%o}&`V&q2YUa21$kNS2`_{;X_Og+S1B=%bL~smwjG_JO zPk7xhXW)Nk=89vzIac{_9N>QALt|JA$?Z!TJ67xj7gENtg2y<<bNiBg!~tSR93}F1 zI`i?ELe3QziOa=8(b&@=-#W?Mhgt3c@o{m#_?&o9d|fOP-xH6E<>C)wZ9BPo7*N{l zHYQOo-&vsCMsk|u?vndT&XJra+1RzBys?u5uT{FShl6~Z^4+QUHIj`T9MbQXZ0z1p zKVODmI}R%Uo08v=d|dL^;wi<`bvDa!M`nLwNyx^o4RSNdyvxP(_L6z0nE3{Y#%>Mr zWXXJqh3UK#ORgeO-q^81-XNKGiJ5Nf(2!1#(3E+Dl=%-xep&Kcl8;ILgXBL+{)=Sv z$h?2dV-Vj%hDt-IG~}^>@#gLa*hO-Nm?`pZ4)d9L3l5PyLgbw}rt^&%a+>%Hah_Np zt`vDLV}3KAK{KDhO^Uxyd`Nsm+$+8yzAPRV%fydF9=BP~pG7mjA)l0dTKtFTy(e9V z^8)!2L^IDJr%LW9n)#0So|5~B1H@dBujo+UIFaWG%G1Sb#QEaCvJbsS`HIC2B3;o_ zuh~Dq-$*w53FO~OHv0+WLz3ST&HjS;k0k#={IlrUiQ=VUQ_pG9>_;efPI5HoA!M^Z zf%PRf7Tbtse?oe?<R0S1Vt+9t4iQI*#(o^-CrX|w&JnK_ZxC-1Zx!zli^MhJX0cS{ zLksrjVexU%?5Bu-R`LttA<;b5LHawA-xtk(i}=qZSBU>5ekYz4ZJd``f0P(6CW@XN z`vsC)i=G{6n&fUGUjw7QTrp1^E{+qg7H5jT5EqHY-W__DN?suvyLZIjBbiSESl<S* zRJ>o@E%Gq|^F1X#D;^Yiy~6aj#COC`#1mq<_!rUG&7<7+l5HFqsV7Q|7wI>L@#Zcs z$m?Ost;M!tSFyX8C1#6*#mmIe;#hH#I8FS8I8Ur?Pr5?!w~P0RCE^xwyZ9S%m-x8& zr1-4(f>`_8aPKJoeen<CXJWbN*_HBX9mmPDD?KOKK^)`p+L~cq5#Icb+)U()h|Jen z>@M~a`-wT?P|>qH9V>Z)I76HxE)es@o5f{fp?J5rR@^9V7atIJiI0i<#b?Bq#n;5Q z#rH(duJu#NUx{Cf-->6%e~3Q(c;-08iS@)JF<HD&>>#F#J;aN}{$fZRB90QT6eo*( zn#%e;JK5_bFBbWLmFc&NcZfye8nL#0?GDB77M~D*Cz_{L(EFm~GVz%BvFO>^@=aE@ z;~Vi`+1EC~Zv^IVF7nk^%AQ?q56PKge{rBVM7&%aBaRa%i_=BEOH2JXinoe)i1&&m z;%2c_{EfIvtZh&GlHy+#-xA*uKNkNeR*HWW&xlo`gCG2C??1DrZK?EBv8&i!yjbin z^5tRbyHcDc&JyQ~i^N;SJH!%ky;v&VFFqmuPJBsxReVc)NBoocrTDFQMm#4v_%Y9R z^9^RQsaV@SmhUt(y_eWu94PWFXVZUilIYpRUL$$Fc%$gq#qzyqmcLhAFM9T{_e=hb z_?Y;F_>%al_?GyN=-I{oQSz5!rTDFQM&vu#Y>#IT>)F9JSG;Ejd!giXv4`l{!S<Kz z*}>*X9wUwur;0PhYsKrt#o`k2HgT0$B(4!XyVz364~Y+pzZIVn4~PfFw?xlQ_9MxE z5Wf^F#nWO~v~iDt;}<2yi;3a|VoR~D*iqz5?=0U}%oPWV!$r@I_G-yf#M$CpF<<oT zYL`jARlHj)5<R=x&5|DwcZiRPPl!*8yvNFRdv><3OFk-kcDKhRe=3%Xe-TfMVUa(0 zsn;(ii1o!JF<GRQ0Q0Ad{NfPhZ1ECts5nZzQk*8v66c8v#iim3@lNqq;#zT|_-pY& zk!A~Q&wlZF@g?ys@g4Dm___Fv_&3p?;OYyA^~8o^P;4W16MKq%#R1~w;z)6_I9;Tj z1>3(=yiHss7Kv-b&0?vzL)<MsA^uK$PJB^(O*|sfu!Hq~Dt;w?Eq*JW5zmPZ?(MN$ zoLEn6A~qLWi5H5U#dNWkc(FK8yhNm>3F{dzP8Da0*NWGPi^V14O0iJ9S1b`Xi>2a2 z;=|(O;*;XD;tS$y;t}zf_<?vr{9L4|4EqxnaT$g$d%5?+8PA2p&jiD>Vj~jIQoQ?9 z_%?K}?ltD=!GCF=-aE5L=FhZGKhX)5;gH+Ipyu1q5ANBRvnmuUIdHPh%HZZEp_q^Z z>(%rv!zzXZHU#{YfvA+CP+7Oajs=Sf@ul0WefaOs`=)RJzIz+p*?jle{~*5B%&$Mr zc_M#r{laDiqYLqE<-B72_a`4K40OX6oAUw}+nFuOew@m*`uN6kAo+gSA&y#w?`3AK z!GC|=u~muPe3`ILbXp<Lr$<vZJy`i-RCMKw(ZLOgCmp+aakH`>g_oxIyzlW2F5KH; zZ_lu=e-6LN+dH;wL171e?YYjrLVr)h<lUpMJ$KzW(tPc?>sEZvI6A5_5T8>P+!R%r zW8a-Js3HdM&*O`*QQ0R4-g;My-T3BmDZcpJ_tr7^qVeL{1Ngn?)clkua$X4gEPsXL zk2#eXWuL0+Yf&~~Z{zYLD+k}N_N4|cdLjqk5zezuHSr~uH7;*p`LIGq+!%}s^2GVN zBRx5AQJ~usIsbL^Z`Qs+&o{$&kfR2c#h>!~GFz<7&3t}+4!-u>%5Ifc5#yMzJ-32w zzK!oM^J~w3e(f2uHI)trPWHIf>PWl)-m~ww*5<SvbU17!zE~OMpEf9|Y;sCgp*v34 zGD8b6PQAjudgiOxadpa;xL?H{y1&rhYiz+?$-X|{9gVNdjh|Gp3154L?WvP}a@~qq zAv=h#IA1)4Uwh69^xB?dS6FtFvfg`rjL-676UzGVYtKgZrK6`8Z|6v4;hUlO+H-v6 z!T9F*+Vj-1!{25{J)6`1`M&0B&wcT==l51j3t4ULR%qijzerh}vNOk5Vf&Ktt><og z8OLuu@61WYx1N*nmF6~#$(&ele=_5~d*`v7%(9m&W9km8m>7Md^|!s~fn&F_E?k(h zHwWK(9#35fZ}VHvt{n5WzV$r*>d6gT?9Dmz&U3l1R$?!$yu@$5^xWDpGYj=}a=-M< zP;^<4U&ih<wP2<B()00fK|!6$fX@f}Sy(C8IT9|!H;M0t?f;w=DO=MvcioVVuh~v7 z`q~O~52V*`)^bf!Nz<ZM#mB=F3Q{&Fl@}yhovf1|F1OlP7al2(&OK7@!)jsm=N=Cq zC`y6VZQ}N*%}?Q=@zh!0@TboDgHN4}&OT5PmHT3af6%K{FW+yqZWhX^h_z70#+Qyy zI2#XFz5HpN;=t<T<pKL}MNCX~MO~!&kZNtWH@;f+?yuvDV^{A#YbEYK>pSs6Mcqq| zm&e-KMu&wj*+xObK|7&19vb3z4XLnVp?jAPmbCGEUadNK!I5y*p1Me@yX)18`1m0e zHqw2&j)#*@91kb&Ib8K_<&p59J&DMXXm9;0=x6<htBzfDB%HUs0qTw3zW=QMz~PG6 zyyN8!pg#)btzBqo)Lo&MtB%DT36I{>2&Eh4+WiJqSbmO7ol}82wEkUo`i94jlqaVi z4=*{<bhA@gH_&Qx^G)!|;I}$nR@NkB_t~1#0N+N=3<s8E;>*aH*vqm{o+~(B9=$60 z#PM=}>ioh_3lCJ?k%~U09xu02U#+?eVGCg&!oNb8T)xV8k*|l{ujTi5ooV^~uTHY$ zZ^OO=`@+#DUah*jy7b|yg6h(~iw;*UPjyQzucDrU#}8NiYSl|e(SudiM*n7d{YWZ0 z9`<iP9-dsl@jM*&QQTK?gDQp$7*_FNcN<?!<~N07Hs(|e&KX!SV4&~Ydj4h`4^~9w zG~IeBjzQTKL$fW!@$13|E3BMBAsj<`3{7de_ELOPJ!ws|l4YmM9!=fabz|D5udGH% z2}u{M>ALnf<|X!Ie2F}<;QF%Qmf(i;jne{nqK5Az=QYElnzhlZ18b~}{9^n)1zoqL zZ|xcOcfwbZFUApd3BHCLkFTZ&u-37AzgTtas+`c!P<-fB^qof}Km1_iKk-W(ef?ka zYs)AT&#x`NiBV>nopk`?(xNOq)FFgCTaf4ObbM(UUt7Kzd3Pi4GwJ%;@@rLvsjp*; z97fq!s%}e-Zjk_g5T73Hy2ZC4u<^pA=q<^6yA;+bd>I<wjyoQnThMhwPQ}21{!JJW zyM5Sq!EMQ-Qo61^f)szr+M^emuPwI-Vf*b$EBsU8t5vt};%vs&-t|h=@?ASlMPq(p z_Lf_#Fh>wqu<DhnTam|Fb;sk_Zo3K}Zvy`tWuXs4KYY=3Yx+j3wUzqxml%s!tZ~ed zzL^?0hpG8@Us$QLQZo7NW_x$oO5Yv!VfAB;PLIcVlHXju`H|jx8y1WzC@FXcUs_&_ zZ#XY}#FHB?AGN$>`8&&b{yTki#-Fnap(Xnk{Pzui8vp(23sZh5Obp>0*U%rG4V_Uq zWBKrH@Y5gfp0c-LVJDn}=N1$f?kso*=ikbLz(sau|I<fyH!AF0FrsiyK}q4Rf@6hW z7t~+XVR;nJyJJ>eR#39)t^)U*YeV1Rg0GJ5`y5BNqF`}cC>r+u=gxfdblS!Xia-2f zTBvjA-OsHq%TBj@WO^twH1N;X^L*}%+xJ-f_GkESUy6Ud@qi@Tjb(~i@CD|4J~#2l z(EJ>h@@O{@C_<`Zp72~W96=b(8$)?t%ri_VW!_<PnBb41-%jUq#tgsN#Kb(zJh=L@ zd^g_1|AD9_jOowt;tu#<V?%Eq64?RTZNOZ<8{zl+*oJ_g)(cVBvE(#67l^u(;o0^? zhVMe6bFIAs;b{MB_#b2Q0h0N2GoBUVo(UJ5mX!g#3}V?={00BJU=2LYd{-_&a2CZ2 z@y{O1)Q2dxLgn^2rk=oBhfk;NtC$L-_y8~4?D3SEKuMj8C{Az_1MYX8Cw_{!8Ay!n zPDMssj8JT5wU~_u0k|IZQhA?0juz>Y-bLI;MuJ7Uk$4X~juz=g;vMO@Un1vZ=A3LK z<TTPENU=9k=@ho-c8VL>(Ww+^w-Wmj#c32LU{qo|utC!)($ih+HU#V$6nkOt;`kxw znUo$h629X+ixQo-#lsAKHU}sUX7DD^xDFKDj~G`>K<{EZv9>wPb{JyZ6w98=&2%Gv zCC5#bz@Op?h_v|Zxb9mB*f-cLat;Y~`GSCC`m(BrK6rAyCN*IzfmiJ9FsOC+m^zIH zj*hEwj*%~f23MmiUkBMrNXNe^)g`YpIXRMUNmqWhx}+=fcdV6gDgN;ZlACj}DcKc$ zaqDp99LR2~UD<858@3X^K<Nss^grsh{AQzN47HfCaOK(5V#dOit%S*_yCvp{o2#Iv zl*#4FH&@r~%5L3m7%#Y>G@m<NO)X~BZZ}$fRb96$|C+MV>dGHMwi1(2Djn<nENxnP zmnq#4`@EammD@sg`|rwb8{9A)EkG50gt{8;F&cVTYjEYfY7MUJYH-6=;vkg16l+Uv zG1H48qh%7cn0C4HLTWL+aAhl@2z5`X-p*@lN|{`)d|P$huI$$BhOLAh&~SgXhV@3n z2G(mdxbm)Q4X*5JaKl#OVzhiERz8PuTffz4d5&64>s|S1wN_U?2iZ!bH`g`nJAU4} zrSCVTyVUbayRy+{+T_aFNVgIWvHvV->e*3K(&TjIQON0TJy&*H?}n{}6VOmuUH4I= zVP<vRu6!G1qtTT&Ku&0nee__hf=qCm;%3|h*{VMlIo+;BsCx@3wkyBJTvkFMvR#iO zn49&OX~|dB3SBuS(QAn-H;0_S7ZCW+B(k8%XzFk?c0op~K7Q4mmy5;S_PV+9n9E8C z;wZ+aC9c8-z6FT921)gdLRZG?U{J=>5<kn%53-MNU?EohUG_%M;Y{Xt*?F{V{1^VC z9`yR(b^g%=C*wYG7e~?cMsz(Z&iLSnss6}0+<a6!`LK~CaK4+5IuRm|>*u@qh=Uk_ za=H14uMsgG-gnmN{3GIv?)7R!Oyru6w43j;<9)gR;8)ps9ROz{`!VD-OKT3MHJoUT zbl1ZLL*1|<fndQO`wVGfN{%2#<ERaD^sBHTSrow<4I?t80kOzhy>vzh#@&Qh@yODe zVk09f{-@qRn4d;(WJgo;D1r@UbaiD2m@fgqB9TvaKf^AEbU*1@o{k}mGhjsXA!9$R z%)&lN9S$(=LRAl8jWup!-MC|rc@m4ZhL`w9l=vCuX#wBv{9q{qJU&Om;go-TiJ#%u zy~HvE{AiTP$pGdYa*izVk1FvqoZ}@j5Q<1-nB6fY8=wQ(SR)%nnNO5GZ4A$>m4}`F z2Ueqm0UvtoHI!kFhDl_A!x34-e>WsNGt&RJHzW-6NKHpKBuBujh=X8!UL%cc6wytF zX>ZJO<j9CLhRw5jq_HQh(LUxQ)3HWdV@v$wO8g9)XU9n6rv0Dv;~Q+sMAKGx(=t39 zD+Z-(DFb|I#Onyd+O%a$%OLQL43m?AFjjA4Fr19$n?wd^eC#DMJl#uVfG<vXi41GI zmn~(lwTa4>{!hEc*IYK4Ho0A6_))BNt)<qP^fMjsec`_aI<zWfoV&S3m-xq&_#--Y zVktZ3w%r};UsN~Ol_Q#a!Zf!%HUN5kVkrY`yEl0MUGs0pR^SyP%yK!g_yP=qUI_%f zsmP4H5P}VN5x~|V=nWHsHDxAvWw?oX2?#aZpapK3H2`}JJ6A8`0c7MRj)>wbQ4g4? z(OxcW67P6pHWZID=<vQ}H3uAH>dr=)cn$)aWrT=Bj;9bKg#8W&ZXS}z9$3+p)qrcO zJRyuA-}Zx>kENwS1o%oUvhep7-)2LwBU;)6D=hw(mU5W*wh^rEy{!rB>o(YSJj@_S zaCbv)d`26At6aff%78mLk-`ACII{Umy@L>&`6Sx>3|0&k`^iHKw0fHyL9f%yT(eEa zviq~KqQS&mGc5R~IRgt^!C%V2VpqU7%@J6E6(x26kGer(A66Lf`;3wO?_I%P$^c)e zHy=c2;1jGU?45nspqjH*^&~+DthQK@93a!MqH_cvUBJu1(ozQat~rDyr3~b`!cC<N zjKzu)`++hyNbucQ<o8vd{a69w%)-;FyRon2#^!sVn4~q`yt1a7H%4~zMz@<~rkgiL zmongX^GbHDAJ&L{hPAL&@Ln(o^Frs!0N++~cYtv<b7T|`J>1Z2CO0zQ34+}`H}c<1 za1_zrjOiGj##v!xpW31(ckr&L8N3^O5rYRmM;yH2Sm72Sx|9KT@UEyCyeklC7B-o) zhIRb2`l!M4n!xZptjM2Db<cTeaFxLDVXWv7;cgpzDx5nVU!KPZaXYNT%1_Fp1cUBA zIEMQmw4%-M$H4$^-oTNPUCMyFcP{jqy^}BAM#13+oH#J(?yRG^vo28zR5Q3AE4CE- zcc&TB(cJi7o8T2Sn{o`dC@)kZw(3}IN?dtyi}E;(1I+Ga47`aIP7`*U@5fW%R)wD) zf**LtSPkbl=o#k5#$keZ5i4|ju#|yMutLWNOZgpp3cQGd4nl{v4<gS`yO(*pyiwup z^QKMSc8j=5<Pqv2tkB5W_klTBjU?P-`W0=_Hoj<xZ9=$5Avn=sLl<D>g#ah08ttBZ zP9p*Zh|U;9RK8~!18f@NiA)n5L9mTfL*|+2QG{k&Ha53e*vtc1eV7s?&rk^a$s!Y8 zY-nElg!?s;2VbAHn0Etf>+nVBh>p<Vqb}))j?l5x)4_9*PLh#Pwa!><Eno1hgVwI` zWw*(0TS~DEE3Wtn?)VX@-f;j?i&el=PhI=4BFU#DitGT6bcA$ysS&zvs-=s$UpECu z5-R9du84w5exe||j*|+Zg3&)NxU^P5UbX95!RrvCYK>RK)q$6bQMC#(s#Za6I`{Zl zeRaa9WUM&85Zs7$V4<jXdD|3GwFjC7N1K8Z2^I84HKJhcQDwneO=VQAOO|v*)oLoE zYULV^C|w+RBf+Rz1sPRqBzU1&t01FlsV}P5RejQBl=|vTgowV@o(K`Sy{#XSyY|-q ziR-(X7F2IB=Bm}sJviFDVh%hb2_7@CColGf<YCCqndH%tQIDIb>Pt!HV##<MUT~4! z|4fIrXKFnCco)qHJih)onbm$~^o=Ccg6d-lYd>U?t6T6Q#LrC>Pk&~45+X~Yj<TPq zgG!Wq;wO^%%CCFCrxB7_lJOs>D=Zi@Ej%ZA3lA2wFyKzg{mfe!$D_tGSa}femdH4k z@fMc-0xJ)7-0i%@gi$pw<vGW_B^}{GSh*VvkBDb@CRR>XPDgKvj9ZNOl~_45yv3Um z4<U{}jl6{$Eg$PmSeIhG9qXM~@5Wk$bq&@nShr!l59>o%ISqDW<?Qel>RE_2Qcoe| zNIe@O@-r{%WggbYw4bWS)9<x$1@irzHgcjI#_BCBe*@Oq<(ZGO##@+&efVd6XI{=r zZ{dlWw?kjY`X*MsUCwD1xkS#Vo3ZVE)>laW-|hpb=7aFKgj|$A9nK}vW^-Sn$BApF z<j;m=CVG_Fzno7j+i8;J{37TyNy}+L2Pko4o$K*GEu(ASzHlGoJijc<dBGZD#HW@8 zomcT~&8L>7Auh<c-p(_~@UoSL+)PF^iZELme9SnBGsk!8-w7^mI;Gy@)J+@jGz>b| zra2AMoV%8db;8ymr*N6CtL@wdH$+u%WK(Dd-gClBg9v0TQ^93$>f@YPn(y2Xk2eXv zRNE;-&L`|`&JkpM!cOad$(&AeT0r^+QdVGde^d##K~2(TcTRWq!{gA$_BYAhoL8`q zFUlI}EFRLc`^u6|BX4qUn(4&NeCF4kMn2j(eIlj!ncdN#6=+!S@~+OU=;nhc{yx0w z)D2$H-PsIJI$!&;cJ^;E6uxxUz^TkBU(i`R_OebxXE|$c84JHV!JNL%FVmc+SsA^% zbnBGSBBRq~Se?UgE3?lIUfj)jZRu|3Np$3O^kF~N_09^Xe93O-*We%FN#{W)ZRp^; zFPzeC=-|+W9kOp--nC2DT%@Eq4>@VhM@xdvV@|Le9NXm3YtU6`PTSycXLQi%9F&}% z=G?bzNdFdjXweX-(wEjHy>oi!egmBRpp!MEqq7f{#?Hh>hKHmvS)KaRpU_8W-gZ0f zvR18HAsj?F*dO5*5wbHjt8d?-s3XU@4de2iHRLjuybasB3fr9g&I(dnCk$blbJl{V zA}<<So7pfWg3eAP)*I5onVRL~XW^Tu^0PB(65PUcpLD5(DciFLe8?yw?>r|v3r0;_ zI3<7DY<fO%L)|QTP%$Oa(z=G`%*~&%aL&A0q3h>O%bzoU-lDG6Uq!CjH?GUL2o)?C zc748OoUBy4Ub(J&1`?{h@3@Xu2G8r}dG9e9tCLahPdVo?4bO1f-|au_pC^CH0(uep zx7_rc*Y28rpBH^y&+ZribS$GMM+jq_LFs(&Ig=)h%pK*r%JE$PFlRRn28X5gpqq_k zv{a9^3H(#{HdHWk&fK|^u&pf5BhG@s^D?X{)299Jb#@bvbIds`w&hz=H$DL`HP$RV zBjwa>v$D=@f!ky5h%Ss;<-gOp%l9jIyK$ej{7?MdESxd7md_jS3*Z0G-;IWxH(9u} z!^(?G?*gy~BO?|sUpnF#_AVrtFOLPaco%S;A>-YR>LokF)!!5`%)^z%hGO+}BWM*M z@)&Lkc#D^I2_ho(T}pk(M}2IIr;oohyfO${qZ4uU8WG_I=hVtKw?3{ik=I+id@~Rc zSuZ`{7a%X|WxQ7}f3J9D5VR^<Syqq%EnZqa<VbyGgYi5Bany%bQ>yjdg|Jr!L5ttt zpubsf@zU-<M5MkG&{u?wNPT>z!fPLWYItQ3w61DnS<AeQ9g(&X;YfW8F00vYd@Ang z+o>{~4_rQM2Rofw?PGoWu##TBCLMpCUz|IT?_!km7O(9`5#fcIb`bfpyi6e5$K?pt z$bKwFKfG~ngfy=o*p$_C9zhFl>6-hLCX9bxU1UV}tV3NbD;tqXh{vn_Mj!RF&WK^P z0vYG~?eX*>+j&c5{C~nP4*OWMc%$ORIMsWvCr9kSh;q&wIm(=wHH+iET2&q4SezL( z3;ot`{&76q<^0w-R&ALhShLU%PIHJH6P^#~=LValdNF!ypIqVXvzE^r?^u7{S@Z{J ziRJ4GpbxrvF7jbV;XEK4i_J)M`$7_#csyfzcaeA0DGv}s;wbSd(fANSzPXaG6M2`B z<!=>>#I+*#FQ)Gie<$*mI^$m!Ul-pKKNG(b*<T-j6=E<+KZ!|NmxLZ38!5Mt+)i?5 z$vq`sEIC*55Xoc3sfsr*dqVH^ieIMqm6CrYxkU0-$@fX7uWh#H_aa}Vq5Pg`UI&Hz zjpQ>V>a($PG9RA{P)?L=d~-l<DVfg)n4Tt??^06cv5V!0isQujVm^s{OC=j$8<6jj zyh$`ZZ=h#4Wz3)7lE`;J>Bi>`<TA<cD*aQ@_{u=JGm?Ecn6O<jB+50AY<y!tHa;<s zZamDRT!!*xDWCC)fqcdn2J($i`UIs<B~i|NOC9;lH`I~O+zo(yyYk(oa_hwhNX(}l z;$Fq`t`pn)g5<-Jk4pXniTb{j%)3m?e@3zohbq%w67@F}gCy!}BX&`ICW-QWCG)Nn zW#gj)<;O~%NTU2y@fwo-Rr<}6jV}t6zf1CZkvA>b?nfl=Cy~$ioPeG~l8-3;L-7j| z^;U@AD*hiN${Sx2C|?(OC^sY_2T9b|L2?hJ_m(_J@?gnh#Hl2=r)1tWqF&=00(yCe zit=4bUoSpDV%;I`Rs1s~^1mRNcdjU#Z<<5jCz3xSv3?=`l|=gYB=Vn=9E$@lW#i)k z>CGhvN%ogSd=JI<mOM!EV98^|sU-U=`34gDZ<c(!<hvxVlf0Qkxd#;gnBw<IeqQp+ zB=Yet8r$`*;y;r7XUTj-$9&%@9?$YjJEBOGOO)JLa!~SxB+8{J-hAU6?KjWLP|keY z9P%*b8?Aiio92i&z88>hKI0+ZpnS`ekB>PSf3IYIHi+AakL}qmE|f7H4bAxwGS9J$ z=k*O~?nZ-MB;&Hi#N%?<kk??$7ZQhvBShY*XZkGh8gZd`gLsR$Tr3pt7E8qS;zQ!Y z;$HE$;`8E5qB&op9dAlLA$~4aihmW)h*hGG=Npud7MqC8#nxh5(etI%O)^a-SiY}l z&Zm$EOCBM5zO`mZo+JKJyhU6kdcL#x0G;|bi4Tbni%*EZ6Ay?7#WL}jcwGEcq)i6( zpAx?p`SAqC*X4N&Y#^qHt;KGl@im8ho-eF{iXSF=zOW`po-FdAKK1iyK6$t3`MTO7 zdAqnnH0NXF=NkYl_l$T@JR}|yKM+rdpNl8O)8Y>zFZ!uBAjXSHVzSsqH0NvN&z3w$ z94+#71L~VD&K9o|uNRk#w~6L!G$^-P@@CQVO|?t%W8!|%oVQW#kYsb-hWxJN55+%= zo-ZnM{zg8}7u7kX;|si|{j@=0KWJ4z*7h;gU-1LQE5-5REYX~=Q9fTXpCnNqpC*xo zBA+Hv-XQ*3d{E>QCZ_YTHu;?RqWF>M`G%q$0rPpjp-xIZEt>N;(qphPpE-|%4J0=c zTZnDM_M+zt>SD<`Vo1D993j&Bg!N1pX;VV^M$w$#5$2OC#;+FFiKQYf44BR*X5^#d z@5HCYgW@5P_CCyS&iml!lD`toc^~0#C7bg<!vByQ!}BA;p06kK?i1uDif<vd5<7}% z;zgplE<m|#$>#b1@=(dc#IfQ8afUcYTqv6B29#eW+4J>et{V`4uj0-11LRGTe=R;J z?iTlm{MZfq%a`}am&8{^KAB_u+hT2>PhTnCTwfrcxxN5B-%sW`1F~P|^*FJfm?S2P z=6VD9Jzr4W6yH<qD-ICN^#}4_CV7H5S)47-6>Ix|Dp34N@gA{Q+$3%j9~5_rd&GU> zv*HUPEzCH6?}~gakMareOVRTM^^N4eiRVNI_X1chUQ865i7mu7VtbJ$Z7k>cg36H` z5-%4=isQtq#p&W~ae<gG-YhN?Zx`<r`En!cUn|n6j`B`%kGM~KR(wHxU3^n~U;J47 zO#DKmnI83@6>VHwQjQX7ut&L>c%j%q%n&aU2Z*_1o;X|_CtfX17iWu$M9-JhQpqdC z+CHT=D1M9hptw`qC+-)Y7d_unZ%Te!{7^hDelC6`{#EpROMNf-A0jOpIbQX}Br#d^ zd`oqZ+*9l$4iIz25u)c?YJ%j+;vCWQEp?ORrDAQLQmYlePV{_9-7k5!xJUGSNj)o> zCYtPDnfSi=vG|$zh4>fol<4`A`a!biOR5fjGnsJ{8;dDoYq5jaMeHf|5eJB#Z>c=V zo^PqKk|&7M#91P(PT7u|MbDSi9g^=AOGM9?RH@|Oh`YqvKBQh%{2Sss;``zs#XpIa z;$KDDyRv-_e%O(;cO{#Mv|gp$LCh3AUr_@kd%mKskbIR$Ygd-PUR)^_iuZ~o;(g*n zB5hz<{sr-ncv$>c{G;gkdiq|njo+{=A0;*tlSEq1GQGQ)BZfrU#4>%HNSj#7b46Oa zQeGy~j+OFik#?+<9~3=bPJ1LjFTNzw&XxK9ApTh_7sKKYA}wE;zo|&eSIQkl+O<;d zE7Go&@^FzBtCXjSv{<ElgGgIc%Bw_Lno`~(($bXjV<N3SDZePvhLrNVqUS5=E6KDe zWx9h$1Y`rTiAbwb#%GAMBBeY?q^&6BD@9s@QvQW_op`-S%TT7T5@`TRd5cH`P|A;q zH1(u>M5KKu<*!9J-!SqSk!GAsj}vLINjXKNStsQTkp`TUhl{k@q&#25<()ASgJ|Lb zL;Soj#A((L)7`N4{g|NQ+lc9653%<BnM)O)Ck_`!i<8Ca;%srQxL8~w7KkgwB5{ql zLEIwl5O<4v#C_s^@fq=ucvvhGkBKM5&&99Auf>z%X)!GRAfESJ1luK``xx<JqS#0@ z&r6UmS#o>PJTF0fx@4M}a64y;Sz@+0NW4_c6V3A!l$#)VvN&CwEzT7ei234Tafw(c z-Ypi1Ys3xW7IC}yfVe~4E$$KbiTlN8#6#j?u}nNBejt7#o)AA5Pl~6-u=s;$>3)k} zG+sq8Uh$I6b05gGcINm8Mf2PTa(l_0#dNWUm@N(x&2u#B<9xv}AW8EEKHPeDH$K_i zfB({lTd&M+nI`Mx*k!qSA=^fWwAgq9rbfcOt#&5iM?T!{fe*LBP#ySin|o`W%}qlS zQ?>-6LcIn>t+7tdzjZx4N5R+70r-%ynx3_j;YB8~=~-VE{m9r^P0u><twPg6{*$)9 zPfK`{fuE`=Pb}HH8l{p78l1KBCg8s>egpnHdHz116a>24y)P*H>GV&K%O9V#yusP1 zyb1Up9lrtpWAXxhK3SgF-Pik1N24|;ZTd~+tuemJmwa_L)<5Z3{-Ty;7l-_zhG*?M z{V$l3@^nt?urCf?h2Vv&^IpcfG4W+dq57f3v-V*4bQ_BJyn!jB3+_yQI_I0CR<K*B z>Be(s{&BA9rb!hWEMH~FKd5Z&S!+SNg0*Mu^lA9-OZ;`gB`IsqI_Z5ve=2})o|q0T z{O~R}^@(MBi;=TsLGf98!6f|mEhxo*XMsQCkL+!q3(9^v{g24yU(j-S@!6;alkh)! zK`H*nEC^)$k-hDM-c}Yw`700jlTJEusb!r)<pa^~xTwmwnAV|NlH7hp(W6^g2lh0s zR!_&Hr{hb`+QSDXk5Nzkl|%h|0`1Eh8Lw<3R<sJ)7Y=}rwVadz^vafT8+@ik`I?mV z+3RC`mKU2)78~+p-$nGwc3Y0Tve~DOvW-nnI?>b14t|?m_sQJ$&p#Qm(>CD0_06ai zsUi58YYh)<adl6f>vdhq!rYh&UrY=5W$Q)1Y;nHUcJGC`E#Q}}Mab6;p7!E=y~h^p zN@m=5@7$2vyX?)%=$OTUkYzn#xAs?F?jM)}&q?tsat4L{Z#We`r|#RSdws24Iq-HW zw7_HA8wbPw1IaB`<oxZO-{rhgY1>hip(uD}>um+=hA=;TtZCfd=6f%vzrVO>j4xX= zyI^awuV46Rovne&DBC`DE|W9jNVt7L9K5oXFOS;NWNXsqrrUf)Us@eoeAp~zy|WtL z3*!RCQ5!xAT~Lla8s79aFHhQP!4qOE<_Wy^UBLFXuCiZiQ)Rz&u)^nST~+_ZiJ>dt z$L~OezplN`YMWM7e+qp2&23W^y)jr7-94?UNo)A|IxqoV0O6-A(7vik{h6Ua&%i~$ zKV{XMTNd*K^ezcj#cXU-71O<aRnykc7Ef(yRZW{hQ!?s;CL5aSBh6@u?_5>?I#-7s zJEZJLIBCzZko0VTo{LeF6|8C+gs#r;4@X_~p)0FRm9w&SmGe|uRYME9mb_ZwLyH<V zd^i*j&vi$_)`6?Sf$^=YqH@|*MQv_h)i~hxEymxbs&U3uVgCfgcL-KRJpp-zS`*W* zs&Rd*G|=;Rr|kGxc#V4MD7>5Pfe*M7fem#l<6`~W9){OHEA5i9{FFxU$@XNZPdM;Q zpK$ctKG3-RlrO$**~(D3@R_hx@bIbVm<y@`8<VP{TPIaTb#M9o?Nv!tR{cjGe<&0c zYH;G2u+RE?VUsFrV?4?wR>ihXtnznHtct4d>lHY44u0D1ta|8i=K7(~*Xy}cb|4X& zT7G}q_so9*${}y}Cm%<t`X3w}R#D!p&bKj9lfJ+0?A!HUtMB_Z#<IVSiH?aLToFC> z<ND6GG5(y2rUNhZ`%0ZH*p7RmPA{`swQvU7{V{8^oMPYlWv9P*G;T}NtxdK?RUU+A z%9U|V*T!zce&ow9tFyVz28`;SNrAXZpPjS`{{HsZ>xWg`Q5PQ9{JzS%4m`1)aMt*W zqe|>8R_BRjSBKh#lIR&Nu1DB^0X(8*^?*mTn^F?1>{E%MhE>rG;qS}mzsTQX!4o&_ zMZP7-H<w=4kgs!7%s8gmnf0rD-CLA(2(=C&&lcpl8(!Al=mt+~$h(_5Gs3<J-QW+i zSyiBW^Q!2c$yG7a8e=RIS~P0m%ls7EF!4mxrnt(wwzDB=Z=J#hh16+n^ldWZV%cFk zt~kdkjyvUx+kP~`_*Y8}jSD4h`r=DF6bDayNgM1naiQ4Is?guR$VstM8yjC`sRh#t z?l1UL0gk#pt4sgW2ha0?X7}xX`sttYf!6o*(MSIrhqGEtXiMODxJ^OSn#Q3z#k~eC zJ6*gxY17B>QZ{x^&X><&uUd9`=OY(}GD5HX`CHx}HK$9z&1Z7<`w&)P<%5^V#n%qm zoDMw@=h)Gg8w6hGRYfMl7hT5xz9?RwIKG%EOn8yuVKbTFkD3Sgcs1e-Uu<GxhEWJa zWm5{o@B%^J$KWgUbvMgeX0ZdjNO1!G6(-WpOD9O%fG9o|^G~!pAjP;c^G~yL82${2 z{@M0;grog@=O@}vl`+kc-&faXUkky>K&HU_1^75`T^}P5#^-sf!`;1b9rJK4(d4mW z`cOp^smQNZ(Ii4ebr>q#u&W};RS|1{g<>}Qk8#EgR4z8}T*vV>C42a1_}>L<9G^<s zBiOcC6raUEdn8l&m^O~@_}HVET8TCOM*Oo!GZhZe;`joUJ%*R_naCE;-y`-F=IXvU zkie@odmN>kkeI-id0f#!sP5t(mVFh6s|)5p0-t=^<Eb{E;$zj~5s3BRaclxxP!}G@ z+<+gMIw8gt-=ui1TIA!Hy7XXnH5I;RBzQ2J$fmTx03?9$sm8al_(UQB86>Wz7+WpA zMiJMOO0_VGb?K^YGK=;y5*@Hny4FZ=)n=soDH&I7OnV=a@l#|^VS^e3Aaa}9Q#olS zQ{)q4S6oDKX|>2($qBbsiyI*({ufH|Q6m?-wS5nQ^^=fgHxlc|BXEELUag)$ph0_N zYuE-7KP8~z3s7b_i>KOrh-iv8z}-$$ye$JP9zvi2cO+AM1|(c#Z^yrA40z*i2-xk+ zEMJVI#xK>#yrJ8eZ+j{IVHQo~uCN%j?Pta=b}p3EACI`#kXoM;mG@`s4`eO`8k|73 zhJ01+?<{RK3Lv<wy5b(D;zVpLw_;aLrEDs8<zC#Frs6_WoDL0kZ<~)3O~qFr)l~co z23RrmH5iM4srXKocE_8KY71DrpZ#|h=Xh^rDULVqtC|7h4b%qwHD(6vuQkQjp*VkK z+1Yj$rkg45;I5x3-h=^;H}88k2z$kcKvLs3p?HwPnPc-EA=CJYEX7f~jsZ5ln8hze zHq&@I!pEpBfc#sOaW~f`X4Lj0$sILU=6y?d)LfbOE8S6h7!_||#d-E=ui|f!YATM7 zSH;xV;DA?gTSVjDWhU}xV@<(M<W|1GRL=Qc=OyH@UD;~%6-shPbaP#2a&b3sbGb5p z+o37HsLr*><l^q&=5l4L$rY$^0@gLvITxCo+>hLxu52}#haCA>>CC~c`9YJj51Q}h zbY-h)BF2^n&Lp_(x&i-<%dRAQxSftiD0Tu`@2AVI=C7ku&A;M59<0#8pLE$Je_X~5 zm(vFRxcbG>Tc%$@v@`0Tx$JV?Xtj$U+%OpD`RbzkE#up(PNZM0*njHZD=xyJ7y1+* zA!52FNQoSJ(REjZe=oZ3l8E`y!p>+o(jF5%Hf~%LTT?&E%4q0=&Ia`Sg<04`awE?Z zSmT-|GGCJ#=U+{0ynZF!xdJvPvBf!PPP6K6M74|O-R|a57X6r+1-qd|PX#2jG0da8 zIoZMM*9QpJENu~lsXxJ|wXSn76T-n634Aomv*eGxezi6wM-Y531a2Yjg8~*skoevN zM-bG4*jOn;5T&XYUeCY*7~!>HWGO>FQ*0#Rwc&gRV0@7oP9X@B-zv@{D@@I!2-Xho z9(gsb80B?|(I{BG<e?Xc^V3Gvyg7g{xx#20UkDVrL3Y$Un???=cyk1(4jKaFXsqzS z6J5%{M67Tt!~239esq?ozzUxrOG}%hX4M|xyPB_H#n=;{nc#$oHU%c4_L-(3BiSns zDl3IDv+zQ)IaYRs%)$zH2t*E6c;|^OWgyRu=Q~!%+~8@T3HDm#=2!jrB|QXre2K+s zyxQ;$pUGHl>negL58E2gHuG8o9!H3`u{zdGi%Z#fzB`KeXbfZ$Rs@O8SRL!erKKET zzIF*M?ALVDuMrVr%^~M_qekLU6C6$OEd}F}h=Ipl!C%S%-&-*KWZ*@t=tj-l;|4Jc zHxnl!hWZoWb2sP>6y_C&DTK9-b-lC3zO>DyZA)K)_$F4AL3Xon%X2S46yc8d7@{9m z44YHR02RRz2FLpuH%L$yrpdC>nhDCc4b8%<a#!(4&JcE=8=5(PFrB)R;MEtZ=B%zg z6_SyfjTPM|^0C6p$urA14`0Lz*DiT<?ZWVzSfPgCV4w&m&s5XW;cPT7MWf)L0{I!_ zKwzVB&iu`!+&~XD47yWjIH%C%Sfi{PScXBq?*`8*?8xh;1H;(?_uvq*fv!OPX6cMx zbH6~l2%b5Omlg(Sc@CE|dyLx}3fx67y9mB7<hiY3nC}H+pCdTmyd-aG8J*tvp)Rj_ zPIi7i5mCfxtY-K)-T4YNx<c?RY1^7exRZS}!8Rh6_3`x}6x_XxGn}Vt2>X`R%<<7| z$Of#ah~W5`ioAw!J94t3djt=Z*m-U#Wx$=lS8|h<VMP{#n-J5=F0C2hD-mUu$hqY8 zI`RO*q2_h4&q^;Pd5s2VJj6jodwVUjaPR%vbk^*)?kufwUqNk4RE*q)72AS1tY~jL zP@C6C7UNGI#*w_z!yZYPxBL+O&x)D12$4=cYKn~@KEO(CKUIudnpfax7*S@j-n^Ju zx0&rSl5o3r(_*iUEJa5a*jI?J-BL?fif>vWnoy}FUMW_<fk0h6eDX>X^Mqi>aB4gW z{KE};W%wfHY^*-(`o$!>7KKAWbY?Px``n}@q<I(8f?c#0w7@&Jm|bMI*;M0+B}n6i z0=WV!JkfZQlF@9q&T^hIbKyGGXsA9)<w2ZhqN;ZsUTDrVQPsy<M%`|rs`qYo<bY{; z&1UyHho-P&OvauNKug?(=@A>sEq-(L7KxayUI|8d(>5}W>QxJq&#Q%HA~vjB{O0N{ zn2%)5M^9tKM)jhYD?-U3h(7ClB@sPQa&=Fb<i^zu4&x%4&E)f%9kF|PjVMH(V^}f6 zBBRO>g~n9Z#%vL7RdRKQJuQ(tDB~g;!%EI;4AUOPiZS+T=5IwEOd_N9AsQ3g%-&Dj zaP{nEk%)%5$u)yWZ6{2Tno(k0t*yhT$XeVYHTy`7nwlY@iqC7QW}J>+UI!QzsoE`4 zqnha)n}`iS4PRl698K>2wf1>-+dHIqwME2LZ#x#PHPDQTY}84}7~$$sW%ko1u4dTy zlg~W}RPV;gs1|2zEI6NO;Q_&0W<v#cl>=D0j|7m74tFlcI<lsSIdc7+e9V(CU57yG zN|dfEBJ};-eALB#hB_KWlxH0G71qIX5Yv*dvW~0%jeNW~xE*U5)?--d&MSy@BG#!9 z`U;W8-GX^Oz{)&rlxOC@k<Z(oxL0sWw}+0-Sbu?aUWC4%o6p;GsEhNMy3(a<VT8V) zo6p-_sOu}N)YStkb<uRqTYhdn&VEj9?iDAoW<p06)|;>{jnL;!f945eWu6@6S@Cb= z8-(*#2<xR-dA9SG$hhGV@l220PkNzFpY=Urf2MOHxIuCM2G5%|_xkBGtZ98FELb>y z*1{>*2H{d;#-d>R#hE=jOqezA`e6S2`EwTqVI~}$aqZL@)2GjvKA}fiuVA<IjBeNU zm@swDya`Y>ch1zVv!+d>CzUSq=FgkaWyX}LbGjm5T6fjt)^~pT9MxXS7f3HyE8{YM z+WdtxCQZAx$D~DbuAT0>D5`c>gh8j_vfLG!V~E@py$5$iiCNBqpp%%E<1`AkbEXEJ zrondoXXjnz+?eKE5_Dd&hB(i{#Z2#DM!$ajoaYdH3I1uGg##Kls;l$M;Ez+BMk~fo zYZJ=o)TU!<tCn56^zBG@MeUu%X+2Z>^~<C3*q~DcUq@e9Sw?d)G@pd#B53{s&XAzf zQ(Y^`X*9DxoCyta{$ZtcJim@+L!7@Xo$0LeJR2Q{bDoFb*@#Yf9)d$2*R#<_@ND!D zJR9wlXQM`GSHZK<tH^uUJ_Lt8LFbSSpFs5N^Nu~%?{iMtX@1*TyL2YP-<t5crD@q6 zAywExq&%=R3*lpS+DHfwFHO4)(mN*K@}*-(K!5@!p;R|WUznr^mZqIoC?lfKkZavS zrq&?{SJ(P;%F_?haF+U?Rtu*}ON*Ry7`^2fgnNB-Fx2B(r{We2sSiGe{&Gv2Q{sao zqM>wu)GedMQ1~ROK;&lQw<!Z*77KQtk#5{JH3$yt*g7RWy?1}71e^LV*t{jn^2IFY zdw88Ya!Zl(tS`{Oc?s^Hp7&)9y|Q!awDh5aFHP;#&p2zk1Sx6G0kq`+l)vUn>*mxM z;#?hcQiIObWAXn&XKb1ScTE$AIQK4tBc`;LEuAZ~TF~K=^Ch}bB1cSaxW*BaWcXCd za+(L}&I!)6CIy{VY4qQ9eGrlDoEy@dX=%>9e4pbwR*H0$1J60#BOD%`=lEyp+-vAq z$aU@G`TwbL5=5Uqi{{LlH)DFxb&B*8eweB~`z#o=DBp5@Ed5)KiWZDoIBkG&`;_B) zQOc-s$;5`>X!9@qJn=9iXGxb_J|;LYXbxBAz?RW1y;rb39OTTHvS>z!;P?R}FYD?Z z{krs+)T_(Xg;VBDn;l#@<Aynl;6f^x(Yr_Y^oy=`hwi*lTQqzA!u;TQQS-0QPq*+d zga5kmUw7Bjl;<jG(VUxR<o|NP3|E~7$aprwzi!sdx$~!BtQStp$(u2++V@g*6=w9^ zYB?0H!zvyvr_G;t!zAPPp;wKwCF6>!o9n8HnW{Z1O`0_7vb+I<tm}HY?w&HMy*;^} zoRmJ+S~z3T^>cCQcgetkeS+;dl%vflW9IyYK@40lSEr5O@P+fI%~-T({=!8a{u5(8 zfOlo5@I+(rfq)e?5F<TfahJ<_SkVbFm(}sbMmO*`vK!k?og}-N-Q2#wNw!n)nob)# z9@!&(EVY5`Ej+RQ6CX=st^a_3rC!$mdiP4!1sE)xp>d<jU3!Qf+>3h4YJ~{q!|B>A zwevBJOFOK*zx=QAaWmJhH}XQryY}jgeCF9D$mJ76P=8ab%)^!P5UgGs5Hx<5&v>1R zNDCnxsqb^>`v4bY)W__eKHhqW)Hkp$zV90m;RR>c%C`;Ax-a9T)Z*ov4mq;kXYlB^ z3{TNnFXO#>Z$#KDgP>K34HRTRi<h<ta-_byv+?5van#30kDk6e5%$U;XvN`|T6=Hf zM5Nu0aHPI1*|>0q66)i_eox;Pgd_EJZwZ?)CTj80Hb9QlcXw{hcH`>+p1vIjvkd10 zmwBn!mfoa;%rve~h+e+^7yh(=rDu>2-CF>dr9<1F_ODciRIjbfI34*iO$qm(*QLV{ zBm1!i{YXR{$E6WguOIahju=*J3-s~Jci!UFMMiYbn%>F!S^kwCz&!2gDFZ!y5m6=> z8ULT~ujD-`bnEd(#g+d5@UPSaRdKxCg(F_G{2c#E^l!v5;jxAOl{(ZEclFjfo#IO3 zr`#*`GCxwP&#1WJ0Hyzqf2EG7--jKlm)K7nC=M5|6eoyt#ChV);tFw<xL({QJ}B-M zxgW9q-;2g?1mrg)zc2n(yb%3le&g2xoGtkV(eux+oN|<9-9}=ExmW2MCG#yX>fxIm zOn*eO@y`I654f1lXGKhZL-L2>pA`R<WIjD&e(p@n&)<xc>q%}Q^2Ia8oA=<6FGKRh zN*^I!q4>#?XGr$^BHg0+yTl@;d;XA0CGS-FqmuVaepd3Ul8rwkwC7KfPl@M5KMp2r zcU=<s8cJ><xwYiZk~1V<EIC{95XqxRY@aJhoFHZ?o;S#-|Ci!YrQac$H-uP@H*#2x zH&&ScF>$~6tkPeRd_?klB>M5G;=ho5O7h<%+c>mPZybsG>XE3=_)|bUZ&)!sL+q(^ z<5vOkA<4r?)W@51%s)voZ*)<fFPS%jD6b?@pYf}J`Zg%u_)|do!;&8(k<O=hEcX(L za<3}>eZ`*;jUNT%`!A)RQ9R3VjV7V535k6C0wdX8?4tCZk_V7ja}{s=AR+%mrOy)Q zD*bxNOC;Y$VqEylll82Xyj}8xlJ`jdJ&Bdxw%N`%75~0?Lj1GR|4Z_BB-XQ{FB&rH zO(0Qk1IhgABK5YH++FFJl5>@Qx#V$5=W|i&yM{y^^ToxAH~tJz51&pk-&&<_6#1lz z@sE(m$9DiI8$U?UcU&@#EJi;zn;|dhSRc<tWRi%>DI<3gGellXFkhA!5_z4<cs}VM z`D~1wC0--`fAtq+j{nempY-h&e=G7jiS-^3Ul-pL-xWU;D@Ai&K)Jt3Hn%Dv`*=JC zqs0WVzSvA`A@XHP=5H@%ihLJ>@q@%m#o^*;k=NqPH%Xi+{zByYvP|b|pyci1oucu} zhxql9&G`xPeUf*I<~)V?Cnf)0d{H##E2JNhd{q2E{6zHZzRdXx`Twf;Z$-Y)%XY?y z<~#<up=9$yG-S`u5a04<{!U_Te?!L4AJPXXo$oX<f1c?19lBDoIqxBTy5ws_bN)m8 z4U%sXmy5TFzY<rA8^x{S1L6*GuW0-RLciyy=z!wQc@pt&NPb&<PdqMuD)I$L_U~)) zJMpZDTVy6ZTC69U^D4?Umz*MY5zToO>6wzV#DU@^;^pE<af&!Y<O3$QZ?Q<9w3KfZ z?-cpahw;Y$An5rux=-=u{EPTUB<~e}FFq%l^DpupmHdHd9*ZKL4_a6cAGVNx6~7g~ z7x|=->2-Pj0vm|U#1>*(v7>0t&&c0L@&J)<EK^UOI9#ml4~Y-Cn9rQI!RsVnFBXX2 z`P=w0M810!ze(ICJ}B-K_lWz%r^V;RH^sL_<L40ik4yeStPsul9qCn)*><kxJP&$) zlFa!YvgaqMmGYVMJ;E81`-uHSzK~CSo`0mVlKHX(<7bF-#04T>cVfEniwG{0e7orR zPb!vdt_u*}Ciy;bm-v|Yr088I7{7^#ds*@Ci64odispI&VRO9zexrErdg1Sq{X7pN z%=bCS`eI|TjcBePke)8Nhd4;QR2(jj7RQT|#95+uU9m{=jp9;~&%N26)uQJoi7)*y z-dtaRJ0+Xz49LHg{FF$$2<AT`zAJtxo)AA5J-<jNCG%B2mgiepWV~qnFG6lA`2sOj zY$ww6g5@q2bHtE%xj0fBCtfYmScB!S6Bmn1#Fb*9X#6rFe~Dz8cd-0#M1GNw^5f!D zqUQ(cpyWd$4MLdzWARU-=Le~_|D%5>oxYG+jus?j6EQ_>Ep`yQh!=^y#cXkqNZ;_( zH(Z=7dVY`iGCtF96l?o8;%oR!-zw4&g|g?@=z!#d;v3>y;tBC{u~Pi2NW&HCb8yW| z(o%(NBGO=ma!0YY|03G4Fnx$PLL4K~vW4k$M4F#aULw}^Pqa?)n?#zoF#n_CZ^frX z8n`h1i0Jtt`c$&#hv;j`G<actJJuzwQYbePY2`w>ok%Me$`^}#b)Iraq@4@pt3;Z+ zP@X4VFaA=b-3!xyCDPD^@~=hOyHMUIJ|i9wX$HgeW1{Cr=#P?V7{l~$MOwm8juB}P zL%F%wT5K!U_76l$8RoxSq-hN0sUj_8C|@t$A}$wcIK%X{B28x~?+|I<Lirid^YinT z<l6pyXd%P=XG9vxP>vOA`}d*64AVP`G(n-<PsCyKf8>9}`<=%-j?`28_g;I&cNWt{ zJ{MvB+Q0v@6+cKc_xX@-wB)g(x!;HQ$&#mwv&FgMVsVLBAg&Y(#k<8KagDfLd_deG z?iTlpwSOlbRQw_FuvjJ@6Hkbri(iRfizmg?Vp#k^4Cr?e@3V3|X+T6a5@|d}Iav&f z-tWqE$vwo{zb~^DU;B3^O&zFrtT;iOEKV0^i*v;VV!l`)t`rN!yTu}LjkrPFBJL1( zi+jX<;(qZN@ql<xEEA83ABdlbC&bUiuf(s#u=s;$?z3WC{Q5l=5aY!}@!$1FlBx1p zV(s6n!xcYT94k%`YyW;-p!j@ovA9Gm5Lb$Y;@#o~af`TJto=K7x8nDR`@{p{LGh4y zSS%Bdi64lch+m0cizmg?A|Ez!o|^l_U_f%bm?S2PG1`uexPRdRT$1*)uHEtWSFmQO z_V0qobQA6V&qz=2*|TRbn336|x10Z8`*+#h36<d1d=G>6sI#%vrTk>QKfu4s2zYn7 z^7av%N3I@KWM>>|J|SgH(P;R0nRffg%`-QS+Io4hbIM=GZj%(c;$bWG#d2%bq0ppI z)|%a+D?*z?zYaA!>DZT)!HY%gk?_}hj)cG3e!RTTu1>Ruhy5M$QeLcbc8w3Uq8AvS z)wz4wO?#0u?&1>U+rxbDATf+N?cN(hFIM??wJ!TG^myp$(2;PkASP5dlogsidvw^} z>`Hhguv!;|UZ}EG4G!&?Jv3AV9{{gH3S88#rLSMb(Ie%3Qjeq5B(&>|P}Zi)H;+yp zRxvaxYYjYpjL+IQV(aB4J9Dx_*2xbF?haTdf4I$R_X!SmAB6Ev=lb8H&KIk!T}Q%= z&@O-KCt?5av0;CF^!fu;QK>N{$IGL4jSUBqKM4nh`_{iu6@{Gf$mvTR8;+mwNjTn` zlJatumD(?qU_6LyhWCra*d3=5>)=7x$#n%*$F60*Z6C6(b<IL8Lm!3lLup+)>U8X= zV%B)1JYatm_FLoNp98&g>qJZ-I1b)cUaIoh4)Xa@KMKbujtj@f+kFpI*{PdDv8ZP! z>X`<g9a%5rtvM3TUcNgtE}WQ$Iu@|TR%@(Q@u90xS4?iyTED3cH4R5iOQMTk_4=1u z7o`Fy6-Y!KNR40eQ8=;SAZqCrYPE&=x`mpB4ps$Hqc9%++;rq`)cK=uqsimKNlRX= ziq4H$i*Z6ve7PTmlZJm3PR?ejapB|%KJ@t+c*}UX%AXpIc@ujoh*k&dJPe-@Xf^y` zWG_d#S<#_-q4-LF;~ka$#K0*(yfU0DI`YNnEi*S=@!0#Ad%nt*PT!IXLnAkg*kV=Q zW;>mS6<gmtw|vaDJs~S?e%bNx>7r4aMs0xBUmp(-+B>Y`_CTG=Kzzd%@QQKZ@=$Ea zO3bZ5!PD^RV4bX7d1c5-#oXG+nGgq$F3F)5q1URc+yS8@<<YqT%-WqHt3!{ng?r(9 zqzE2Y?uEyaEbGF~q02%qRRwbW{q5d6Lv6}p;gch2J7(>k{UObr!S0-WBeWW07PaeY z#0RohhjwAs`gi$ykH!oM#D5stampX_IlY@~$L!q`TQsa<uzft-{y+%6I{cfagaR$r z<-`^}gdBl_zUxM99ldSGAbfxQWY+S8@AlmsyY6F*%E1u&yBwvqPpa4yWu5G{+|2DD z=5~6bncJ8@n6ZQQB$YR?j+ZBLep~(4AH+QCk8Rm+7uuGdiFxfH*XNkmnVi>ooY!+w zn5$nX{wL=3Cd}(@81oZ*#)cC+W9IGg=Jr@Kv+HB7C2~Gg&+LiZgHdO{b*S~c+1(p? zGizpd*7>u0I%fCe14qKM3zFA9z}Y<)vpa~{o!)4j)v7LzNa*3L`s))-jhNlpnB8;x z6~BV{W_k$Cfd!b~6EL^4Ij`>uy<8Q8IUfsc@yU%!UqDXI?^iLu>tjq3bG_NkncW{V zyI=Lp#(d8k%dHBn$*Af4`90Ch?#&_2@159glMftcySE-G&(!>mYP6#=DiPbO2uIJT zOUkTP*~Le~{=L~dkCt1zQq8f`?#p9mhtT*C{5VB%4qm@^K#7%_U6Sx!!7o2VJ{%V( zxyQ~IF~8bWA2|c&$k{hEc{Yx&wT_(IBafUtx|$>B6(MN6>Gg68$Ik$cpKpY4-1K2j z+7r8ZXxQIrT*?uI<4^hHV~dxaKK$rJ`emuN;F9dnbj;?>Uyj+jGjK(*b@Gy1M{FIj zWz>dPI|}E7VOz6`?+^6dGQ8x$N~iu2%+d?&v8xl)?@Q`aGHP?HqLHgFFP<=a_%_Vk zz3+srqIbf9?SVCT_48DGOq2Do>z)buLUzarZ4X&(TNGWe`eW>&&DXWSaimA+czN^G z166f$n-wRmJ5pXZ_jq|y?(3LW$&h@h$IFvb4`Cn1KAoMqmb3Q=j<5ALI~!WBYl$t} zI`zV$ly&2AbdTED2J<i__dr#w$#cBC4fF<b+ZD4ca^QIHFKWAva|6c%Xl|R@VQtKo zsIBeSy@umrr?p+y94~L5%UZ|7FOsvd<GL5CVkx6d9do-<Phw}p*^oQiX$aM^kC&%m z{|gkL1oyD*-PfXh_94^}wb9vhQE|rVUM1=4x~=Q6t|wZTo;x1%6ME9IcS1`ywiN7< z`$AP6gxTMosV|__JYvOec^&P_d*o$o%^4}XP9?_SJQN?b+1VJg>9P&6YnPoK`xv)H zldXNWWo_YE_OWJ%LipI!$uA1VgroNiEsEM2yJ7I!<KfH~E-kwBk%q+$isFlg6unz+ z**N<3PFRhj9(vGV6>7Ev8-_f5pvqY_GX-_8I$j>1`*yjt%D?V)wDb+cN1wDE|F*o6 zBjrtU<3d?2Vm3D2bZKY|j?~u4M+@?{k--(Qo8J%nx5sR7N}Ix)QRec&>l+qbT5{=* zgw+j7;!B2<l%X#;7bgd{9SL_XexZunp~0#l52LPGFIU;C(BoNX4eLj%qGx4>{H1}l zZy?3rV`<9Oq1~9d&PM;bA)$9d3(JnP_a%9|UIJs+&ImOr#a=R}VR4gn39GHPO*S=H zcVDP?X!`6xDUS3P`_?WG@hlx<*Tt4@fH4l(u^XaG4pjMaqqoGb8y4Dw*%)sh#L)-6 zoK<HVM(J?u=7y->XZH#v6c0jr1G{hNFvjFac`UYEd~VZCbvN@|@kUj=9gTBUV9$Z7 z_}t>qr4Qr!DmQM!Aaiz!&uvoV-#mCz`%v`O*tJ`+HU04+99#T;jM5(eT6jqMu88~X ztUPmW9I~5R_8_)Elg-$B3r21mZymzCX|*{wlz36g&|qt%m2D;VdNk)y*q?X^R~CnG zeDAxq75q*0dGv}cqc)D+biY-YG^VsE_FwDdtmPwcUOtVZS61<;(qR>AV(spJ9IxUl zmq*7`-s%jih`nHEWo(1yYum0njI-*3J^oP2>LcOp+mlMHcEQ=4vyIm84?Trrv$g6- zcy!V6@<ypMW@Cin2bt@t+>$}N-oe(LfGev9XXo&`Ds^B<&cnyU+xJYsb=8U4*v6?i zFK6)DDk0R5=OBA?$dBut-MH?7&!3ZP3#<+qWjPr4#LkV(wH40_gLG}x80UjV+)L~a z(PwPYc%D~OFX95ht8{fWusDZD-_#E=CRbsPv-|Q)wK-G=bv=x_CgTiLc%Tigd(C+# z$Sb(3aFx}lC|YNq#_L%Z>X;C_2DN1mD&o}+>f%g=-T>+kEP?I`AL9J;D%x>tC>lpO z))-sL9(w1W#yCnf%DoC#S09EOE#P$<dXSsDcF^u)MpM#)tHMbWt_mmT@f`MHIC=P0 zy1wE$iEYM}6|b<4axBs6Exc~8hpU7I1<g15D+4&63@ICp-X94k@6AiGT7`;JHY9v^ z_e~#R_TZe-8)ws1Lv`K$296Ki%U0tGXmQacI0J=n2D<wuoL@Wh>YXM0nYeaeW%qs~ z)UpgMomB|0i|=Be=9N3NZLwNU3N^u*KXz8X&||Y#hn@%pE(*5Xf65o=j;pPhU0)sz zJ%Vf7Sv+d>-I%nc?FOEK;9;;++ZM}n`r;_R?DTt&j@dG-VrAgmnOmNY3ymxqv2oPu z5hdr&Jops$=v4{dZMdmP3HF6mv1=QBF)noO%+<&>YQu&vW^Nk2weRY4XTJD7e59fD z#HVM3?nipr@2%&}Z%y-?$ZtOk+I(q%57KKdK0Ep&{%*4a*C5H~i+X^PFJ?6)hxYcq zVdg%PKZ>tWIgc`C_*7>7KkU5;cvMB&_TQ&Zr_)&oVGkHWSOtWTgvEdnV}u3)WtVjX z*;P~oRM0>oG8&c9fS_#Bj555)pt8C1YE)EI#tDqh%gm^Qj%#of9CU*1jNg6NxvRU0 z&W!JT@Ad!w-*tU`rShw%s-D_TojP^;oPH{hT){Lg=)Ykh|A&$mFglc60?2?DlJ#U@ zAlW=NcS7cAcqs4}Dg*-NyMs{R0ForlWOj3$fk4tY1h`i@m%|?n@P)EutQ61;TGD|c z-lpKk3E|aHpEKfh{O8*dA%3;tjO2@Lvnh7OKW7wU`A$NJUtl<+85@Ex<rj<{!&n%} zN}WpS5=yLn>Q9(<97T3QDy@e(<0<mnmNc5Jnm}nE?v>N#!EDt;N^b^`^do9cqR0m< zsr<IX6S*%{+Jft@!u5tNs(_fvz4tt^3%*TG{cBA8HAQ|i;>C``cd+=gVkiw+Ey{=O zEatSwNClFP8VMG!OkCe|ri8^SBQ2tY?T$TZKcsY6?Yfj*k_M|?#?ud-n|c<DGU7hG zm7KabCXU37ed^7umx-;W$S<5cv6f=znAjr|djG({PTZ!Bn`mq2#~9c-FWs2fNjo#W zk<-{I3Eo$VhTzQiLN7GdZBi0M_ML3n$YM?!!~}~u>2Z-2#s>$|SI=rquK9i!odc^i zYw?vw{KGFgU@U>yhnjfCY3O?m4iN;0?DwtK@T(I1B$?n_sPGb<;9TFe<q_ubg2`hf z;bk}a6L`ObGf9v%_TiTx_#HoC>oLmY;VF(HsLXo6qz!zvaL^!Z1y46=<5h4p!7TBc z>K*0uJZlt36I3+ewjK$I_m;CvrPy2k11WYUrq~%v!M+|02TKr!C*emSo6G50#sTIV zO>p9X-4B9albIy+JPn5=#IrX14S4?U32!f@=W!fGSj`~#Y!}LJFQum+95N+paT=O% zdUyu9zD0ouJpoS$Md(TOg!BkKt>9o<h1h}C8+CfvZ#cq)=@m4Md>hWBq33-#REgm6 zG2!-jvoCnuX|LZz7{mSOeKZu6GoNhyXTKqSZ#g}D$qSWfjt=Su=laS55qkP~LMTGd z3{Oap(6bs2)`8e<>aD%y^mH}7HF*o*b=>5A@E$gy<B2bgcQV1>UYnxm;SXLRq(|uC zFM*N!GR)?Wz`-g7dz_EdO?rB~?w?!=?+`eoCYHmQR?$;s!bcN)Iu6?+fe1a@jj*zM z2|Zmg!J16zS>_3$2tA*9LVARr)Q~BISZ%!H2yW5>qdjF2dOr7rMdt8wTM_g)!9$EX zeYS+2OHKF)VxIAiA(q1-pFo73Do+SS=;32H#H2^)ISz-u_&etAZaBO+VjMAhjdu*e zQG=omEU`ldrd8qtW-<qiHz(k@+d+b<2S?w062`?&GcINz81ckiaISA&AVSZ*o)C)Q zrqo;}k%(7I4j@<qiI1a2WeMYG(IPXB<{%MHkcAO?ym2(1XCucF=RplAoCF+9gUxsu zM+}354VgfMo>^WvvBHGojH73x7j8!#dSgp@e6XQD9Bd_UrV8|UgKazq8(%_26^Pg1 zOnd36@q|z$VT^4l=kPgh#?nn?5qd_L5p&a`2tA*8!omnW-td{!CpJtlN!j6Gsu&*- zlj8%TtSn(blr1s?V!s&>WeX$pcmrZG2LtyBK~6_whaSS_@`Nd3OSzpQ5VJFO_)Y2Y zIU+U|YzN|S^0eKDj-oX%;6~FK7-acq^1nDIF+9vC;h=JsU^kkA>EWBTIM{^7?-n!N zYih&cL(?>!ZHdkOgORT{ZA~ILB5}SEeB%@oGqK-zClK!%??{4=_K<+!NTp2+JJ}(N zsR+6n4k?I)%ZN8tNAfaq*h@fsZM>s<p;^UnXx6u7OX1<-8hH@wjdvvRfbouwkM~J- z)?_s};PcD?o<I~E?`Wdbc*hc9;~hirM4%qY7yn@Lh`7{v#}f0sP~t}8okUa`?^xn4 z<DEck^g?;Kzl3APc6z1X4pI6!PpvSs(P%rrFxZYG`q8`Q!<m?83d6{QaOh^jn|oJo zS;Fb|b926|JXuB$PZT5~xG|UMRC@5_g5iWW-7-CdpmznXT0#$B5rIbal6~;JYXy2f zf`fW|c8pyT>(}yqQ_GRWN5(rgetp0JW`%Es!xVfR*lnsck~j{B!j~_hC&!dGl2E1g zno6DY!sGSeTi8F?Th*rUQ3UIPoZnnRPcM`T;ba*-Ri3bX2|aw_2r=K5(KFaoY!tD` zR*arUyl~>A7rt=`Jz1t=qlg)B$Y-Oe@Yh~=yuzdYQHA%K3XdSXxpC#&OX71Qs>Qi+ zwO7ZJW%R6t!$CO-WSeS@AZ}E(%IR6<g%hkLhB?vARB8ml$|95pyv_@aS2Z@JRiRGI z4G9S~yfSgdtmJmM=3^HMxZZNqrW?KSglbl~gq|uBK9Q(3-m%2@vc@<`%=iw|<cYj8 zcomvRd~GtAL~s~GC*BC&TAB|l!v%@oS7ykw1-(a@SH^s0^LW<$=)8DrU{=W-EFK!# zd$Na!=9YMbSWoyDlE>jNTNBMu4uS(LkK5^?8U)XR053j4TYXQmEf@?Br)*flJF=9f z{3ukx;a0_o(BmyHuJuPaMgJWx$#*R+_0h}s3AhHrJnF4AClN!;)HRaeTxfFQoLAw6 z6I>i1+#lim_Io&#kNE=GQh3B%-^Owgz&BC7IMj{818_JP1XrW5j_5?_IcNmbnI3P6 zfb2`rp+n%H5g$XB@UU@&<BY0WLXXdM*jR##0~1cqB_@0fp;2^XDQDh%Ec%eBaw%7T z8Mq?iAP~Cl*CdYOBTMb1j0=#RlhJ7?)qLza&+jWRE4V(UPe&5EKRU8BeuWxoFW#KN z*)q<R^m75j?eTEj3BIX@HFkN#E;^XTt~pqgy^bS&yi&25FX6^(Upb56f&j;1UpbEv zg{g38HQ`lZWeKa03+JW7@uI-)LONRwc;h9<isSv~U^r;*F!mU2O=AIGUtv;$rw|Sc zLqcnmeTi$7eX+F_60tDd%<hXVSC|QxRI%JC9E8Jt9Kk_}!~0}8J^5&%84&b%H##Hj zurlH79@Zn48_zVB84Eyh=EHJvs^EhGt`8F?eiG#k$l34v6wyD@?$7^OGe4dQApEyh zhvIgBW?i;m;S^Y^$TOCIVrG8O83|^7(Ak(>Ob5Ad!pu)bu{%H4&B!fn?Y7BvTf?jg z{^z<6!}v)`u6skS{=ekQ>*S{8xz~($3&)>#%Y}U}zb@Rq@2xW@w3(glHm-6P!zRk& z+}?2R$UL`4o;xzvEzET<&UI7s&TD&7_QgZH7iJfp%YW{|9j)Dl#qMet^m)fOWK!FL z>{0Hb+>)ZgD^|PLE_55_BC42Cixy12HM_8=!^Q4(LwZ9m*G-2#mb{CLI(9GYT3A$w zf9|zI+=h8j7(xZN+85g4Hpq1s<hl*=%>P_`o$9#1hUuEymJBieo83_H#ckTo9Mpd7 zc|E#cm)*Yg6$@r}AJl$mSGUnJ_r^T;syw%#%1tx2UhqG4$K`B5&n_2d7fy~>e|K4~ z`<y#uQjdbJi;4=v9SR>%<#(6CV2!KFFM{2ff)1$r5Y_$M?iUvox{HQ%M%8Dr>P-HI zOESMax7BYaU3cMG?fagG4n9jAoVLToOy9%n+uQ>8V%S|OX3*k1_ph*mb7<*8cfGU2 z{b*?(I3CP(pK^*jwC<MQJ-g$1tzoXGO&inrmU#v#rdwJY|Bc4qE5Tpt`At%6{Sa`@ z;y>ey|1-))M4vI<%OTw>V4>IVsiw<2FghppuN{5){FlvtrZf|4L=!QL5ncJu<Z5Ek zX$-)CB217;rhs~dxYv6%@akiVG}*&)PeLvxcM~4Z4;x&lW~M48!uaZmKai8x1@leG zCN+#(#d0$hG-Y)%HSqe~)GYoFM~acl`L7%Qnc5iN#j&vA^qKyjM4x+ViPzS@JEn)? zxfx&k8!OR8$k<(6+&e$No$=-2WX{g-mfs<JV!k^s4-)_|1l8@D-KkwWhNLdc?v>L4 z_KteD%PGu37&FN0-3doKALm}zd?*w{0j9eURp6%N@dVAlJaA?1PdOPd1oKB)ZgxHr zbja?IeHKs68*_^~!K&7cxt)+JJs8C(qg~ey+3mVb&JX9KNK`IArwBz2!C^$6s8DhH z-q}1|uFP|@KX7k_QLu0D>Ocz49aw+b?k|Q>r&|yh_2t6!)SEab9>pntm$ML7qGq|T z`9nL}o|`jlXq)_;{Gr`pddj`wK>Jd6Cv;!&V+!h#b8&Y6e))4YyEiQ3jJFd;r%c4` zoMGeILTP!If^Hp9A2xs3x!vb1N6l{-!X_%I+jP_9?i1P!?cVpi)+OC1T-c+{S?yb2 zykK^lbF&Njx@ogIaYpQt>y8_5{^z;>wPYb$#>v;4Y5weg+FiGFi2DW3;WvGpQSrk$ z$1%pFVwMu@6i<UieR>&VLU9{T)33SiUt4XmIp88OZ#axi8jDDtd85;fAIVacu{ITp zG4`pxZ;Z)UqWT}R|MYJevzj*dn(0@LhRvs*ryH?~+txa*5!d$V$=;(SD72|(A%xQn zM#Zdb#WF7N#k?~06f-qtdW3y*oy{z!ZY@li0JTiEr%to;HGg`6F~wEr!x2xkCiZ`m zNhlu{W&hA76c=5k8M(_tKTW<hcw16s;CA;8|DDeH8GLH=-?0e=`&0|_zJE&m-)<4= z4D=oDM|@b1nolp$!duk-&)b9Qh63uB8%S6%+hsKG9hlC3ijZc7trP-n+yMA-GavgL z%*Q+ZNY@-8xNS7ca@^~gn=`A!#QX7(bSFLf*tl7ct)Ec~Gx6IsIQy}2(-4qYZik*e z-%;H3uw0hMc97S>Ssi$NXSDVCa_P~>#$5|JF~0yhfW<OD+;7G5tAyX`!0S5)`6W~@ z7PlO6iTO26$dBiZt>1e16Z0F2{33QxB*gJMgT(wgAiog8SU)_Rj^(!%e&&}C$4~8< zkn0^c#_xhlOn0oy58HX7{TiIH^8oXi-1Ud;JiUQf=4U@^k*>%JAlu8&YjBC}=$6ng zO%P}Mr4jt*?giwh2KoKL^P2y*EHa^azPUZnb_BLScw;!`$K%5?6Z6}M4(g6D`?2|1 z*)lQwf5OgFGn=uY?G-Q3HD^pbfs6ay#E)x^cs#^4BQsIv$clelGcpq8Odp@mvp>8K zdp!Peef4D?h4{xskJ@>Q^E>T4{ooC0J<CpZ6~df2wEY;ktSR$g2_*Z4q#Y^RE9pog zQ8$I-^fvMR#Ph|lI9ePp&J?c@ZxEM?E5v(5o`)>|=i)Z;QE{(WE&fLQJ&B2q4_?Uk zNes466#u2<ze;v6pco${QC_CxW|G@T?jYIPgDO_|`3fH@d4%MNlKIe)`Cl*Iq<CvD z%Gl*VxqR5ebPtN#ly0|VzUajIJulhV-+;{TC#m<A;{Pc5&tg<e!AZ$<EyRwZwFgx~ z8Tru$9n%e$JVElMlIKXiO7abo%Ou|_8QtssPDeE33&(?FoyUdao-|(=f!!q+h@7h! zUo4t&1$l_%k>Xf!ws@sDU%X!A7wydNX7LV@kCz#~MqDRu7Pp9xiBE~u;(n3yE%P}n z{!XMVJcfTP9uv(tMEKW|Lp)B9)5RuY3o%zT;}Gf2lH5Zq5eJADi6ce6Fvk36iPwnc z<v4^dms}}UiDq0P{(i|n7tQ!Y_zuZ?L^DniUM+dQXzZFH{MV9?h;NG@il2(d#gpRS zL|!}Dj*yrxHWOQl#{L=7b&}je>?!iuDf2Po9vmcjs5nOC+KK6=h||UTc48JO+?)qU ze~aYX#42&MxKZ3J8vAL`dsy;5v08jp{FV5octo`JU_O-mx%j0R6^)%WloQ0om+eXw ztv#3)l5@m%Vi&Qy*h?%D&l9bE7(NzZ{v*T*;!ngG;vDfBae-JSR*1$f8}hqT@+$FO zF(N)FZWkXBpAerB_lpNaYcJ+4$?uBqi^oK3FXn5>--yPJ8|s&YOEBA=AzHgJXGm@% z*0&qeQ{iWe{Y4t(XMPuo7m3`6f#Js98#rC^Y;m5rP`pVj7jG5s5V=bm^IIcY`!Nql zw)SHlmTc|E7`t%LdtTu$iG1sn`5h786F(F`6KQLe=}w8<A(OJTACn3{W$X}W*i6h8 zbHy&AwI^fj$04kr!Y>d9i5H8b#0lb0#2Mlo@fvY~Xza_uUm^K6@lJ8IxK@ma4~jcP zV|NbzrzHQU__Fw#cu0Ipd|Ny!ek%T1{961*bg|H5KPHQrVpFlT*jDT+=83(<z9OFw zF~6`lTpTS<6ph_Fq^oadW})JZ{W`?oEcvJ63b9JGcI@t#yj9#T?h&65tHu4IwKsD} z@=@^v@tF99__g?r=wj(_`cKRhn~K)nOdH90VxibqJXf^#W`;-}DUKB{6{m?;ir0u0 zqO~`3r(|ny=3dF`#h;765O;_>#V5pP#23Vu#W%#m;_t+F#1BOC>>kJS3(44y-Spcx zqP0hpgnJJ5XNs69HWgcoZN<)FH?gO9w%A`R6)zMo632=Y#HpgSQ*)){YsBkCz9_+Z z-You9{F%s2B^kd~+$cUM{)fm{Uzm<>E09l#|0!DgHU}hI`!>Ik{Cn|Tk#B%9AHM!U zekpz}{$1o+p7AMS1F@OdQskTdOxIoPE%p^l!~x<(qP2%JQSzl?eY-gG6@I<AM7&wN zL%d78Puw7G5x0rE#K%Owio^O>i~Okq<==>Z5dSFh)hx#I6>9Q?_?7r~(VyzYr-<C* zo9V4R9lmi(xvkhy>?Y=meZ_Od0pehhzolS4lf>!bY|+}=nJ@V!v0SVa`Ia2>StG6! z9}u^S4~x6Rr^RQ*m&8}a!{Tqncf|L^TJdx7EAf=bABV7B>0(ncOKc;y7rTjk4~2St z#dF25Xzllmlss0PEKU_K7q1c*ii^Z@@fPt8@h;KY_gN=-vuN%8@aHb9=M&<y;tOJZ zyFdIv4AXxg9uvP1zZSm{UEDx3eX_`RaVR$yTZ*m4`gVc%lN+W#R~#S?7Ke+Y#fjpj z;!N>!kw4mDer4ivu~NKSyhq$1M#Qb+c5#>Zn8=?2G5-T%jrgX>H;x#8ROIV4ls^+s ziukdg3I9%X@f`=#rHKv2=3*<cgV<T*50I$WUmPk97src}#hK#e;zDteST5co-XY#4 zt`XOX4~SdEhs9mu)8ez@>*BA)--^E%KNgRPUy5Ig--+B`ob65*GsTu-Yq5jaS?nS9 z6pO_YagaDv93zewr-;+Vx#B!=vA9HBE>?;w#Wmt4@d5E6@nMm_C}TUT#RK9&@i*ds ziATi`#AD(Y;$Ow6=;HYb^G_Bt#Kz(oVjJ;H@hq{Yc(&MIEEV|^IOacAyi}YfUL!6L zZxok`w~BX&_lX<C2gI%7!{RQHzqw=ie2zpO65kT}BRqzGE`BAR68-pMknusWq1Z%h zCFY3y6(7_06idVb;!tt8I9{AA&J-^f=ZSp2#eA2DH;Z?Pt3=!u{8PJX_Io|P_vc3& z?f0FqJJhphZv6AF><;xT=vnAlBKp^MhdK{HVfYHddv+POJG2URhtlq86{$Xc$+EN! zsjC9AJk+o%d3ETy;C<nm)cfD5%dF}YPCDUFJu5u?xD$E^)?@b7`Ad4%`BOspMR+QI z3!apI!k^MK>O1+~u5)+wt@AfTY)hwKX4Dzbq^2c)2aVS*>--a*s`FPNrg&$ae`m{R z(ttCf?tnXU{QZ2;K6dE+CTG_9&kCJzLQelDPXrqF4UdMEpx!s9qHJH@{zJk0Qa2{A zOS?aC?0nbl?!U+PW%06xoA$zr!JwMp{Q=l4$b{{d(9U=2y1{x&IFtl?GqC1SabNZ& z;cekz;iq70rgP0C)aJW_UX`qWYM6EAZ`lv;I)7Im)cc$|f42V|ujZMk`OfUe>-?Kh z_sd!Dft9VI!2!)s>szx~@6QkI|I4p?b*}Rd?H~4^eRSYKSQ!bxI!abWGJg4=S+x!} zZ_-0au*tFG=H&IhuD;_hRj@|Mn;r|fYkbFFsPJ!eA`UDM1ghFs_OI-P(tlbxs1mKH zeyT3$d#5hFs#jfLSaDsjTl1*<bqiQnK<#@riw3UE4r9N#Bxgfr)Zb`YIMg%L&)02u z%{#mNYxls4M}A#s#hyBUbzz;qY*S?+eha^+F1Wd{E|}gj8fugsO>WUVnshz2oZ?nd z-(`h0ox;%Dxx3D{8G2RFTk%fi9<&C!Wq~zkL{l4CP2@5;u&%H!l%9QiVO{FR!n$;n zm9ew1E@MZdXwr34ZeMph`gKf;Xi7<=XecSOXJ$Wtf8;RoSBKmU&U*hw|FIX-w;X%X zO}@X`aX0zQntgS?5$N9w7v=bI#GN48*XZc3{=16n{KFBxxHP9}G`UfenyfHJ-n@Jr zjeW44)FK*egyYfZ790(X!2qqJ96J=;<g88J@LH3kb)7JxZp)sSGdL%BZ}O_7D&O%> zDxCX#UCU3N`)Fg?Pw5i&<7fq{pZFM7rz(Q?Z8ziXypsnWNsTzi!oeq7hpJNU9Tg7T zA3$6EXXI5rUFXk#r><%BY#gb~ieIpQhdHZwgof8{OyLp2k-7~1)a^+eMOdh+LW`Q= zcm=b=7ld)dMuvKBKM{l_u8V5k*oA(tK)+W{K|cPu_cOPRNAN$`Ei0Pd2rX>hESfSi z)YB=Z=JpdA{vSG8M`B0IUseBT`TyOcg>$NDbzq~j_Jw1m3$l;9!N9Q>0={D}CUvPv zh82VKs=(U$Ir(*cr=DHc)7K>2JnC!Yhpnb<Ij`?3-G$M7P47DYWPg!!4n|v}hBf~1 zFS2K|l^5a|re9sz0>_y;JF=^C{O5duvnQ(p)|WOXtxwtXdJ~*i=Zp!D&EA~7b0E*D zq&1<c@{{L08vQUWoLZH(F0d-?o~<7a3MX&yops*HtVeOyR56E*VgGqUVb$rof+al5 zFH8+Tnay(?$KWfT=O}wP<|uQXH;p=Dd7dxN#+=0SJOvuXvv8i{SEx~U>}H+ke{SMG zd*2Bs9d(*ibLhQ~8b+QBWnwhVT$Xmfe`8wYq0k$?2XbCM5pshY1DldoRr`k5KA+a8 zDj9Y<LVa?x4rl!ewn8vkcBZdPSrfXqK~?Iick1#tC#`+vlz-kcr+k^u*CxZJ$alTd zP6V81P6euWp7Q1IJms%)SH4o4KKRAjlnY^hDB0JpQ?zB*&e4{gcb)R*BVEa^Q-P`b zYuy1a)&?)=5N+A5eY9m4C!BvO&@KOzKmCPTUrA~>)UQJ{b9?(}W~IAv@2Oz^-czBH zmuubdi?xCC(@vzjouZis+eXnI*ztI<;<-IZk+V;QhV4ep_SYs4=oD?by=}B<<(^ai zse4e<J*NWmp05p_|8i~eg$O^`CE7H|GXTdm-MKf^uhZ_p?w4x=CCG7m7nHf@R1i9$ zl2>Y-aN3Ec?(-;>B{~tLnCF~(d5yDX_bJ~zl!r8dft{kwwziEny94FzRC!g;*QO16 zy*6d=nbBqkJ4c)O3QwWDQ~t^OYm){(a3T~y_-ur4Zy82uQwvUoo_n=6c;U;nX@k3X z8cEKky{G)p3w1-Elm<_HcTSgR<Hen$jklpEeW+hPd-BCv-=J#r<eAaN2ir#*kL`ti z?4^F(aw6nEGn%=c{g@Wsg;w=E6&n6BT4h^=_KbMugp=&tckiK;`(4Z`MLV7D?y)q# z?|8}0e)MjKo89gm52fCZw&wRf72MeSRH%$cZ}+Ldh*xS;2EACDbU~+R3-ou3$_~*M zs6&h7)J?lj1$}+$QoFrW>l@fM+89UDUy0fs>=<o44<jC<K6ht>$i?AMpGmM8^+`c> zlTgp$wYB|**M3&?MCn7A(;uqyXFh~0&qJ7xg7=2*DL+~LNML=Vjm=uRYclWurLW<- zM%?lDxO;Qj#<WemmWHZ+9%`^LeP!TSiO=a7#60akc0p1auB^|89y<1Xy1O=XU(%Xi z)pfuXt#fs7wSQewWm=_w{h&&mMRPeGZpQ4GU%`2-x)O8rSD4AhV#Uz2Q8X};S1s=f z=3nn_2=xo~^mQ9i^C4`TgmC@wRrUK<*DuT)NsVIHuSP#KBgU^^&iAfgPQr}1r!F0} zOy3v3e*G?X{mP1_1-$E5qiE{+xPGlq*$~3@%S|7%Cnub`Va=qeIh<qsVP3Ov?ecxO zYw)hrO}uhpJ(13}1g?eujcXT=XVTi&nsmXHD{VdIy{enDR}OTml3?@eqDLFTB1=j7 zcYpo$Gq75=lcVUIQ=!S{oC=m<1m$uhy;Pe#@Wom`Mo}}2qGlLH%`l3Z`D#Bx>UpW_ zc}DXJ-YJ@d_@qjtIfyjA-+vTXA6)0Ia$zg!uOBto)G!>pcX;jjC~Ms_kMkbcEFl99 zpF=xuLD&EXGZv?PV4r0S0$`uzX(V&|!|(PkWr#oN03X!)lV>x6FE6;mCov+BbR$z8 zWypxDOi1!rCJiNpDTR_-0de~*-+4*OnZya1r^2DYY7-dPV)O!hRGm~wt?AC$K+<6Z z1m-v+;Fo<C+A8rk_dC-N<Tiy6x@I2Uns4rB0Q`8rJLd0c{VC>|ah6HrOExbOWGIVq zu`DtYvWWSSh3EIO$n>&Eb*7>;hfn13{tUhk<Ht#RmH#h(9sj$-rSXBdGo1CBP4U<G z=h=|iMsYi1M>6((xb#~XYi!8CN=ySjqjz@tnN=3N4Y>E1v&+c+DBnnVw~^Ur8OGep z9{+Pl&)-@#;Ju9F$$V_u0AD#-nU6gi;FibA-0!?Wv$%W}<O~{~*=w?Y%80N$^OzBv z<4gYr++oIf+{l;UOVtK<QQMRGrEUf-#ynvvla9X0SOk+Xp4i5Suo>ftg+_$Y7*FIE zr5SivSK@kz4fx9%=SkD+nP^0V%(&d2@)>cN-v?&E%#4@%OG>ac<4L7B5AgLzEU$BM z58r?<rYQa;$QhUUoTvPI5ZDKIcNx>H^fyTO!w<RHb466z>P`b*K`%D=oPFWRzo-0F zT=w(LtISHAX?$c@9n-$kXtQfPZBK5C)Sk8{UqS7LERGMPy>fqMG}()urYAF{r|HSh zQnS$^{NvUZJa?Q&-M%=Ejic~qG=}F3cv$_$e}bnSLYgdsrzuVA_J$<&eNf*F!P)ID zvg(7a`eb^jeht+Rz+=>x8TE$8A@7EcSMR4xz1JYhtG6d_qip)ilmA53hDE3YA0>O5 z&lt_zCRWpvdr~%<o;(k-*Wx!(<q95xm)*1AH7#C`SkvN1=wX$gho=eOcQI9dliHs8 z5$N#!E9X`B3akFHRgYTrjHXccVdNS0wvbToe8^j%<JJ4Hsdq0#c`f$jL6l9sJ$V*Y z&5`;Xn&UZ^|KR@77W`AhntC%EQ}4C#G^s$cW;{|-<-Q}^sQRwk3g^9P@-MCGvsU#G zRcqp^4eQ_^f%GY6M1N%J>($lse*guquAZF1Iig_+#^S9ptxpoPJbw{Vd0L)4jasIK z>Cobv>CRW~f7)t3iCELZ*Wqc-Bh!SZNn-2xRQnUE)ww6F+PhZmL`*FcBSvZsi{R(O zTJK0q3QR-30ldZQwa$}UP&P-xlP`hnYd9X7Gh&*T8_jaWq?ohZlRYiZzshLw#f(ic ztt*YzVdihNJeesyO;7%ink{&a@^LP;o$CYd0p{>E#=EtqUpmsmekp<{%O*9$ZYV;O z1=Sy57B>Vs;31*O;!>N%wKj|8%)%x$S=<-Tg6dnD#iGD$o5gmU#edo?YM6yhYO?rK zJPWFCXBLYC+iezK+bmMD)R1g=vTRb5MGu6a@40OuxBT#q+MT8sOA+Puq9>1~Y>t{I zFQcmI#V1klJ{Ep&pgk@@rVF+q*7V{t^spD-fG5i))o^LSMFdr=seWHz8rAzF>^*7~ z!gG?IPVgkRgt|@IYzRVBI#s!?i?cTHb6a{VBsQgYr-!ANP~9fArE>^S^#Ik^1&&(v z(N=wyRbNbXo79f=&FD4$gxTx00@G_NkkIQjPmWMFz2?d9LiRPRhUSQv=6ObwD>iSe zd$OnH`CD-{*YF6mxFLvF-uXsrFk-y&JeesyO;4UgO>>mkx)0!-ivoNKX^zrT#F~Cu zNe}yJ3p`miX<|Q7mAj)j7YF$8(WpOR)nBvf?@-+)O;o2UH&t<l2L@U7<5u0pYuBdq z=I~_Mq>1WO{gUb<0=HWAF4W@1wAiW-r@BpQj}l*rs)ml&Yb{N$O+!Mj*F5<;%H}9} zawTM+8Jv6vJpkvl4}8ahIXE9dtQnlo)5F2}COla-sU4gg+f)rvy+eRoh#2*ct@<}s zy#Zd^&9X_YItM3JxmIyH29{7gim+THHqGuy506=x>NcsG-ID55ZA|q}0i!y>s?N2l z<y5uFq>A*7oQ@ey(%g(QGR6iQIino&dei@gH9upuFX_zm3!CJoIk=M3kRNUHC0z(1 zMRxm)kecWGpy|J~1Y3W&6s0GKXkdeQ7JkHL$krcnq}VXc85?A=nQ3TQV~5^Gt(}4< zqYyqmZ9)<Q8YlS*OzI{~jpaoz$<64&6_W876x3rTRxiuT6R-9s#-Q{0!O-*lkcq)= zs549qeqs{Ye>fX_FhD@iP?Jmy!gl};5d;;#Z(@+wGuTNWFkRA16vG+&fy@nGKr)>0 zvKx)q*oR4BBapB~!>V8`?Wn|}Pd6Uu6+N0@df2ZUKt2lxLlFe4%wSuXO$t*8+sbXm zMo3I?1x_Alm5@zcO#j9tNnv(`f=Vi(Hg~p*MN&|CB{nylH@>xSFs4RqfrA;cr4f4e zdf_hvTr{H=JIm?06s5v+7Qr`uO}KgW7lp&*1K;vxJxn+~e7zUFOLVZ|^yGWt1Q+Bc zJw0py@+Z8bF^+f_&Lp9SO+gZZ)?rLIJ)VJ}#r_CAUz?c4fe1a{dO|QlPX?~$s3|c5 z4qZ8n+>SZaWJOOkCsf}ABF79!w4NUI;4pNA`EXcV0!ND=<Z?LJBdG+u4jjWiOEpI< zKT-znm7jbP4mBaFjdvVz(0E7Mo@CbSNUy`j5Ld%7n4AxX{w0<g?^t%x*KkZhwlm!| zwiA%=dD-0grs??+aw#0Fx)95ZcO)upKFgrM+b!lra#8R2CKB102^c&dUCPA=g4tc> zI_jI4m_fBNAo;c^jvbMh0hb>LW(MX;>KmV!0dId;6v_D(_S%TV49qgeH<=ljYpHK? zVg}|NR%Af(JyaZ8A~6HAMD&dy%$mnHj(Hu2!~A0N%7KT69OGnLIS;T-jcw-C;9<t$ z907P|$t65RdC<``d+edQvD_YfoShrXgYEqhI|LLEh*U$1cYF#6Mkw%0CZo?ibvDDH zBjV@YI6GoY5}t4zE2gS;pcwO=94ZwiW>GLg&s}h+A+gPbqjCK)aCqB+!OZjTHRBym zaCl+D;MvE~Ve+SEyAf{kN9cJM4x^G_CnM38at@Te<~WZcxZVvhJb06@U4#<*J=KP^ zPdr&C@nq#l^)iBoZ%v|appD+6z`?74K!ie7JRlgMun*4Ym*Aj|F$Z??PT)!J7!y3? zNV21xo;OYSDB>twiYaa7h+w-2MUITLSWx>wgljOG=yHAY{SkV&ya@Rgzywu0)RB^= zl5Mf6#8jvL;NslQxrFzJxKI#t;9!BsAEBqr3n%U{;jqm_k2m3regR$%OQ>={wu6JQ zBs&t(MX+Cmi-jG6FcG>mHvAxznW4uoI3e5^q30u$#blz+ct;Q#jGN0j82Mup6iCp% zlBp{_yFCHD0}l)x@DO?B$FB{eIaE19F@r1zGzK@CF?fX;ZDWbKa4=lykI*yU69SR= zH6u1qV`Xr-at1^<Z!V`NV20&rA{EY5lb&oZoak!8(WUh8oqjYcVXSX1=UC?#SZ18i z!|zB?B#bTf%8pRbh~H73FlslKbNFi1dOeUZY7qe4gi(utKG}(*c5^vL?A34_9vn=( z6q$zMSeuVM#F}i_<A~o_Zo3{Q?P)W_51-dIw-?~iFe1DOZ4#l&`)0F3Vb_>i&@&zm z-9@m5CY&DL2_l^MUahwyG0lu&;<QCS0+H7WG{gqc2w9y88pCfJycv2l&qH(T6Sn}& zFWSB}2}kqAbWSUn!tCsVi`?5WoiX3S%jzW<5aaRWMFJNH9!c+7F@iaH*N1TgXRmY| zg<!wz)&A7)zH1d>h*zgk@%+cKYP|C?Y5Ul;4Dm`GYg^YG9$r?0SPKRVvLjpJ_s-@^ z*x6mpxe@CgdlABQiAq}WnbEK1$ruEi50i3O*o@;tWHhfwJK?alB~E&yWp6n>JW&yD zM+>~H1`Dzo#mCNQdriR6-doO5z)IM3s)wlrOT;ySP-#07N*lpKHMDk^p~Z}mp3o@U z5wkkRhO<L3M2Km|JCc}TyrT$j)|~G|mP5G13nx~<VU}JRp=XsB&M{tRyb}myjK492 zH-S!IwJYFortdX|+bFDu!<Zs6ybj!Aro%fRcnN7~4+7^xoPY5tah?;2ckfuvew$3E zPatSQ4c)n=yaU?a2hN*bkQarE;c&JPli|=3CqmEFMp)oP=<()9Truow5K9Hlfc&)+ zjwiv1&nUNphqE2RiEdu_(g;0yUN}$5ea1VH@D@%h2VaeGzZ8y(M}YGk>b<X=3(Pm* zFjoyO!C7!I9J-U>6oxcUnoI0K6F!#U$zpWvS%W<7sba!;RQR#OpN9A1C(8I25XFPY zbs~omFDe)v1eZa6U#|#%Nyb5poH?L4kux=God<^*ieTN1wLhMuykawrUHc;q>%h;; za9)8c$1uB6I1KwSH0nqHR5+ZXgjdqa@hpiyJ2A;{H0kHhOpuIV$u^3G^F&Hmd@>i# zmat4|$hpc7`;jE4OBkcXHHbdWmW&}eo53F-zlK8r=1N6>082B-Tto%v=V6r`D#P*Q zyqCm@t|*&c&T@W?EIZqID<Up$0@XNrocWa6PJ-TQj%%j&=y&hKW_VS<$#1LfRjR$8 zl~;xRr&qWzn-xx|VDPjG_AwRY<ctdX?IaC<@cWewo>tjD**{QOKL;V#aLmoF<&y1M z&bEZLXDxBDNDSI<f<_apFBXaD@YVj34keu;iRl>Gz(lt9*Nf(1z6;SQIMj-w$ngUW z9IsxunwSjP7LJvA!L}S`#A;&hA(W>r?({qhe>l%raAKak6T^%`ybG6t!KMmPWIesL zalKle7HIQh#mtWYah@fH)ms(pLo(fd+Efh6L%;A0O$@7dP?(G(D`7oB@$1ur5|$V? zFQ)qEEiFXpKiDW9eXd0?VG!yh+wm0pK-D{H-#h#Xix^uK*6yF@$KOf*gZ!w>^BY$U zA|Yi!2gnw~BFqmh6MB?k^?H;+T8>#w_LJ_-6N84Jyu`!9Wc4y*(6?|2b1PG@8VP4s z!g9>!&!CA&g;tv>tzKJBkN(~;W^}#r#Grc3Vv2eLg+W>v+L|z^UK6O4(C1fp^)yp$ zy?RoSPa)BM;_7(H`m+Y}OGtVBKTp{X(YWXm2`NJ=ok90{DTZO%ybBJAIh`DVgO^j_ zx1QHFlV#UC#tf?08B9^{;4!FPs)RMQ&7L#*9dKs7Tx4e>hA=UvFoMhM(-S1B-)dvI z+%^*=L%gMVY?8LwppjOWLA<fRF(7!+NWs*vJnS_j)6Bi*eqJ$FKjEgt1~E(T_G7HQ z{fO(=Kkul|XP~$Rw)za>g$(UZ4C2pe6YiC$q;KDBDh8RyuJPL^2Gz@pL0-SazU-q? zHC)0xX&<U$uD97Us9s(S^74vxC?BQjJ2|V!ApQtAVM)WFdU-L(%PY3hVhS%P))Tfz zxk%K9seW{SGcoIegKSSSie4!rY?jQfUgyRO9+jBNQ;hW_mFo2*gS=ER#duJxsZ^@h zZ49cX7!Qgm+6S-+qa_{`D={7v>o&XkN$5#V0$h@xews0aiILFioSwk;2i0q=dh9%8 z2&a^U3fgQE&O-(rHK}4Z_l?X+XV#GRe6%GorI%-{A8eipTJ_I0YSsIeI-XIiU*bWr z8*lsYHsSnWkXM74Vmv4|9PDG;gtLf2^%Ublv9stiq~aHi38xH$>M6#9V%_j9Qh8r8 z#PXsNgA;n2LEHc#VXC9(DaOt<-i7fAY{J}RZ_E+~O}xZdf7!>j3H`+&FZ)=3#e-t~ zWgplk^cREbDaM0his?v|X{s7KhZ$5)F&-4_oNNp!+@j+XyH7E<UnU6uZpAg4Z_I6m z+XnXt9M`Q}!*lJ*mGpYJFX8?UXFnT}hB{n_Q^$U&yAO`KT)|Rz6PzC>dl1fker!7C zRSn0yxF%&@55O_6)P($gY&zz30FG;Lt{$0ZKe+yITs|`I?QoC4?S^9+jp3TZwMr;2 zF%K>p6Z7C2Au*4~At&aMlaLQ}xlW|c5IClN8jfk&{Tu1*GL(5;40j3KcsS<yEFAOf zl#uU#H~rhFYc1SF<TXusz6LVS!i0S7B9v)n!My=@7_JY}^!qo`+2tzpx<YyV7Gz%i z6Y~49>9~sh7S4w}xcX$CSHsPRyB_Wc+}m(R;XZ&XK^X(!2E%b#X+Md1gpkhWaU=Xo z;aJD|d3}N~*13M3BNFnpD^ljM430XV!7<I4e<Ph;s4}lg<#ilnUgHz;`?2ZDptBTi z8QdLktKhgWw4cN{F2U`Gew&sHLHptAj|&y9NVycTpK46o`{542@k+}zJTHazW8?TR zi*feDv<zcfUh(Y5UK#k^2WR%g55JbOAHLD;H~UWg$Xyk_x39tf*=-i;8?h|t?d_^C z{hIkRr%b=9*OUd9Uo|6d4zCTdjTZ22V!}oX=BlBM77k;hg=2wYcg;<?V4k<vLfbrd zO0L^B*WHDU5PprFv1!Wv;ElP4)k!<Z>HKGmyq{GtsQp>(zi?BBTu|U*kA^0B?cFPw z)*l=abU((<Sun-UogBRXUM^qyy<9)M-ZP#5U`nX*Mbq04Dj18r-a}r!+&Ovfi`ZK3 zD(0yF=~WYZv^%Ruk2dXQ_Bg8twne!2`eOGBSVdoXeV$vJQrv&S&~pdD%K9oJ=5_3n zKXh1|p{;xL=+XDw9zABX%}I=NGnQR6_B^llzj0G%weR4<H2maTw?l402X`!aCcuWc zXBHbw$aQ~%_B>HOzMcE|O|$adtv43CwMnzuv^~eYp%}L8+jT#uje7%3)DOw$#tLb9 z1KstoPXAdFc8<XQ4Id>HpEvZ}bNiwiYmAuNN#S_~-><2c1iM=xDRcQ6x!eK6^Is?B z{j|LOSkqOgcwRxFJ380xlsnQLod@(L?!!J6i8kE-5b*l@6`$Atf4<J^_ekn2v<$xi z!9QchziZ)`4qZDOMb)|61baTu-B6b29$sAKt__BA-A@(|aeo&a@6OC!+RJU3=UxWO z^DXnxM<>gMV3<VQ@x!|1L;Pb|9>gczA#QZhLdd^zDIau)^oN1^R4QyUDUKq=j`ATU zgM}u8A&D96c8Bz!wn@7OJ5l^JIT!K)Qy6l~@8+iGVZ#H2?N)x{*%;(m9Pk_8$+B4i z$M_<yX|>s$!R%asikb*hS>r>64Wo-@Db7^fguUU##rIt}Ee}(?NrxsG?kM*<`%RzC zYcs28;{Ly@ikmXT?NsG<E$(AR1a?%w;KVixne4MiZ{kt;Bw+Ryz`#vm;N3TI?}R>x zxFyN#B=8n?EjWym<4~wL|EzrXZHN!}v7JE=_hINf63lZS_b+od-muU;5eilHZ*%U1 zzCDKa;J96d)8g~ckn?7HC+5$P{PU0*(uo6p=-_rZN!^54H?w%sSWE}gF&*&a?1gO^ z+{wi_C;7i?u6s$ITTzaE3NWdlDMPv$u=2k%E&9%_!u}>^0|N#wFUuQ+=DhA7?>5iH z?Bq6QmGk_`*vG)zoS>d<d$YO0Kd{iR{RgH@88vV;ZS)uPfsOunT3Xv*kYGW-o;~=2 z-o7bQMqf1S`~jX0lbc-%t}W=TZ4(N8|JFtW^G3&aT);&ueEHmkGv{A^_3ZF<S5IGf z`88KB=<&U(;L>s0<^%s@J0+OzI4$c1Kd?Ciw|O{ya|1Qp?EPT&KwzT^eA<^_{`Ase zGp~;AixA6y`kc$=`@90!o!+Jqb`ONu&Icydlqu(r8dQKo02JCIF+RS5!fAUc#I{xF z>6<@u!F6*N!WQZU7xc^R%zhek%{6lu<j%Tge(w3BF3KHv)wG#2X3U(CJ7WGd(`PPN zaLxP$U2=Qnot@V+w=ln;u%Iyi?A*@tXU?5@*@Br}awkEh$4seo?=|J@?$hR9cJ=f* zx$|dUfB6C&tK5RVy?W;N{)zAWept9%HuJJ+m;0Q2c#|%;W<ItV=zdWzU$8;)MJfK& zVB<hjr<v2j&2m~gt(-I5Y$wOx&gtOb+mL^}=K+^Qr9ZUi!5k?4@9uekG5-JdJU~C< zgXiq#b0+@d855q<dY@jRg-zED0rX>)YCcStxO}xY`-2f~b(nA}j_1=n;QH9O0gw|{ z!-FsM`8wm>_vQ%aT@v$Sy~e;<9e91M@OzVi2?5qSt6sV(Sy=Y-y9j-3x@nLT%bkY; zs#<&HvOHVvb?{pqW^n=y&ZS2m8+R?_#QeBzVJ5=Z4zBKPewFZB9e90>dgC=}HdG%Q zw;Xa}ewoNG9~EPM>_(g4dibplyuL0t9~;?&lMr_={E7LM-01Zy^TSUfWBF~B4$ozN z`jy}}u4YAUL{k>a+XYA3bhAr;+@1$VknS8WEA!vh{SD=3qncju<MuoljCR<5ZUSZ7 zFOA^0W0`plLw<jtM;}`*nb17nCxg%?1Y&so(>)KeF7$r;TR%T5TiS9c|GzyC;(H!& z&iJ7{56tc25AJz@NgE#y?f;!U4~nRd9|#W=hl%{cli`<&)5Uq>4dPO9g?Nw1^N{*K z7q^LzihIRs@vs=e0HIzp(d<P4d4lArB<6=1;v5nuGWVON-Xh6MB;O`^h2(oBZ;<>8 z$=fCKHEHJaqQVbI{*B}#lK&+6FCyPprJjqEhxIWw-61!ToJB%zFS)a1W5XTkOBFs+ zyhQPrNuDM7TFDjS-Qp(E*jz_`#^yTsJi}4$LB$`E{I=xxB!4FP8!?2*g6(KPLO)A# zYssA@_Ynt(7m~PMjUu6EY@{QfX_Bu}I=&*#_$88WA#q%-ZS*R|Z&3VZ$-k7mQ?jvv z&UR8pxvwewEv4fIwJhgD$+eQdlKdTsa$QW6)Neu}zihFi*j4eywmIVY_B!=S6+TMo zCW$k|%N5VJ<(Thc$;(L8>t_mICHa2I4@fpP%AvQ1GU~^-%c%E?(jAoiUy|RJ{ITQ{ zB<lGU3H>DaS&s~{g_unuzN6%P60VoR2Ppg^@e*;8;%7*{Qu0C)^(<HTa>;i~UM<<! z5=VU=q73&iiFz2@;Rt`3GWcteU(+-G0}}2N$#o>sIhYX1RIwq6^k;}2NYpD|JcmU3 z63Ig)k0hbTuh*HLUw4z&DxP0WQ@&a9oh0gTHwiuNv&4M2iF|~?@E1s=ds#BSmS(y? zik~X{EAj6n%1Oaju}qgiLT)KJM{*a*JxG*Or0@$A&aca<$FG^mDGHxSBK_40U#xI` zZOnAHOXk<bl-EgqkVHHFLo_zGQNL=%zbd|=_`~8K6#kLqTJal&yI9k)o!l*)Y(%1* zW@3)QyGZUXo~!V%I9wc~`0?Up3ZE-^o>-yq+r?GleTv^8Zc+FzN%Y%Z68-X=!uLxy zHm_0tHzog3@t=}t&!5G=DV)2Ov%L+)rX<p3iJir6VlfFfP~?lRlt+`$8z;^duM}@0 z;g*X(6IYSYyI0&Q@~3JHf0~4QQ9LLfA`$<V_#TOVsU^`b#s)R~-$+ivI*0kBl8A36 z`3w?z9TeU};k_i6h(i@Vl0^E63ZJR)D<oeh`9{e<m3%je`racxsPG-)W8%|_e^z`$ zJS@IXq8-P?lcKc|{Wqnf0UDOqNNh_&udCQoEK+>2I7}QNP9>pdY(InZCEuX<o5b5l zxGEC;yMaXd&60m9d8g!OB)>$Wp0A3(Q~3L$vFVI_jw}A87{JXJ^+IA6iF9qnu3`_x z_Y^M>2Z`fI=uH)kZDz<<D}KJXOuSWGMIxQC$qa6h{E*@w7O}iE^~7b+5W$ALS7QBf zTWn|^(?jkqxj;1QWP}$>4vRxXvtC9#UpioZv&Ab#+^(AN>&5@>9!}e&w?o_`J|R9Y zz9b$LYsB}(kHkNVC&W|Y-$Z=vZR%^*<7iKo<ZQ8{*hQpqCbql37#4?!v^K@~N#b;I zwzyDSBvy)M-44BbB;O})7PpAM6dx6z6!(c{J&$}|k<1@EvOaH$e-O={V+j95@@Jx1 z=Og^Dl6jL%eLQq9guOh&hN4;TBR*TQS@%OeQ*xeID4M;?5PzQJusB2<EshhXiZjHk zM00<Dd~TGyRQ#!UySP$ZBbxgM=sh60{vJ+`EBtAZ#<tl0H^kqHzZcDY1>!%HZ0;{0 zAD2ucJk<YI3}_v0?mH0PP_o_2sg-2@+Jbr=MZ1?%f#lv|u~;Gw7VTb6qa}|MFBQ#w z3i7`~GJiM2@~#sXixnb&PRRHbqPcH@e4k`<{{nft<VVEE#ivDc|AKTcN&b~+_i{QS z`E9ZO9!`H%cvSRj-5(S)#KvMvv9;Ju%ok}&i0vvCFAxWb!^P2}-Mi^h$uy=${cA;Y z-vfDx<mF<eSS79&H;55&tGHc!O8igpWs$$-V!6K&&3zGM{z!@8?~9*`e-=-Qb)ti` zOmFU&z{ZlBi|xfTMRT77f05+##ew25afCQdH1|*NoBJnlj>4}MuM?Mw%S5|3lewQl z*u4s0FWSAC{zEcf4P$$Di}m+t+OKfFPQ`Tf_h{np2^jvq_^<Y8GWTIfo5cGUu!-10 zJX1VNEEM~Q=ZP1HBg8S{W#UYcuM4ppb6*CQOTI<CL%d5|Big-~9+13Md|2EiR*U<^ zx5VFx?~5OaCq)=9HT8lWT*D-hud%Vdt;BXBU*BSQcd<a^>s1WzFAfwh6i11dh(8f8 z6X%F?#RcLG;&QQ4Tq&**H;E63zZ4%89~Yk%pBG;e>)!|dPT_XXrTX`ScCV$sDqTSL zOChnL*hFk4n)^fa)0vXb5(~vX;(6i);xLiFkYhiO6DNstM0?+Oo#e%$xqpP-a>@T{ zPo-Zd-9zF|(cDiWAG?>*^9p}Wd_(+$XznYK{u9ZciC>AQM00<Mbg5V)vwbbZGsOD$ zm%SBk_e?rpvbo=cKFwb;AG=r5Ws>b)N&FEe<L8TuMY~tht&;B$SBh)IE#fxuQE`uG z?njaTvyxvCUl;kx8O!IZXr$dM=}(gRMl-|t?lbvUF)F6({*CYYGM=U<$@XHQ*hjQ` zA)POIusBSliBIZJ5od|!eih-@N;dbckjo@jh_{J%itEKq;xEL9#GT?^@fq<s@sRkI z__lad{8%*ix2TW3pLKNKmnqu4k8&k<5YG~Oh_qSC_LqtmiWiA?ucHZ)&3!J?UnP05 zxI|noR*H9v_lO%sbKi@6{zJ04|Ao9;^5fzQ;>+T%#6#lm#dk$oS!H|d-bR0u?C5?o zRkV8>HIr=jHfkr??rqdva)C&@t;|0xUL=kb$BUE2>Edj0p14rFNh}xb-bO1V+r5qM zle|fMK&*d1y;tE+iZ6+;iuLz6dPm{!iJyyKil@ZCi9vjK!S<($jl^bRwwNn+5xa}^ z_c$7;@C(IJ;w9n~ak@BHoG0EO-Xty)Zx!zr?-AFFo5cSRe<|)39~b{qd|rH2{FV5o zctm_p{80R}ctWfbzZH}4P@DakBHF!=no72NAGMWi_de<-nRbJzf4+F3c#&9t&!edd zpCMi;UL)Qh-Xty)Zx!znSBdMy`^Bx|c5%1(xJbLktnaJhA@MEoJ@G^FGx4}sCw?o2 zU<jG{rHf6(7GitR?sY^<%1qx+EEU7z#o{P&vN%<oBhD2Uii^bMVx_oRTq|x7w~2ei zC&cH(7sbQkZ^ie-4@KI7W_uibVMeBiG^kCvrPx~RD0UHhi+#m`;)UWE(e7<DRq_mR zu4wl*DwlkVSbtBW2Nb?ld_>$Wz9POZ(u6hJ@viux_^C*f){GB|G+Ir$qu5I<5{HVz zMVhK+`m4q3#KmHzxI&DG4~jHxP5l?dSH)k6Z;MC86XI7QjbBs0u}IU`lskzueoeWr zNNd-Whlw<LO?j$F+t-vAh_rr9`Bsr8uPLt+Y4V!#4snn8g!r=fnn;t_O#iX?x%j0> ztC5UP5@{%#atD!yvMHY}_7_XVk>Xg9hP0V}p?H&6F4B}X<2Q-4s7-md_`LX%NYmPk zKO)kWHsxa?O=?sAPNZdR%8f<Z)~4K1q$O<1MIsGqQywbPpf=?z#Gi_{i!`9k_>Cg% zWK(`rq`7R$FNn07P5CYH1Mw5_3-K=^jbt-@W3i>!TBLbw#utkhh=W9$%Vzv6@hb6J zak*G2-YwoEt`|3nzYrf1X>gnQ?-OZvoAR$kTGpogzDNVxl)n;bMw@a-q#13>XNcX! z0<lOe7HMXi=_iVF#d#u)Z8QEBk!G_g-z(lP{#@KC?iFcvo9TZm(#$sHPsQWnNs)%O z8Q)x_y=}@}M4H{ETrAS)Hs#?Wt!z`CCeqk8<?BS++@@S9(&9Gd4I)i!Q+`CGrESX3 zi!``R`Aw1Lwkdxs(!Mt3Z$z5errbcJwQb6|B5iF`?kSdvVUY&589zasD$Wp>iMNVt z#C0M~Zc~4UNXy%lpA%_-oAP0iHnu5$DALq6<vNiTw<)KIG^$NGN2G0S$^{}#Y*QW} z(uy|aaUzXrQ@%o^O>N3cM4HZ~TqV+UHsuG!$Hb?^YH`0v)7nh`zIals6KP<Z@o6GW zWmC=(JBnRIn$~9gFp;LTDNhk;Je%?|kv6m`uMufVoANf1*0L!-BhqL#<u^py&ZhjH zNb}f~Pm1mto}4VwST^HZiL{4Jxw}ZS*py2|TF0h5TBH$d%Ckfo!KS=eq!Db&E5r?A zM5GyP#_tws4V&^yB8_5GJ|fcYHRWR>&0kagyGSe8lpBdObWOQ~NPE|m&lYL+n(`2l z)~zZ3M5K{x%JW3px~6=Kh_6Ne>7JAL+RCKI?Vur+VTQQe7~(WBM0SR`Vh6Fa*i9@F zi^URgfH*=NBaRm*i&MoJ;v8|VxJWD$E5zkurMN<@5?70x#Vz7Cafi55+$%mQ?h_A) z2gMrkP4S5Mws=(hKs+v<6zjxqMZVn3<5&N=aJs@X#inBY=f$}S?;v&-yNN|&u~;Gw z5C@CH#1Y~calANLoGQ)`=ZJH~d7^#pTp@Y6SShX$tHjmfT5+SeP23^w6!(fxiuQSQ zwdDO`jrgW`M0{I3Dt;h-B7P<w7f*`ypHG|jQE~jz^}IDxY%11&UY)D(4r0F8ODqzL z#S(FVI9MDeju$73Q$_pydXD6|;yiJoSRpPKE5#LJmAG15D{d6Gi95ud;$HDdai3T% z?iXvsH^n33+u~921Mw5_GqFzmR`lsPZT;uo=?c#jX=sq=oq7Kj%$A%hb`Z_`xQOp2 zIbZB0mWTty`p?5hD13}KUYsmW6=#TZ#JS=;aiO?KEE6ll<zl61pPR3hyiwdNZV|VM zJH(yhUa?x-FCGvNiZ$Y!;t}y}@e}bg@wj+WtP{T#`NIk`ABve`Q!z_4?-Ap;<VvQ! zP3m<P?Q{3~&)Z8BKR_HT4im?Vlf|i`dG8qc&y&1RTqKr>_PP5C$@QPVZ&vsgahte9 z+$ruAtHu4|0r8-CM0{I3Dt;gy7wbQV|5o84w2A$eE~aRnYs%{rzMIO@bqae@_wE(n zk~;Ta?MYo&P|)Yc?n%8b4~5_>hh7GqeJ%0pqwb#`AO17!NnIHZ-BW%1n_EH~?hOaR z?uqaEW<`eAUYN8tlzc2H7{tD-f4Sw^I$v&K#k1In7km5`KU)`=*9kinpP%z=T`)Jq zJ*nMJ*m*MJM9A5SeLLsuS+J{NWs8cTmF<xFZu}3Fy<QnAXjd03#vaH;t!us?%eaQO zx2p@4VTVz7$nEXx{N3)x|3KNVZ_h077d?o4+t&rl-a8arxAoZb!Hi?i`$8L<9C!Um zt6J6c3@2BP%rU!T2cfz6_FZ}0dA5zy4^qq2nn9H<!)+0MYh@rGA#=H(^vOfM+!pJG zgunai-@j|Ic1kU-i^r1O3u;2^eaA|Z9?cn48wft095@m3l^id;Wqa$}N{gObU0Qv- z+bttd%Qx!+)dR8Lv(s*P&K;#M)%mNFYyC)_=}S715o}#EWmo2>%YEUxP&a?OQ2rgI zVYDRaMDt)~P3EVYeL*<na?=Rx6^%4E`3n(0Ig}sjb4TgthYtA8$m)=lh23mRYjRq* zZG9(7-do!1Ip3MinHSUs{7(1P@F!!3+Q!G-hQn*e2Ae+T%Y_U}lfKTr<GHu^y7-Q_ zzU9n0yL~?^Z8Np$fah@}-DCdbteSR}^Ku-s?=$!Ru0TIw=ir7;Ur1>wHPb4K!np|F zS{dlIwBojGf8VbTxyJ^&Q)<@*I@h4LlS_`@zN}T)=~#+dUzSsfR)q?GQR>ttIn8VO z?(#Fd%!W3o$qZZB-@61FzbGw%h7ZT`1oGYQwnUCIYF_+HN!l|5J3seK*y*tr|9xM+ zfHHg?oVFN0L(@)t*Jn}Agn_|Y>{T6ZbhythhWgt&MH2=#3#S}z7WNl(WJuANitX79 z`|3AS2KKFa{aA8xS!k9ou*YfZ9vkXT%Nc&$m+|w`3+e*L{I!lh<8ZrO{<fYRI@}7m zpx(z`tP31%()#D6U;pNb(wC3nlsOhoYEt7A`P#as*HF?K<9Frkx*&(XU`^LBYIDZr z6>G4=aUJ$l&aDm|OUI83zboR1!fve<Y1ol`%(CFd=8+bgvepMy{>c|QJJhEpEBPMx zKL5QbRsIdZbxrtE3Xa)ZH)n0UC}-~}-&E|MJ8$o)Kqht&uEO5GgRmbl_VP_RA8km_ zjV8a=E}H!M3$>V?qb;ian;t*qD|s9{2Jf#84rmv39_kQvp4?yS_qU6-c%xmkQP3ZG z0y~yIaVqG8PDyobAiZ0((dW*ZtoxmHNhd<7{`*6{S^nmV4STXSJdLukM{nlSr-Hdp zp9<t-x8(D&%jqEGx-K{BR6=*wW2b!ikDc<DU{~V-sL#3%(d5egraq0{L!A&G$bAgE zAHPuRvb?mjqAhZqNT~PXoK%$Oth}P;=~F)B?nfzse3Ufrg<1!tBx7G?uM}tnIz$`I zMM=oXKlO#$U^qAGuJWzw6m=_K_R2bz8*NgJvgV<zOze4l@f)?llrGUG&!80l)7WPi z`>?W(gPe`nvvo7}_RV|^<v7L8YP8$+ZR`|H4(COaS9FQC@I8iold%u-2<&q_ATR1% zj+z|UUz-uc9>LFcLN9VJX6$(Ed&TR+q>kuAKU=dN)(DbD=Q#JQ#s~=QOkS6=KJA#} zCPgr71$;gF*Ce0#u6RvO_Hn0S&$`f#o^`?Wo^^rjwl&Sd?^pJy+}NfSday;*Hw!zN zSGM`4;+qyx|L2vvXNMbw8y>x~^1aH;sJqVJyGb-{Ruk+-+$8FJ?(gNC*XEl$zp2`N zW##*o{@#?EAcr>J+#GF%)JRiVu^XvBe;0L1ul8^Jy2<d`Px}V{($GoyOT&~`Yn=;* z)rE=!8!p2x#-A64dinp-&=)G0n1elyi~OrYe`y$US01SKg#)XG)dl93pZw(`X&YOt zYrg5IFFh-G%$L?;bt>oIpmXp1nouOPK5H%ZUf)?B8eV%_2KFV#&gB`-J9U$H`tQR| z??(fxgDd?Tech(i{3Lv4IBR3?x<Fd5y1*IOv%DBH(A*nyGNaCk%y5%vunBiH5A+W7 znz!f1T}W4kbaRm|8|k`XU*n#PbBY>8{XJXPbP2Z$Bh5ynSy50IcpZBo--NU~m?!o| zo{W96hqa7`dbWyU(|~C5bnG15vq9^ot^Gysp<kLF4dO^;IDxfUyHY9}S29m`owNQA zO<eQ_t{7>fbJ9-u)7+KLJ&{8VxQG4S?ZXqoS?fRi_%8gf3|}9<J)HWnuia%i4R{^& zwW^p{vA*K>6&MjgUzhVvu6Vd;S7F8cirp1oR)qRolylz6TOPJ@;mz}J-hK0zH)r<o zpL_Dq^&jR|W>rk9oLI57a#O|8%HcUjD*A^pt0y5ZKd(c+9_O7rv18`0WL#SZR*tQ> zt@6H#mnuW~Z&ic}o&M*Y{L_xpW8SJrzP-iG7@<j}w_j0l+wG55ymEVHzP~?4zW<Ag zPY%8I0p_cU(8{#%t>LC&=Yx6Su?QKzv+>7m!g=9OKX~SZuVMMgeh;?}7lp@tn2rkI z766}sgOkta%*DU+v6%5;p_BN*zQhji6`arz1iL>+lGwh)=EsQs<i{An7oKDL5_1C$ z_Z|MT`x3858thBl#~}F@M8)?dz8;c4ko*MUgkB~>0rMhvAQ1JWFMy=8pltUg9zg$T z`x4J!)^=0jrbzGYOB~xs82b{({P9hNY0%!UO@)(pFbli2G2aybQCl0EEVQ+8s`G2) z;f#hZe%pmU=EpgSm&dSo8GK`2$N%ndX|E%RGj<xhvnk@W4WDxfV|P((g)Vo-G4>c- z`iJ=EjAtzNCQienG@mnpQd?w_j&II<&O|RV)POH`JCmP7*iDFR&@CpuO0gg&^62B4 zyiI&IM6*xuPpEpek+4m$k$CT#u?V{a8;NgNXDr5!!k02-D(*uv79*vR_^V8BSKleD zPd>$m*wCpIdGFPrBRtM!6#s~k)}S47bEZ+e0v*&~JY%O*`~yU@3-Sy~L-0La#yo6- zJd@HdAT`8B!?UJA$iN=M#`6+F8~g+zp7<+tdV`)Ud^VHuhgaNS_=-Ic-lu^5g^j>h z#4-`+<8MYA@e2`WHY^)?ZEJJ@9?AYx#9tGSFNW;PEWtniYSh!b#%Qu1JWWr&DyHel zd^^h5_z?c_{p$+QS?Ig~FD89_H;>pRU%>MbJ%56SzvgMW4IZ;QUv?UNp8B)U;a6<V z^$zA}Po1wi8TAY3q5388H03vGMxC!Pq29S@$T`sQ>b=<1dj+DrdV6w&vT3m=^X()& z8ieM{D7c7SvDCSd1@re7A0oC1_v<`GPbYYoU(>1ZnEedXv6Mo={7Q?rYhJ<2O~Gvt z<rVD7XHzx>d-Cm6H66wq=AFpFx!w7TZ82ZwF)cnx4_lm!q1}|<U6~elH3QwK^FEtH z-?`H%#0WF}-5=3LeIz|ppF#D`NM_W_jC$q>$aA6N)%z|}?<z!j_4eckDVutG@-tL5 z9rghVUc`d$aem2y*<tS@)^ymH^svL2U(?Mjm_JzI%Q8~u_W}o~z6Psvsb?YDsGmg- z)%(NK^te?YY1A{bpvs?{diCC5>OB)tUcEhe31w4nPv+|>7~0UhG^Y7;quCudxt^vc zo1BcMCzl}JYw_QqJqOO&>fDb^OpC`O)>QdQdRS%V*R%@BOpBLN+t>U!n&^j4dz`~w zwQEe_s}SuK?#a6-o5DSLKjh3Ok(sZaA|q1Fsq7{6HRTsEiCHtN(^B{{+2;?(GWw0F z!GEztlaVKXP1)4IlleYMbN=YJIoz%Flo{!j;U)I9$U>_4v59ASS}I@jY-GeYv;QlW zVPpR^v@$!Ep(kS-Pjo3SH!Yn}{>At|(p?z{wsb}~`FKX;G{DdZOigo~GdK&L@jCx8 z9~`9rzwP$P7b|ehG@FK|d0TkmJC~S<3DNHNsaU(;r^JTZ{u3g`gx&nJ(dm1HHm<(` zXcM~uXj5+kP_yqRM`H8%uAwdLW}jKNFLTgu+!3_p9m`;!Ep=rn>eVW?dnq<MEyj#= zMv{+}Fds}=n0}U+RTi?PpU2;<6|foT5tGRNYX=VuA`^V?+}rb$zQ%BfAh^i*r<;LJ z#VCedV%jLBD!lO=Mly%yUT8MJ%o1!EvmO{X2pUD$I*f|Y=c$b%Y#sjLuAofM9dbyn zJh=gY^cc)??57gdMOdH|y<&b$0YBLd^~dgRL>`>$yJ=~Jo<c92zcVlKylg3J#9R_{ z<+YL<G?2Uuz{)ehjfNP^LRQ1kPp*f<rcBJ3IVNuHz&gGL$1sv#*5Ysw#vTKLS(hJD z<3*lo<WZwGiRh<F{3A6^MN~6HTE}-Oe_3UI?eq^y<DAN?G%7|6L2_(9v$Gsq+}KhO zWjlzed5OZICa#F3?qyQb(k-G0uT=~9fYE%IIXeNFQS(dV9mUC?je=ooZqiiYd9BWF z#C~oPj<Z2r2;ue`3L|Y04uDU?!I)y6V~)rq!W#nEpDI2C%#mWtu!qv#@`OQPvqSSS zYB4`@V34J77>S#KTF)E6{MZ=I><!DMGq3qbvK-)L72bZR=Kwg@ciqwmdyQ>DIKdxH zq4b^Q^sIn`1#+UwgfCbW*$mG%FPwI#YdkN(-!-|ug-c_@5Mw<ZjcIH;KGrsbvWeqO z=xAahoY~!vp2?mNh|n|56M_+X=E9+ts{mT%g_l?lXO!vTPir6qBJ@1s3Bd?G&%!~& zp7rd%_-rxS_Ak4)6C9#LWFZ{(Zy@@?xxVX{M(8Q^gt7=d6FuR^2tCu_pz#90i4b0b zkBU(`Q4444%c^khVp;Jy>=Hzq4_+?P(H*lnc67(Y8$TxA_=WyRyzvXY#_u+bUl@$g z<28Ovyp%C)dpo!U`|>O>&5WT-$6z36)P~YApv{vGRFh+((j4$n1cw5Q$|p>7As+9h zrmIKAOS_a4EK6ilz1Df%>~-&@@$OyfPw3vIfrRc|8cgWkOWD0%pPCDZH;>c4lKHUu zJb75$KPsBX3UkR|ZU9FAA~>WkVa^9^9CrPppAY^qa}eIq!=`CG`9_%D8p*@61uhAh zK!aZITpY`DF&z#YVLB0dynW}cbt3e5XDK$X<XM^zm*iXQLv`pK0f%G5leSVZ$eP}l zP0Tp9Z=vaEGbY|J-q^L!wk&>_$MR@<*T&d<HAjaT^A#85T*zVXx+lxIt09FvIJBF` zcQ70>9T7w==;xINW1GlA(U>uIl+)80&fEVEc~B^X!^kHzvF#|g$0T8%<Seum4nc$l zTnPu<9cGk`BeuYq?d9m%=7qli9QM4#Cvceh95V^=7lvjs<FHgcpDZs@UC_U*5_>YC zCY%Jl3**9oy)a_4He7UgZOp>i;9Y{+2Y8+2Z*;v_`gn=3v3$zMU(kB7#)qoe*v9bl z=FN+xk5+(eEC!nSB-ABn>!LLNdbJ7K+SvGd^$FVg*!X%i3fdak_<D6hPsZzX&>X2t zh#I&Q-$J{g7)ACG_L2+Py;>4AmE7(PVv}QUk`VR=UhOYQ+;@%5=QR~ahmfw_A%tQc zit==AXkuaB3TXlnPguWJ%()QRBrc8^_5;^;E)%pAp@!E}McKAUF3LDtCTzXIAiFqA zOja**CQDekP{Yf-*Z*SgO~9)t(zgHZ(<jLx2_Zm0Wb?2p2q9r7qOu+^fIwIUlzkN; zLQsrI5KwWQu*xPu#dRD-ol!@bk-=r$2i!&-opBu8z+}KRFF5+$clBN8bRsI_=l}h` z^IdOWsr>4x<*Dkb>gqn-wZxV4VatCQ8E+K@o?5IELj@Eu!m$(uP9zZ|aU%4ld$368 zRxQ_Tkzc|D9>Mr#BR`&KLL-B+zOefmE+kbm9T)-EA;F8Mia%Q{uZk*;_DreqRTyk1 z295EiLnmGt=$i=YtQNv*t>$d?GAGq4kxmgP3Um^53Y2r=loJ)kR(CdH1q;!-;StED zN2hAaZK&$)>s<sbsHTyb_!I`+wAE;X9V>bu&(u`CZP0u4F;b{j@qs6Jn<$;CwFNp= zJ2N^}%Pnh(T~)A{j=*isF|x<7jIOG)mpq+XBRI-{2-LX^!M=_ysaiyvdFo~6<!#$1 zotPE0Zz7=AhN!03I$OQ`0l`>-opGw3TH*p>Q2rXikD_4gYURfe3t)nU#b5Rj7~EtD z-mF8;5<bqD;~Shd-2$8Q(TT5j0`2(}h~16TXhKSsSS8Kn7WiFFr#urQ{`-q>cl_IZ z+=<;6o>y=n*E;wRVvh|t?OR~(hIs&nw~pp8ZDH7Dv-DQMa7fKMnD<~RVC)f$`w-#> z<Fbnm#@z^+Lt{7$#vY8r&X-}?>oUw%m@tb7#vaETj=I<fQx|(s>e>!NU0f#69>*Jw zy4dql2Zs;YgKq2rnFeqC^t%&=Y4ApFkK+wTUF_4SD_^?!ew(_Q2J|ruyC;TW=f*IP z!Z1wppAe3^*k4f>J0$A*Jq&fV4(L1HaMZ<4jJnv7QP&<A>S`O%$1v=S7-pQpaM=@v z$^Hr9sEfS_b)5%8UF;mFiw{!vINos7#jb+7=1AA;Aa$J)(8n<BIv8di48yzy!!UgU z;TR^QFbfpsuOP$3enL3D-2N2iOPC{p_(8XC0`B&0GJjRDuL&N({(#rJ`F(@0s7Etu zVv7P7zoyVrIqor+^>_Yf?@%+puxKLoHo#T`ID?<IOU+x3>(%e+nSC3uMNL-JOCReM z;eYm-rw=*@`%Lulep{05{lUr4?Qr_(utz;?bRxGX-FkStup`P-PPV-N0Pn|5uxxHq zkvU_04{QZ<Dt4Q=9(ziR_v%Kq{mHc@W4%h}8E;idD981#aC>@3m-h5lxshkQ%B4|Q zvaeUf60cFd_wLdn?^ZY4s~KwHdV3M_L3f+?A_6|>W)B%K`_$P@Abkp{XXLIkPd)S0 z7Nf8g#VqWI@(9BI#eKbTN3TcEdEVmu0_<7=McB0B!=>0g1mEjvKd_X$S=``qqm-|g za?_OSjQiy!`Na_a3-=4xTemdZ`xnk{1<nub;4Mel^vItCtz8iaTDcd7RfWA#s;in? zMb$S@wcJ+)mC%%3kkEw4ADVnr&7ZGyY%qTbrK_Q27tFKR-G_DX2WLiGg#5tCo^-tV zq6+54&s(;48%pnuP*3m9%c9-~q3lMTyv>*8d*z{?*Lxe_bby<c4C;+0)3HSp>;&Yk z#SSK)hN3u+7RLTTDB9|*oYTEavavtN>A&z^KoLHIYJ6tt3@{2V_Z|kHr8~@KZ@Kru zl6$-z-ZS3YOL}^Dcs&P=Ikn}K+(BmzXxaA66_<Bt-ysH{Z0}Al+j|4s*4*!9cf__p z-uS53D(a0N>$Q!xp^%;JP005iUYhSMik@_mH!AzoGn+MQ=Dmd`vmH$)VUN<iNkI0- z_T&yM*n#L;H0>{({2>&tK!F}bjgenC(KF$8DjIJ#+lIX1j3^9-wjcHGf@em46R%db zH!|BR>WQ!8u50!`32rD-IAZF8$wgCV<vP~Z(bzgV+P8#zc~sp5#ovab>Lwj#PmlNp zD*iSo$L!Rq?NyTKM83PTh_+K1s~te%334kCZTC@i;}a?Kw_W+!wmd1CT-X;W{-mv2 zv>i#6tS1VyinBH%!O59@XILA#@f}g(o2mGl)0iDk>^>-o{ZZmOqL?IDOva*|Yp<1R zJJ`&aJ$Ej<aR=(mCM;%)mTJ4^{73DM!j~rBzds6Z$bB+euB>rI<jRz*l2;{N9lpl9 zHgtpAJ7es>wLc2>e96X!Ek)VKZiD~3Pm2E-#3vjcC&Tc!5uVk|(OI;x;rJbscKaN~ zaKVQtJVTkI9sXlnQ2aOr0jVF;d>w|Nq0C=vRyVB9G&p9`Lx=Sn3^}MT2l}|(BK0vZ zR^J5JZ5&vgqD<%vI9ThvYT@3%ps)@IYY!W44jh8%Mo&Y!2*-5kZqwyDur>~?PD*pf ziPECO`dtD!s4o*I#$>4vgMH%qN@2HgV0BK0zDy>j!}?tZIjAoReT6C)j-9djy9IU| zhbp?Y#u{hG(6<eKL4BoowPWiILp9?1?uDKDa$tBo))qfJ@$_sD`qBJWwBZi7JNAAm zdk_w7uMjZDl=jEoPvv#^2G3(V!gW_1!k*I0um{g$BhDieZY<|4h2wc~ETC=kusUx* z-#=*4VbdZ5r|0bG=s3OL*Z}TzV3;oTGtHpB{hiRC!Ob34pOr0x?mx$VDkoaShPG6E zM=yT$S~+mR!O5#qa+KLJ6NiVFRl&n^*fJ7_hf?Ls7nX;Au*4IGXKElQvjh`|=hSsh zg2*yq-@^S=x+J`Py~pg<@nh#$d#u<855|e#P|>cDKq>~7u>bhqy;tyd59PtN#+Q(2 z$d^mLR&uFiGhi3~Ws*5UmErG|Z05#7&(m_}P+jVMQ?z@on7OZT|5V}3n#_>RcxmWM z#s$ZCnIz<<B0pJD&JzcUqs8$g;!T%4M{<$mOC+z5%rBb^zee(nl5duLpZGiR74Z!c z@!pgCq2$AozY){WAuzw0Brc^BNyKX@ceC~}<j#_N$iJWDd=l}@TE_@?p4_J@+&sw( zB`=k{io}bM>qx}kD)-yOd&LLkzf1BTNyK|z?thUxUtIA#%0<5Wre3pFG2Ho*hjJQ; zeAXx7-bgZEkW)TYa#zW{NTk<~MEsF*KUbU~o-h9*$(KszeT?b-LhkD%n>B}#9$!$> z|33LwS#ucay(o9Sz+(P5u$tUY!r!bd47rjr^2wLDbjNr)6Rw`f7m<u-))a<+JIS3$ z$URBqV}RTTOEzl=Bi;nbGv!}p?O?>eLhd(+H;P+H_}?aZCkgXwxtleCq5nnszb<l! zIOFY?d{FY|B=Y&K+{5S~DVsf5peIZ6NhIV}B=qo;J;U{o`x%nYl6<!0b0kkCk;Y6C z@h_J9GVyBBtn~~38zpZe5$_i9mn71CNdAvWHhZ%mf6q&PUH*R|dERpWr`*k2ztCgW z_=SHmz9~>|Z4!FwOKwTR->mHme}2=a|LO7{D0ztFv68E=<vU0Ii{xJ{`6?3Ucdb|| z_bnvS*)Ewwix`fhY{<vt{xr$+mi&hNzm&{dDZ`@~8>WlAU(=n>G^F`}0=Ac&EAn}Z z{yoK*m@jew8vRF!GsW}81>!|w^*t0;$(_%()U#H+QRFi!-ER@^7delH?z_a@;&b9l z;%nlY;z9AB;+NtPF~s{5^d^fn#o8igk<j1nrO;k-uGm97UF;_g6o-qW#0lag(eABq zzGO~JVR|LvRie31!+nk94dOQO7V&P;+^-S-H<EuVa;6LQzbL*Yn)^50-<7;ytiE;y zACwuNBalcAJ|fM196UjCBeD9P3Y<&B@OCeSzLE!sXNv{mIPpA@vu_xGfoSgckS~*L z_fEK8GUw+o{C4pU@t5Mm;$z|-k)xp)k28A6<J~jiD}_HQrt&_D_!%N+zff)_=7{F` z0PZ~{_Ywz)gT#^ISaG6go)-{*uH-^-nYdCk&kG1wD!KX|2>kHL{M|0zCz|I7xIZfS z_oCek;aSNqi?56Ch<_Iki2U-;bj%)a;D1Rr&l!-Dbw92t))x68m+=~lX74x1Z6xQ2 zokh;#VfZt}n3yjP7e|TwFvjpxMDtt%dA{Vu;u3L%c$IjAxJKL}n&%bh;m1_!zeoIy zXrEhlOMX&(LEI~v=NH8Phvb9eKgBP_Bcg|}OfN;u6tl!8Vl(j+(LCS4Zk}(zZgS@b zK<euw4iblo)%Q%8Blmft-7Db|$;-r*;<cjPGhw4-^Be@bc@6^YUUU!0{~^)rNeBBM zBtIj*Ae!eQ*#9Q^1Mz^!4~;xOet;zTv5^e%IRdO9))dY265RO#lKxS#rD*p~=pebP z$RQ34&&hkFd42*%NjA?>kf%tVA<h>Uic3WET!rvgNxoKGBW@5mJ&@_$CEC3cej}L^ z1?m5+SbYzLzsvo7@v!)X__gThxuk|zQ{)(4>Te)&78B)@#cYw|J?MU#c&2Eczu<0$ z;ew;&evUXzoF&c|?OqB?B`+7v-g(e-z2tS`MzKu1RkV94JSh25kt3m*-xtMwqJ2Jl zTk^+Zx%jzQDRS^O^?ctR3TCu3{G$qI_twjm++FM`_7~3*?H+p*Bu^D*iu1*VVzIbX zyh^-QTqAA}ZxU}7?-uVB9~K`IpA?@F|0KR5ayBZ<;{&lm{8ao}<ZCU4OBXZ6hGJ8( zt(Yx#7tLOMh(A#BV6plh3f1>aC|0<oqTMUu2FaVnZQ|YHy<+t}5}uR$OX6GNU&RAr zg~)lgJnv+&rdV5yie}F~gyXzihVLZ~6-S7ijZ1&K*TG`RcCQ1ww}IWG&+cXLD}}Rr z89XA{?#1`IWKP?q{sUt5z4*fT?M45H$oagKqoUob!0gEfch2Lb{{WHGc`1(*CyUd? z>U$J$7B0h=ik#I;`Bw36@m_I{_<eg2aGo#Ye=KskFJ-&;0O$Eq&J;PhmvRe{lY1$j zCJq*diDSg^;#{#%<YZvRzh2xfnmza6{!7XCiF?GSMZ5O@`;s|pnEEP3P9CP5Ce{(_ zi=0kO|7?--hbi|FIgOa|D3P;?DbEr)pP2G8k&}KYuM;_mn6h1~pOc6wKQ2BkJ|}V- zG5y~YIh&aBmm;STQ?_gFbKWrJ#v-Q=Q|=&g7BS^MBIglP9wl<hFXh=HCl6D;TwEt^ z6wAb0MY}fsqmu1f_-{(Cz6SnRaz83&;B`IIt0Qs>G38v5Q;;e56FKRavR&JrbB`&{ z7dh#e@^X>0j45vrZxU}7IaQeczY#gPnDR3s=Ll2&i};@Sfyg<-^gkkUS}<k1hCOHX zQjUsv+;Bp;ciQ(G5RE?`e+_YaFhuh;wBh*Gf_kdI4~fdXrFe?iPRtSUiPFUHCH4~s zii5>r;z)6<I6<5wP7`N|bHzfjNL(ha6jzDYiKXH?aih3Zyi>eK+$sKAd_=7Np5;lo z?-gGa-w@vx-xc?ZABmrcmEzZ;qxTG9k>71tFPy7QW{O-_!PL9hQanX$Cvx2dhU+Qz z605(L87y~BwPN^@;v{jJI7^%>7K%mUV$pn8fu2>8uM^F86}YdHyiwdLZWnimJH=m% zkBGa(-QtttGvW*48{*sIyW)QFBk>dQkodV+{ryfv?=h;s=gE?L1F^AqifF#$;Ji8K zjOE)|>@M~cdx`x-&QCM-A&wO%h?B%=;w*8lxL8~wE)zN7je5=ZAn-cLrQ$kqySPKV zQ@lsqDgIh~MBF7lBfcQ+6<-zK5Z@Nx759sW#LvY_@oNzuY|VLu#fX?LHV_+&QL&|X zir7x9{{G3ncj_nqf#P6sm{|Qi)C9TD66cEcJyen8#o`jN`ui#SUTU5EH;P-u?cxsc zPVpY`5pkEeTYOU7E50heA-*ksBz__u5<eIDVx8?MEZX<QS?ten&uXB4E%6DvQ^)N2 z9TIC?=0>AAQ6B#P+?<@QUAso%-=$ke<31_1r2pWUKRy}CaJX3N5SM5C7~9lX<7-?t zaO!c5%UY|j#^pPey>{+CRJ<Z97K*vK&on%@saG0W?;KjO;&0_sicfCxW~^B(GdA>) zo4&JR=A6b@dGXY}^RS}V`f{guZ@Dw4ODx<Y`H-6&tGKH8i~G;JKb&(-thC%oeG4Ys z!##ajtXD;}xc1)Ca<}2Wa;IVMie|;N%iXqn%R_A=Iib^6#rjk%FTNS;W0vnrKkTM& z?f>y7ZgCH+D0t<vi3heOJBRwMSX1t_{WHwYidbH#qIurS<!;`XayS1_Mc?AS6+4R8 zl!sEsz%Zo?o31JM#*Kk7tBytbSF|b)VeQE~4rjVf`+~iXVCAkx$c>Ya+|21$(Yly9 z8xF&ql_FQQ%R|L|DpGKE9_(ITW=<x~qff<(;!b;U#(9XB&r}gF74b}}h&OIH3{xvD z_wt9Ad(s_-?yz(xL0^(|C&QkcN3DCyQ}TwFr{tr8dxTD>=I8g_aWJ`d=wNbYxLf}Z z9WD*!gf^xfT$Yp^^G*#PyfW;3nsK?e?f#gP-FNTM16S5Ksk}yB=x{ha>0ph>2{GqX z=g@}bD6zbC$SX<-`CXZRUelb|t+8e&v9@+rOdD5u|LFU}-4JTr$Z~fM>Z<!0v80OI z$JO0?BhG3y>es1A8J7{`Io?<vniD=F(k;~enpkp0bR6sJ;J({FamV%VKQoq&l%L!e z+LYgqD<wX%?4<Jcb85vV9=I{xIrN)lFPA%Qk&nDXs6Cu7YcD?wIuBJ$E$&ybtoY^f zP-+&+u2;ns#pzhl^5t@GTvoZ4pA+j}v9g%CMg4g@SbwJs+xyr1UqQWPp-f{HmlwZM z?lwegD(;Qew-$AWI%wOcqB-my$`p0i33b=KZ$%5#9pdHVtWudK;-w;<N%Iw?jXKX~ zYOkQ)Q0LMehVHO*CqZ|TbSFc1avrt5f_kf6o{}2vgu3fa&Cl-(9Zavk<6wH-6ApRq zse1=DJu!w<$Gwh}-B|cE2RBfj>EZjw-XHF|B?k9#TadHxX>N}P%o*3-`#S1lZMkD| zF#>0}p*&O^=^E;>F*X2g^j56SSiUdZ(LM8hTqz}=54tbH)%R)@4wzVeZE=fO{n#Hq z^fp}`31fB5ZI{<_haOm)<{k2Cd~{;cjVQ_Vp|KqY(;5yvkk+7fEP2i4v6QtF%QqFf zhtreMvfHjksq90E@M=E<0~Viyl>|G*a1}O088!@|-1}F!bCN19pVJ%mI^}L#lxN$d ziV)nF&v9XRP1h+8<)Kc-C83S?u2?ZA1k=C5D=t92pq$5LqITRKZpE#*B5sAY7Vy0l z<(GUgf}c+Xdq>B-7<!TXjwe0%iJQN)X>KfpYlH2fe??1#-+?QvW%1z$@4devYI844 zI4=y1Nfi$Av20Gb!a?q*qmGvqAFAkwGn$lt^4=FK!@C=pb2;>h+c2rZMcB&`)~#|b zUXu{?EJyncK}WxFSa~;O&+AadWayfb{}-&17~XwFxl{ZU>g$T~(442rz2eL+clDo) zD<U(!<ns~tC2tOIOWK&S<$eb%M{TQr$V+t&y}1lEQe$Z2vhw+JP%o&T8g)YluS!We zcune#gEbn2*JQ-DvJcIB6$V!guHF{;>}_zJ;;NZe%zlnN&PW*cI5iG`dm4M4k>%c; zb7Jh%(hj+4j(^pJoHhk}xn5zTy=+ssa!?y7Xt{1f)?9C#C9Z(BtS$CNsF^&}P(H4l zJhumXqfU&MimTZ4bZg7qaRo3;7qy#TP#!Wp9j-X&^Q1crdsw=YpgT#rlc77=^qy;R z)fD(wPF|=7&*=Gmllq<g;Dt?b=HuRg=^IPM^@5cnU8eQk{b%9c0>AvRC~dgs@jU(e z3i4;t<+Z{d9QT#HEx51PYlYWI_gJ{wsQ#=IZ%cUd&;u)K-2Q$BR&_oREqnR?vhwtC z!QQOo^K*Z-;r+~5%MZ_ug|_v3pMC5N@BghlRGbMtm+trb<QaU%GPl;SyAX6+;;#FI zaj5za>puRT&&zJ44Lm}jB=giCN*P8eoU{dgp7~HaY>aV9IfIBKaoNOBBqahQR(Ry9 zc39!@Y+s@I{u>FKpI_mydHRop?}1;^VTAJ*xW53B!#Cl7ipv3yE`NF8_dI?B^Kc`0 zIC0#|?!y1}Fp*{Oc9#x@btXl;j&j@*`tpl<gg-mnW%T_gOpST?=Uz@<tfiQG5<Rb` zL?x+bQM`uYZi+1#_F9S`Lrg1N?6}uax)ep2#^ngFr^N4esn0Ry4HWsAC3SCH{1?Qu zg^Mn5++XmFTI1Jz>g)71Vgb%6^)GReuk2Ibjf)%^ftQFj@Ou!`7Uwu_DK&A8khH~E zh|x$Ljf905jZ|PHEZJzJYbZ^%@%BJU$LfizStMy#J<(XWF>h*ZCS}BFNH(=wT>Lx5 z>CBh$Jr_lsS{N7CQJfkN{5mV(=U-9m%yfVCuanbjyLH@N$v5^%N9_jI1VhQz2#CzG zmiyA0U}=dp!T7B!Js~o~I8c$eI;yPB#gAR4<zaQM-LNJOJ_ciYGZ99`Xmu{wGGO3A zu*rURbuK<gV*)Y3g=1hP+QE3vg(YRwmTGhiCwz6IxgZF?9GM^p8b5q(1%%B9R_KaH zEC}YK>Iz+c%n<~~B48FY@mKr|z~uIU$t~P6+Wi^*BZviP%UI}T0?8C?Fxt6H2s99W zj)xP>F<gnxFg611{d_Nb9*JBOBvLI1q&haCuOJb>AQ+!L7FI;CMFndj;7A(~t;XpJ zgM|bL_CJ{5y$9fcHmu1*41>WEPvJ6JM*2dejFxe}kX}X$PaH7_<_;<erVrOdHpbiY zhif87=hz%Ij_0U=Ibu%Hex3ndfblSGy^NMOd?8Xs%OPJ#FQX;ZoI?Rmg)c=gncut{ zF?Bj-FyL437>+Q#(zq57*T5L<v~2K&NEt1B1puG)GFmuJ1S+SXEi8k<@(}!G={E~g zK^(AvMoe(b0$OOejFzY`M9OIC2!p7^He($@ykV@v&O=!i!axhb_T@QC3d?BOW!#4m zyN!EcxQrHl?#ALkkuqBNjT=IG87*jL&@_zSgLnGYPXWKK%w^fqRL~fLHG`8&R1j}) z=1A1aNY=_=7-Wx_0b@>*7T!-hr#M`;9TwIZ0wt>M!X<&KyKu3oy0=V>3yaEV`P>K> z7nRZC*W;L-s6)S54rhz@t8`=t)lkP&#c+a41e;9I!a7E}tlufdIx<neMN7&O^;@*q z)GuG{V7alPGFtrl9m)FTs|#GN#2Og092hN|jc{o=&>@UuO>zh<N{`?)bTpYIWwda} zD}=>mw5;@nqB2_ix-YmJ)(2qFA_xv$#nLZ{>K>V>Zsb2v-N-+yoA+3ge_H(N9?7ca zkV!1Gz>4K{R;2^rus(AnN-5rXu$cY!F*0!hk4!X*c;~^EummRLln%WC+#x&3T2D47 z=-YYCB3z7Q%`#4Bkn7ITz7;f2Kd{^D)y8LKvtkkMEb<iJo__|&iy7|utZ7!Wjbp1E zegjzJ=Di+tldNO759XEYckrwT$1Fp}qP9A0u`^wk`d?vSA4;;Ese>iN61H({k7i}+ z<-s0;jl{asp6cs6yCcZjhQ71?(8ms+;fEO#2tVY9!q@S`5AK@K&nwm($I4HAKm5?V zMEIdLJo~d}V35cD<N@EFZ~fWD$v>a0@cjo_fA)II<;JG3u!Bf5hRG=%NLDJ0jh6>` zr|;I6JXTo7`Pzgjw9(AP$cqp8?PD)O*!%GsT<W{WNM3tzA3&NhSEy^NgzY;ICJC(^ z%azgE3kLTsf_)>_@pH>)nPr4UZW%3pFSsaFwgbYQFjRo`*l1;&$8~O-IQkd1?kpH| z(uCiYVVO6!^6tJn!N!hGJygb)J_9DnxtL06<x6C&4Z}`r1`K_WUt0NE7JVVXH?U^? zI9k>j0qe)n;&*6RKh9nd(3QALjb~F`1p__AMi}(9ZW%8cR<rAj*^U;|)^s=L@J8eJ zJfrQkfs?eC1x_7>U=Djr2|2lC+u`DO@)K+)&!uVXvk&dOK(2v7E{HWSSe~t<j29mt zE*Qo>WKd<IzZ#xMe1e}e*786#m^yG)4`wRw!QgppFjw(2c5`0ClL1~Sc+MNf3@nB* z!BD)kZ-7BpO|S^8m(3IMn#jgDn|2l~4PkIYDJi3c?M#nIZE+^B5{!v-2g1`FEU#=B zv=f3oG`vj*<e&fQ!S?(a1m67=#6-tGTjEH7NwVu_0*PC|a2ACW56nPpDIQ1$vL?k< zvIb>1P*yq^CU^wpJxC_x?1%SpuFf$vZ$jaM`7;+xz95P@U(**xPg&f(Ynur(=PimB z&7VJaVHB_5qth>#GJV>#>C+~3&h8fNn3LP_!p;+>%$_#^bK~dEp3-6F)Tx{h-+tcw zdDGiZpFCxD2ZYP+)FHm|2P{?nXV05DchR)z-(4HTsq(hpEXuL4Xc{JgXV1cU8Q%*g z7tMl%6UFst%Er6gb-k>f-rT5{mEF0CcT&`AlI=~!|LB?C4lE#0E9&jRvI6&ES%K%l z+S%|QGOER>+zVUuXmJ--xu_lWhGu(hqq*LQsF#{O<ca~gxfgpy`CfWYkE=QS!^s~% zd-iPD^I^~S(xYBcb_=>(JmWj=-ZReY-omI?GusJa$%q%RQp2jt@{N6&S9<34EvDv9 zMY>NR-PEWzFWYMn^=9?-PK#oZ1urdn=BaQtIk>{)AnHB5B;Wg;mwzTx^6lTvK^1qe z{@Alewj9~9ux0m_ceWhqWkkJ#sCQ}<3pjYMBK!B1<ahBtyR0YH02%9TaZA1Tu|mZb z<g-zJr*>SmA-jEhFD<)?$#beXja=HXxkcDDwqyM>zBvq%_?HOx!@k}XOa0RN+Krl2 zYx=m@AfeCLa{J`AXmTdkmPm~b&dKo#vvaXH3RjCrjppPG9_pQ+-KR-z#~gSq%+B@0 z<#-q8<CJpf=`}WMQ@o62Hg>vEZzasvD1g=Oa_{lWio7SW^u{hM(D72}o)u?x=&-^o z&TsAA2Z!fF`QvBKoGE*DYm`$9$WyDid70U{Y+O^ZUJDynYP7RAI@^2mvgNFZ1zZCJ zInPei{H;j*bFAC(qBEll-WVKXeT%8{7hxTQ;I-ita!HH$+6!ha1+851-8Cru#TDX# zxu(LDx$~!<KVo+A^iDtXLK8n}g$c8&L#K`t17{Rp_~E;!V-|P#v2{7{v2dzm7W9ZO zJ7F}Le^p8#H&GZJo#S4V!mc!7^nLH*6kMc)i;^su+R^zBUXCIieeJh6u=@OxjP#mL zOLo3Gc>C#-5nUO%BIU~DtCCiQulBA9U5k|{dLcme!b>hFnmh$8THte*wQ<=ACwtoD zqRCG7l!XhO>g!N+>gfEZFF)ZlLJ{D32#+uR(OI<cZ|#`F0tbdOH#M+&IQsE8872br zW8vB%O!ahwj|ui+qOZaM=2(onoMSTu7n|;xu;a~b{Mg#Uae5(KeYoK1%siYhU;I&H z<G|{?he$hV(P8~2L$-E$t-)K=4s2vPtlznigXzBA3XkL&e!5JL=Rp?2*f_8{e?Z2f zwCJ#Yb0G)yy?}zwgd5KTS%~Xf0lSR@tMhjRU^shNzY;hE^}QX?$J4R-TMxU9Lmh{q z?`d0!0l(F-2lagdeLO$r52qd1cQfqNmjlCt`@O#(NPt$Fdqf*<D;`uYgquBVIDWxE zb_%U~%_hfQSK?U&2qfbSM7ZuY5@_4iQ?Lim<MTkdU@3w4dGJd>pctHG(Dypr>|xU) z1I^ND(A;q*!I7VB>cKEw)(_JR>Ra3b<ALF353A40mO=NQV_ga6C2@qYQUmHDo=Di_ zm9O9Y!}sqMf2_Bq==*x+3bSE(e(`|D(K9V1=+Bm%I6SkGP*7$|N*o??!~7-kOet}2 zor&PVbt{60hm|MTK6yIqW4I1Ql}D9hEH{C^-Sml^AI$3{gv6<pWPOrcn<Ip*;*vMx zf!fKvlb9#=76*!aal`oI#L40;@gnguaiw^zxJKM0nt8O)cfaIENOXF;#N8xL;%O4$ z_DX(D^4}!yms}zFGs*vw96|vyex}%vgkF9-q<b65*^;|Uj)^11bL2l&@+`?_-3sWt zT<%xOy;Smg$z_uHL6hm4`LBrgpyc1l->g>wcQfA={7~*+h#oFj=Kn;oDG7b%9V6V^ zOE&XZA@`IVQ}{uWM@b$h*^F02cphf_m`EqeNW!pz$lvC4=Qmt3S7g6Mxu+Nt`QnZ4 z!^KhJO!0hifoR$j!khL4UM}}*#2du*qG?wMw_WlM@i*dc#ogkQ;!EPo;+x_-B0oDb z-=<xGM<jnECb3@NUPG)Wo+w5|eyV4<c4Cg$Q|u)U5C@3`;%M<)ak6-Uc%isVTq)Xl z*}sr%=VhC9RFI!r<bJ#OEAf7DxA>%J+9%@uNix5N^Ss^?`K_IDxoF4jR!YXhuJJeR z7~xXc{y^>vL7pHs5?hL<eZ!xdATnGR(X?;Kd~Tw<ou_TuIb`#`9W*bGz;hLDvN%he zD{^!I^^}NLihL(e_toNhahrIHXy<L4bz2br5xMUYpAi2jz9haZzA3&V+HtoZN&ct! znOJ??Z4KP0na`SHeX*fv=XJM|+)m69yNRcXeZ-hJR5a_sAe{-4Cy6t~^Th??MdD@R z<>D&wI&r<YN!%{(5Pv1!FIJ!LU1j|k<nLvL`@Z?!)yLC*rtn{h--veJx1Hx*FYK2y zzay}`qvFZpsiK|d-Cc5TvA;N2944M4n)PR(m+$|ne~!3NyjWZ&@*4%iT`QXPXdrKp zyji?iyiL4EG~X-`-p=#>o!p-k&G!%Z|4H&I;@jf8;>V&{w+7+O_Ycs{_jX}tK9j_B zF;hHIwDZ1OOKvOXie1E>VlVM5afmot<OhGIJ5@C6+`w+<ee-QO{q4N(%Ou-*->W3s zdEaX#Zxy$TcHZ}|BtIlRD%yG9Pf6wnQKq|B{Ih81fgg}uA#y|#!@KzLOLFOZGF_}I zo*;6_68-tLgX}2UdERE79Ju$9yPfA<AbFg~tt1(LhB!xDAYLRc6_<-<{T#$wBbht1 zQIB0m=XS|=iw}qoiF?GS#h1jF#ka)2iXVsv#4p7oqFGl5>2q)n^HE1M>+3*nD!IAH zuLulhzHWedlFtx128{lr#VO(pah|w9<RCGIzfxQyZV<PLw}=mj4~ct3v(66myd?Q$ z@jdYa@l)|j@f(qA&YANUxit~xrebrEL(}NqRXjuNBXY1B{YQ)EiBrTm;ym#p(aziE z@HWQ3LEIp27H<)67wtUl2PE$ipB7&dUl!jI|0;eU9uPkjzZ5x?j`>Ly>xn0dQL&}i zUd$Eq#52SJ;vlj5eC^3{pDxZ73&o4YOU0GqD)ATMT5+qmUHqkZpZJhy=XF0N`B`zV z_^SAh_;<1T{O+&hepLLi`Q8oiGn(bwSZpP>5p%@O;%VZUVob~zhl`^`j(w#5Sz@7B zBwi|(h*ybr-uPO{H;UWDTf|?A_lXaSkBLu+e-vL5?L2c1#$^897t6)N;=e?Ur#9j2 zJo9wPSz-gRrFe>1eg65Ga_=kVi)V`*4a)r3dFXQ_&l4Akc3%22$ty(;A7%WFV)gmy zzm)rZ;=|%&V)gmz9DhoEZ;5{w?Y#AJ$%n;C@oO=RAFPZY5$!zoEXj>TJFgwL1&3|c z{=S81;^Q&K@UWOg)=A8t>d+~tTMsiI%Kw+!scXk>T{`D<&$TnBs?MM4oZGRpAM(fN zPfbE!gd;wG>O{N=D*R12mJwS%sY$F^VS|{{>{I94ufF+q`lQ0&IghXAZz>Zd?3(c! zx55GMc)oLBT=*&Q^30FywpdM=L&P5@LP=LsNhrnqehnwR0!QyT`V5=G|KXIg2s3z_ zL&V2JN(>P<KlZ~Z{7sn@hRUZ**sysA6Al;q(q-^X{uS&PB)%0$3Ez$Xk#uiX27g@F zNMp|CWaPjeYT?ufQ#?Q8AtQ!s+y;7cB}Sa&Wt1<-*af7zZ{xo^91;A13Z#1>#IzBP zTR=+`F6m6b9YOsZ?w(GK?nvrCpCZ$9M^W4eQ?ow)T@0rTw;+6x)2U?!^yg%_!$OPT zkrHN(YhgQZ#JLVu*Ucm{FXq21@DENubyMbAP8!;Ba(%~)m`>vE!zpy5B~sT-OUp|; zEr~lU*Qgl}(j6^0HNJImT40p5-HJJaSQ<%<NACDm%xL~K6FVVi1a?BM<*Pe6{j9p3 z%}&U8LT47t!PdsH)?`E9&I>4hu<>C~2SINP<%@g4!k`#xLezr^VHZ7;AvxZ9co{75 z1J@h^7Z3^@2?nk@D4ISPy<-Dl;E2`J7#8N%f2(aQCPCl!T{#O?8*(b)@gF?oW6JCc z7fqfvaq8rSQzuWGK5^QNjw2_|o;SN_;tca07pHg}!$4kh+-Rpp&0CMhz%SRW`n9)j z-h{qGMw~Um|37iW^rA(Da_Ki>*u=rJr%V_zf6;=e(<cn*+c)uDJ^mHC%E$AB>MG9M zxu83~Vf%X&@N50CPitY(<atx4^VFQezVoNgn6c20QuPD4`4VmZeRoHM@7L`Ynce51 za75AMqUp}OMHj#_bNa$#Z9=gyu$RKmw%tVVt9W8-hYO}(uyA^j6aOIp{onA9U2yUC z@Sn7m18(D0zMb>Ev_067^v=j!8NR~161zA2d*92e?A`D~1@^z+(QSF7gw1g>{`1iV zuguJW&y!US8;-YN+WG9ogW=de+k?+uJletFXGQ#YyQZ(7-zOP{hUxKP#Oj9C83waH z;9#v|;2zYsANqR1llqt!t8XUkHV&-j8!*2M*u(l=0taiS-|umQ;RA#{tluIy1k-I< z%W-zYjp@?ern?Gu8wXaW2n89XMThlU2|1{5KlJftN_}{Ai0j(|yNv^@8AQu)_OO08 z!Xc>d^MF1SQCuG%-fSG|;5*p{0S9Zn3w}X;VI<0WV*a2yu8-ej7$*mY$1W5E2f5mV zR+=Y88*U>i>p~kyehl{_46;;cy|}g?A9C$sRsS6h)=ocuUu9df2kV0ezX1i$BOT{~ zKXV@ZJ-~20ui7xS&BN*xLSF?fI&4~`{|w@L3`8f^3y$o&_<Tcs)Xy}7`c|P53gBiB ztIx`oLHD2IdwWZ(*wB`W@A%)bkHg7Gisj)SEb+u~Jo`AbMR1l0?+5&TJ}430*ZX5P z{3_qrfAC(Qd$3=R|2O+O<RXt;_uI_K1^Y`LERGPziqplp;zIFqk$npFtrj<me8#5x z?cy)Rr^VOA{o?1Mivndl&JpJC>~zt5Uq{1jP8mE|;c_K+mCW`||NfGPN;cowq3;s8 zBRQj=t<Iz)vOQ3aifp5lPZc|eru^XENAg+X5RpF&81EeMd~v>bkytD)7q1jeIU@d6 z$+wDki0GnzaNRHa-I%R9xGqbFPPw^V{*CtvJvwPwmgtYaS6Jm=Lh<(sc3qa0I|t0V za#m@jV^#`CIUGq(IUG*GIsuuX(s~WN^;k8)bJud^2(A?II%cJBDEH=Uz|8*S!&%Ay zh)pODHGDL7BWC;m7W4kk-a8m8xR@1N#;q@R+rEYw^6SgJyrjdKNm$DuuYbiAd9AU+ zKyt+u`JF4=RIGcDI-`QCPb_aZ6Yc}xo?EdaKdIuD{MHrWhRGGTG(5S&8JAMAeB6Z< zNmw^y1>9S<O|Dqcb|(A>z<)tSGlXf`Fr{Ke!`<ah-ZHFQunemO?8eFhSeL+Ydp^7` zwB^|b-WKQGx-s`Ar+uHjNr%79;p!Oof8t`*1LkW5^OXv{sf86T^tCYh{sDjY^wajW zc{e@wIaY?~U$LWM>xyPbe@EK|6>i&;E1Kn{RP4xW5PO#^JRJVE%f50qFMK$Rbp&p0 z+q$ATG~No09yB(G##<Xcfl_e}hduZ7*Y*uU*<FK@OE;w+NvR!s<ggnl`F!AgVXd;^ zoU&){;ZNMuofVT&Cfivi<B}`3k6UE&7>35}4R1xh+|%#f_va7IcVo0E+)Z3w+wMSI zP+uB{s{caau=!^1Ccldi-kI>lS|<GOM&=QrB#y1ZE1?@Gg_F7h9%s9RhRrrEDNBe* z(iL=zr0_~lyb@|j$8gFL!j0Tyf`tERB7|Rpl*EAtcoWnHPRZdr@jr!cf)2wHIZUrP z8QmDVg%iQM4ZaEbwGqRShv++3V#G-@ci#&#9)))emzTH8_fYtvX8uEb4fotsyb0Pu z3-9x3pTNuAN`(xcb~FCDH&Nt?r<u1p+s=cP4@T)2?d-TW)AtdWnml*+7K)9bh0B>b z?yVG;QDo-a9TYjhr{+<LxAE+F<Ik9aY5ccS3Y8AZn1b2=5{6_<!Q_7lLo+5Pd<JJs zPWYUiK6W0w?x2Qt(3d_9(w&qzdMAAvLK=w^rfU9?N#Dh@;B>N@17PvR)exZwfq%uQ zeCUFR<pzw%@3{~=OFYE5%)5Ip1BcP$q4T$51Bx*6Z3k<5A<prBhUFL8^g`s&NWV4` z5;YRH!c8wknnuE^OOTMHk#5J+Q+jV3kKdKhK>mU()MDfK>F?EoFVvFl3$>Ks3$;kq z7iu-W_k~(2-k=3usHIhXp_ZOlngH_-oSG0b5^vu!0={TGwOS|Ms-dh=)ZbmffGrvB zIXr!PG=&9k*a&)mcbx+N;b%Bu15|yn#;XJ`)(BpUcp*nHEL?3e<S8(CsYX~$BjT^< zaDrw`Afk_h^-~je6!E#S4rkxP%_|Uq;P@xZ##>Uh3YKGM;cgoQG0l-kqacySutXXK zOrteoA(w=o#=;*;<2jQCf+z{|9N!QECI=EM*N{^fCRv0@crQ7u1uMuLs5s4S8=Vbn zM;J`4442Vj`bp=aNEt18zE8TDjM>K*GRtW33wktc=C}y|c}ASunW1x_optg64DEhM z?7;-f-G117(vP>O(3UU4#u$$UNxO|V5EiBbx4z_Zm@MauA#J|MZ`1UP!EL@6(57kg zFZ#4;UPhlQ{gA!L%`j0DvY#Ka7efy9BcAO?Y+lAt+x%Dq$p_-G`unj4Di)O>7L_zF zW2lGxSZ9%s9#<@edJd+!$yHVTi;BJs2UB1;^ZbePo{KVJ(X$NTY>u<>U**z=<QZo= z)lRRBt~@K>CvXa7bbUJR!@S_+c&=r1W$H|geEFE6=-SlJQ=jHwq3lKA9@)vKVf@r% zej2Q=GP>%F*sjd+0semkgK739Wwd+>gSYTs0{jBR*_!|_6wIg`$;QGff_8*3M+W}$ z8pVu!VgrolEG{Xd#c#X(31W^Z_|N*lS@t8DSjfRdDjhT8Zl(RL+nj`3%(`8faO-8= zShl{tQZ`?6u$9P-kM-u@ott$RalRO2nq`@-N#6za6|X_x1@&ZVj+%TIlxms1h7v9; z99~HY7n30V1=94dp<tSDv1u~Dhz%E}X>;$pR7(?K=n_a%E;h};S^1Y=@T}m%G+Aa! z6E4-#gbP!!eGGCT7pBRcCgBoHv)Dfezmq7Vv=jz4K9FS1A)b6GT@!b~RbEEv1{huk zBy;G8r!S>j;x4#w$|&6qgYbPwoelKUmlE5Vui-4XQ2IR#TOg@AMR@x1T<iE}rW{hL z4}<W%NL~nu{0B-x;i1wTfztPTy<qA4JzB8z{cgbI_a($f3UFbLD7_5B^COjC`7*!k z5B%`B$jc}-hhcb9`9*m82J$Nxs5A%jyA%e!2HH`emoK9$>j@eMlB^X!6ni$DHaq7s zTUQ9xcN;>mF8omJ<q*pBa<+z;JJt<rzz>C%#!xur=4Eu{c_LIlo*~P<N+{Et+1fy; zUiQ3bM?`ZR>pLF1qu>#gx4|#ukKT5VX4J$NEWW`&ujaVN{7&NhPn^1atXEI}&L_NW zuG_0wE3aX;HzwOVh`p5_DB)b)4&KCUuT@WPe74s*+NFETE_CaNxxbj?>s_3k<JHJc z*su_+w>UeeYx|rW6Lmng*CXG<|1+Y^W_BEulLHS-3_iVA2V*_MTabOWZ}k@Ddv&ur z%s$0CJ>R>nWJV%z$U}XYZRhc?GbVJJ?`q%wRxa>~&wL8wcSQSn5PmbKn;A0SQ(DKG z+iSE``Pz7lNxg;r78W@_+c&(QG?TYV7Qg2^=`ou#R|q)f_fo(4#J?FPbXJ+f>rdQG z%p*>GbhMvX<6lu1PQIXU?(`qoRi0CJ1K&+i>$#l3+Y#gT`}WO?p2AWdX%<MBF@Hg{ zcfpWoe=U*_9kyWp)aeTs&R?*wP1G!eV3th4eDrS7Q}E4h`s9Vv+eF7BN(Z~HLi^4W zyS1OP0AGb?MHfuJX!gR{^XEl#dvxxU)8$;JcOH6y$y`>!arwh4sqg#+m^<5kNM|Rx zX3CHnq15C$;VieFdxF=%J<)CGHu4&~O+(FHyx72N`zqfaTSB%6`<`RY+hrm@Y2NPl zHRu2MX}tfV=J5va8TMyh0ji8Q3wwk^n6nCF59@a}9BNocaMy|ce60Gg<F$wRt`h;z zgRya7b>2rpf2Ku;^(%yI?eyZhPNxJCuzq~!A8>Z|H^N&eB*b)?9?yd;fw6I5b@pdC zPLviM*6&itL4DKEuruLCeW@^3-x}C$99W$&KI$=?J**#}9)kLc0{VCjS$#WTw{fT= z3;KBPw}-WEheJ@`O6cSHF@HFvxW1jRGfoZ+kB5*se@zo#rXS5?q7C<0onwDjd=laC zO05tuM>`CII@Wi^H{fgY%7C*FuDglh|Ks`ccoimi9@pVKkVSJIh!Q^!^JWvWJ+{O1 zeJg-AEi%w7oq-K-?r`MyR-BU2NBv9_=Mg^^qn-ASO9_1eCu6ngQ205%E1py(0b44* z<A2Az+~!D%<>4PJ@x*aF^Kx4uILm~6MtolG_jJ=g`U#Qam2f1(gwU9IUGV!F>z=G9 zqN>ckzf7C+KikQ@lgM_)@cqTX;s|k^I8mG{E)<K!RpKwi4dPAW4)HGWN%0l&J@HfV zTamx3`MoVw<Szhz2aJlXNaVkR<j#`Mkla`D5Xlq7$#Oqma-rm6$t9A_@K&UEgXE3! z|495&WFOCb)gY0dx{@16ZZ6r(Lqxb<l81^T74AIAQzc&@d4c35lFhtA=-DXwc9Cm4 zG9P=yy&{U!lq0VimM5BmA=@)$T>6IQcM@dNPQV<wn~y4x*?%$o5OKITR-7Qt6Bme= zh?j{gMbmDekDpAacZ+z7$lr)`e?;6RJ|X^5d`Wy+d{g9D494FtekAgn0NuY3zZQ9c z(mh4w;9tu8XhWKI2b%BH;Hh%&D0UT37ki5X#lhkz(aaBro=K9YiKbn`eZJ&n;!5#a z@fYHaBG>3<KJOLzW`^=_#ovj1`$u>4`VM?W@}I?b#r>k4m&<Q#jK>=XY1%!QE;&;) z?H=xpCG)!z!{><I#hzk6aiBO%G}i^<O_OZr-4;qN5^oUKh?_+_&-O{l&xm`)SH&aZ zH)1kwc+9We=h)73?JW1|^H*odohuMB9>1lL%f#yQRd12|?cy$Rw`k{`z9soR@dNQ| zkvD0k6A{fSevq>yHxQ#@OOfkMGTs@YSwj+Xf#mVxM6vpO(hKFjNW4tET;$h6>R&Hz z6}O9biN6y0Ig#Oai+0}V3zGSLk^b+B{J=>0bCF*dDRZU)$xn=AW0Bt&Dd&j%%1F7N zSRmSYpVK7I5-$>qMLVzaI?0>GZ6d#0QvW03)8cdD8{*qy_4%4#%bhoP>d6r6i2M>t z_jY2Qc!oGw944M8P7w>mBGJyfyizj1ty2GX@m|r+vwT$Y@5L9yy`r60`JQAuud+h& z*P`7|m#Z)`y*gq;(ax)ECpky7^C<gB&KJ)XCy0~8>hmd!<-SzBPAnDqHJSOjTYN;^ zB|azGd6ae^+;`<(A=-JAM<m;Ml<9b8qy9{>`uxeZa?cj+zPG(3^ZPdAj}r^UB5{eh zO#HF=k-HRbw`ljReL?bjqMZj>A=%D@jNqAr`LX-dW=U=!qPl-@T$7{wN*2T6cbt75 z!MLW*U89NP$L34r;(cR|3I5~bnsS&3e&TgDI0b3?`%P`!8NReXMmD9dfAa82N#XTn zk(wJaR;O<ZZ*pd3tr>bCr9oy_w{z$q<{)8&Q}pyd9FB&P*VQhqcVnFmFIJ9SR(EYJ zaKi4)^=WH5$7*gIS((|h=GK}wrEg1_Rd17bV^-(aE5o}VnDts^c>8OWk<!;H(|2~5 z{pGBh>$oq`z{^wCX5EyrHEH$K*o*LeHTG!iq*!#8w<%>yq;%O)_v+Bb7mj*Y?>?Gx z_47wVS3h?&`Re4d@U|L9Qa(H7NOEOgOi?{$(yNu>(v&S%A9ZI&HobuVp-q1{>dk!q zs5A4qqoJAMEy<fBn^KO1zG{8M`*Og6<j7N%;bnKs^0r-dG`U4++Y3i)w0Qcc+v547 zkrvM#by|csC2wqg#QoGe;(XTkKq&d%N&lGTZBALc;%LYXZGPcsio54%4fpw@$!_xI z=Z;2P_Xu`*+8jL+I@J3Bn#INglQKJJcIn+TY4zrPr^hn3*5CLJ&MalinAm%<dtxWt z)T}|q>ZJ8`x8kw$(9_GphrjLK;E-D@w652o70X_%Y`p!&%4q3}l`VIs-srA<r?UI@ zcPg`XVn?vj#<33XzEv5X#Ii}+aOF|ged1`68`<V=dHSg5*4UD|?TMpd_i5xZ4LjT* zSD!_XBp>R1q{iXC$XDcWI2AiNb-yQ;bQ4N?S)BuILfs!vjXhr(j<$|rEL)npzFll@ z%-cA&GCV$I%N0i*=nuP*tu?khc{JTk-TF>tyWLMfdl+&u&N}_e=n?Nw>;N8%HcpH& zovZ_G!rdQFkL|>sVFN0|gX+g_-{)>VHMTC6byKZP8SB$;>W$V>drQTnI@?eOyEAjg zHoa$3t<~upYM1uh+bx#at<H_Lw!ZyA#zt?`Fyw-zkx_aD&h$B);j_pE+JO5<r2bB2 z=I*p@EGhR$^5;?H1tqkbrPljM`k{UYlHH`OJj>b#+PU2ycVqo3!;R|4lGfddU3^kw zDB1OX$@VI37hCyZ1C1ifirxKT*2bjL9PID4o6kn(S_!*+&UQI4wmB}`>IX)3@j2ED zC-JQ?hI5VK)$JyK2!zc}Fl~n+4hDFwB|=GN^_Wl!KgWg5FRr15^av+)q|5V+J#4vg zNx73QO=!P(9sfs?I2r_LtTt&x?j$1NM#eF0e#S(?e}R;AJ%W3)-048l3OINdxL3oT z9Of6MluU1bnCB9yq}K=Gi{Z#oWUWB&!|>}y3`d@z??)0N5&j(VJ`VRczUk(zo#P!0 zzYV#Di-}JT#@E5_a2XXI?jvyHpt3=<w1-RDX9(a9rv9@jzQ|BRDDo#%+6sziGc(M7 zI$nA^?y%=zy%(nDFvcBD-%O~hc{40-0mU4M{s^=YR5gsgOX)k3;z5c$F?SS2F7{uO zC+3c(SQC#_{)n+LEE%qY?T`C1V$URq=Wslk5nu2{4A3%Scl7h=9IiH&QMuScI>)OS zDGj&4bPiZE5^uoi9I<AkJB?)h4pSP7;dAFu%Ou=tYQD<sjpM2Pk|GP-7cavNrsl)+ zoyfqCQ{;~vU;KpcA5p_N;SAGKe{_0fOH7$OBh5o1VU7GUGboe(rwju-j&WaXY}mPm zKN%B86jluUBIy6!fnQBcfZ>F%VD%$>0USTN!-f%F1pP7QgV%8U;FXGTRD{)^811zK zu6tmTov~}f=d2C0_xRk%qt}MVtPO{eReq~Zb_&*pN30Fg-Wn#~S>z;tmGf1bVu$~m zE;hK-7m9qZi+t%4gC)LjvBB!=N=#&o7Q%QT>Kg|$_&9W~UZt2ns+Ah&nw<K1Q%3zv zDWkrUxK2NF%IU&m<n$2qaeb>ayq#zRP2Ij~)4Gffbzo}Jadj#3XPi-p=HO?k0vHU| zAyk7K<29J@A0F^8jr-GaBaG*u+G*Ji17*YuFc=Z!Z%FfvFGR{{;n~9n`{KYd0|qfT zbeC@(j3!#<AlFztp4bS(hC}j=l?g-3-7sjK#CIB>%{n7KgGBkN#%$9=%PA(MMUgUE z_;L?E>1DL=4utVaf$Xd+Nn~ey_3V7<XJ=grE&TP5Qz0V8I-bacF*&9s%NN$gOVDOh zL;hHx7x_AOme8`y2-sbSmMeWBQbx-)zL0LVY+=!0_W*)vBE6j@HgUK!X_e5<?@2ns zq^FreTK(%1T6i-}aUdkO2$xYPN;pKyC@fAmq?b`xnsCT8gFZME2Sq~Y)PG$<3o`?E zIGcm<Zh%2oK=`d?_0|&GS};zMZG{zqAO!EXs3(GpxnWNRz7t~gz?E2RtRsl!Fd^r{ zLXstfjR<y?B=>4e`=c;WY0Jub*#d)?$sqnQkLzHN6v5UGU*ZvC9m59CTw;qTg71cr zZ{i|j9Yy%3FoEE8iHcfTVsmZ;=H1WK1cDh;{`@kVfK<%E{2YKm?^+4?DUVGQQ-Mtb z<vbReHo(A2@We4>E<zqK&IPsr5F&BzY!c>RT93k@DLoA|H0LvnVE+hJhW@#YCf+h3 z3V3ES`~-+4#yXl%7Sc)obVn1FCPV?@pZRE;F(i_n$k}L<E&O9cErvl!6Kq>y(`IQi z%h917lPo=E)E;cm8(_FW1;Ejds9A#7KH7n4_q5+`yv7mCt@WZE^+yE+^Jcvg`5cEZ z=HTVxH<@z?=1!p_iR<AUKdgUYaTFkS2_pi@ngaXWl+t)2kZhoM##==!6F=E8!~*|R zh`?E9+TIR@$jS>Omr1){6Ju;mDBqchvm9fS+YZa^FzA$twou0P7D%RnhD`l|YnRsm zioGGAYZuYX!6vsA1|zwN35bX5V_gX?=NX}}q>PruFbG624HJkKri9LaFu5BBc_P?b zAk3-~TKwe45|gYuEq-3i4TrCOaZwOo!cZg0!Z2!B3Uy!*hG1nfOrlcxt-~C7_<xPB zq94hkMW$>CBUV3K#BjEyOJ^xC0~7Z^z$n=dfX|I}bbXu^AAQgf*e9Uld0IKnC!nvo z#h-!B{yCq4pqG6WpMnPCMiO{j`@eY#g4XXA);Wp78j~ojc*outF;Epa!sb8!v9|~w zLAeD2hy1~X{|^oF%bj>(r(AqLMtvN|G952D?inqcoz&#)Cf>zSY?gs-Pa5_0YLsFh z53ljIlf84XJxlatZ*kPCANA%$y=hUeWwZlijOJ_Yjf;96qtCZ$?p+dX)v+n}_(;pX zq6G#3HqJTYj2vvb;?>IbE{x93ZPhF8+p3WX>ZNCU7e%@C#x*5H-f}nUy|5J8vSEGH zOU;km+{tLg79!1?=Hz;X+q`Dk-o&0>v#2*e+snxJri|^~s0*|<Z`!d%n@&w~y$fd0 z6I*)F!mznbyf#s63=%#0q)yl`9_lOHXs;&TYHaWEDH0r7)T+_B$TZYvMC!Eg^-lFZ z!bry>OY^x4h(FS>%2>E*SPvx^%b_eRVmUi_!_l=fmKo6?M1QrB_$b4|`3s9i%$hv| z%WRl&hX2N3J6nxrYmxW{Ar8_EZp>kpB$?|c@gFlP(a-Mj3{JG8G%xJh31^M6HmkCH z&+tQkw~$#FkQe29C%h|}IM@826<^uqhlU*nmtLtp;IK*ynCdTzV=CxBYXl-*tDrCZ zzP&@(H6C*e;xcq4KhGFMzs&x_hafgW8KOk+*ykUeMGM?j%+U=08P48;s)wT=kCS2W zzUfE9@nvT9bQ@dc@Uu5PKBrjSusXvKhTp&KVf}`{0k6yA$0WQ~?S*jl;eywCMj!Ka zE{u%>tCNKj=MQmvSikvjuy*>rjd1nSY^a1E=gbGw-F}keL=leZGCiIL$p^=vzQeT< z4sQ0aeta|z>f7BGPt$OtzEl{iZ#C>T4y;b?I(|6Xd00QbX$|Vz8_>t=$LhN^5C>ML z8T6fejB@6KOi<su(8u#*{xV>!zWZQj9&%uK49s$zCe`%O|52D=xam-IAtUIZAI%dm zsCxcBGRNx(#~0T2U>F{MhOu_aStlHO{2|9`1<&K7K)KX~pFIy$Q@kz1>I{ay{j}(? zX_0}`a}MFc%7G(-|KRw;DB9_nRvBpZ1)PjE=>BtzKWthh0iJE*`0p5h*bK?BUHb=1 zJaHV)_`{Y6&N5*?|NY|+?Q=)VBB$lFV^56Gosa$BPZ@j2pHCbk*ISH<e2%C4IB~K# zLtH2>7OxPm5pNW?iMNUOh!2XqewfbV;`8DMq8Sqgf4-GwxVmBsk!_Uj-AHtlr-^5h zxIl)Bqe+CDBzd}IGo}mS_=<x5OXYvPxJK?<CEqOhmy#b9AD8>Hl3$YihU9l7^RpZC z^`*!$&6Kl5^D_vt9h-Qj+=qzc#o6M;BH#Wn|9po@eb-7hdmKRCEP03g?~?qWWE8h4 zKVC^@?10ENPPu_-e%3*5FF9B2F7_197WuM^@%a*l<o%1BCoT}Hk4d~<?z~ShJm0gB zd}~48D?T9pUfd(TB)%;EReVqE$nuAtuHq{3I`M7sUD1xU`$96m@iD%sH?Wc9lSF>> zqkm`dG||*2-1!xd{v*Y6#qZnGVv+nW5zX6I#5eT~{zC3+MN{8!w`1<^kh|U6;sMDI ziTo<Z{Ol2*7yl%_F1{u5vn9jZy)3?xd{hjhU!y<Yy^yuVdSX+tx!6W*FLo94#NJ|m zafmov94DS9P8Vm3g<_FdeGdzMcV#{|h&PM3iT8>Rh>wYni_eHJh_8unitmd1#d7hm zSSi}Eg#7Sp%1vx6M#VN_d$IZ$!a;H$Dozk5i5G}=?BHU_OT;V0t3`f!W`4Gcw~BX& zcJGN_OMXmzTzp!5PTVKHCcZ7cD}E>*6h9U1UKHO*W}axwKILE?$<_CuXe0ObB0rro zUN3QgI7qBM2Ju|EPZsT1#JQ3eiWiGZ#pUAF;`QPN(T-KTRq`F;z2XDnZ^hq<{MOI> zf8U-H@5%oIv0OYXR*H6PqlX6w>Pr!8iFPlFhLW3#t;9AW2OBVcPqD8!Ks;M45XXt< ziPOc|V)ZeRm&(0Fv|}T$k-SFSAl@Y2EZ!;JBR(iTEIuwiA#%6`&*ydV9r5qtLGhpB zSK?8T11uQ7mRMi3V=0?SZYAc5UBsSZFLAIqOdKPQ7iWs+i`B<eULp6Z#r5JQk%K;% z-+ROd#fQZw#6OC5tmVs+|0>$OD>!U~`o0jq7Wrd~?kQrLc!JnSY%aDIJBnS!)5YH6 z5OKITNt`C0FU}V)7B3Z-i&u)*i>t+r;#QF(Uw9t(i4Td7icg4t6!(g+ihmLRCVnJ- zB7QDbir<PJp5>UX9ov~H`2?|%X!o|@oI{51Aa)X~?{N{6d%ieA93!45P7&vb^F$8) zVLF$KSBuw+>%@)Xc5#PTeUA%{9iqM`#OK79#Mi_(#dpR1V%JnZex7)P$o*8QmxGbW zL*iHBQIY#E(m&af&y8rq_IVhh@yBh*@LLhD3965o?e_1DneCxX27Y|Z?EVvx*fGb< zUOB7Qn#QsIvr7LfJtuv`JC!|4OaBYQRaVc4Jm6$)2p@98PaLk59O+WKQ;mb6NJeSB zH8nP*uC2c=Z9T@yE=%8(wk7MPeU;AceU;&5`zj+lM^r}c8BrPDnx31!8jt>mHZ7}J zR%>IpTXK^zmEpndj)o6Ef5J0IpR0>0IcuHLcRqGn^f};$PK-T&^x0<K>JVH)?vn>n z^Lrf#IT#6w(Wu@bj!TX7bv~;V+H;^ruXabXtd8bMYr|{a`8aHJ)Q)X}mfa^hEil@$ zG<oeiA1534)J@(I_p=(CFcS8^`W~neYMB-Ktd<+@w)=qFH&z+$8Sd(y&J_P}z&Y!l zeKj}M+q|+t&2=Yit$$Ph2WxJ72&K{PsPpyYn927Owbwc=GNC&Yy3<3oV@;UzdUe-3 zt?I0Q=i@ppc0*I@O*OXhtePK5#@O4W&+7K|koV@xko&XJHfC(9wWSwIsTWEql9S)G z=GwH<j5Q^npL3rby;*DPZj9TU&3@2STiC4x-2wQ=iAMvCW8xpiZQjP;8sU_UfOj=~ zyf%ICA7eMok3%=|OS<s~r`Ls_rZIN&E5-<=@JElgj~+vd=n+mjgD&-uus7^V<C3zQ zE_}P=U2J|^ak2)4V*Xp)2LBW50KQ4BZsb!U5-uRZ;SLA_sTFi4l`{BLcNma#8||~) z*|c*UWB3A>zvYs{ui}47X86zHesGQSLA>yr;cjr`$j+0%@LOS)NT@|PQj@-akr;_= zq3_!YjFFu)>HXL6H*l_z&+L5Tx|c%mu7Z#{9}CvOg$}THwDz=J4C#dE?$qdlf1%`% zAMN+?XvxOgkLKI`Xc0eJx;q$2yMquOKdsDvh?7yLH8GBKFfIIHoN+os4dL1FcW}mM z_~)KYkw1GgK0-uyDD$xirj}Wpa14E)g2~)~f9_a{nFv>FHiurm3uz#w`B;(39Y=93 z#VHuwIi6BSBv_lHJ10<b$JXX(PTxL0vp3T_mmcRKSSAa}7uQg9nb-5^`xwQPxcD#F zYMDW<6F-4(Yy3jV{4Ff*BvvjbNoBIy+{w)A+Z0)C?i7mLf-kcv#i=|`{`$yV!N5i= zrpPhE?lh|6Z-hF-AhNM8W~f#CSyy)+oc;#Cx>I1mdVNlo4N$KbE=^2}t<MP8!K`JH z?=$wbOp=p}GMR5*><jUPjJSA0>$ugt+Hm&8V_(nMoO<NPHsb7fY$N)yjn%2Y8Ns)~ zaMoAmd&rl2J2fn!hWBCdMeaFwg2|5Yb?Wbg*RNr?xu73y6QgmDIX{{aUxet?XShdT z=EuX{!La{~hc%*!YLYM_*DZAFu(BC-7Yx>BWNETnsRz%xn_%e$OO_2#?|!(9U_7Tj zBkYAaz%<{7#eI-z&Va99Fh;xtqF<~=^b5vVo%)RZ5lp*yHh)76*Tpp$u`I5^h`t76 zbs984aBlYJm(QDw{QzT|>=^N>xH2R1Dcz}m1poMQm1XWn{R5*Oibpk~hl>@j{Sl7u z7C9Hp5Bo=k<zu;@j1jw2G(~GfYI5rH^2~xsqFz(+Z!zkicvK^v7msSh3nBWAox^vs zVcajyfCAIlSHjaY_8VczvH?tE=bR^`$q4K}m&MQJ8>aa>eEs|x@neX7tr*cSQ)6`+ z<RJJS#6Hm!qGLKhKAfLuPS2NX;bAk)Tr9qv)*6vq-|p1st5gm$;k2<6&3QLATKLHB zYxU(W)MB*yvQwYKQVL<XA)g;J-NYOikLk<fAv;+M5b`3JG?PXX6Vli3+b>}(qu-aW zV=UZg_*1AM3+caiol$?QAMUjiP2-L|=&;NJqh|M?G%X-%g!J!Sl(c5mMM?2eBe?8n zt-!LQtpBQulGYCR;vu$96F0YRZMTj)HtigfpSnp-Ze4Z?S!oz}UkBw=ud`h0`!3A& z2??cm>6_+`N~Y_Haz*y3q2X~1eCOTqI|JVvvr?R41pU7|@V%c2Fr4reV89^k@uN9x z7?DNzLC*;U-Bl%M9TRi}af-2yBy6&Y@$hhzE|Y8_L<~XubxBKPkj+nnbwgzoHp9So zR|zfO$zc}aem94<9b<5IH6=`%2XAZav@qJu_FW<8;w6y%IquHIi<ujC2x(>r<+@O> zmc3e+(Sf-_kx~th#V|`@Fm~@2;BJ^qe2`pyXGwC)LTB}klH^v+%6LC9Hw34E*aL&` z9|4sxna)B#`~n-E4_Z-^&Tw`>U5#}D5%XQA05f3H5p|K%asifcL^8b8{p=M$fjRg% zWI8=(0>M(mz|x&1$+kQ(Y*9g-DX{RyilLy)FuPk=+2fA$tt?p90mq8!U>x4fFj|;k zU=$AF2RujN%vyyk9QfMvM!T}-0V_|3nXiS?<1o6_1HX*4Y4%l4*>^R>OAaG36B<U? zfY-sY2F6aPu=SGc3|ku>zBbJJ<o<xa&5sSwu52`nm1$=(H64H6!s=ekS(#1Q2D2wn zv&sp?v!90){Yz+c;1b#qzl5xRwN-PgI!73lb&6|ZX9+E=X<RX=Q4DXVz#5T5q>KVD zFtj#;m2P4tYJWJK&5;N?D&afI`r2%u`j~~4zM@A;XyK<EEKgigeR*PCu8Em6JrUC{ zf)RltxHDb^$8lNO{4i(~=?0o_;7YWi=;VhQ8+1}5w@z#?eyH(5r&l4MSzD*TB@uKw zVnTgyHxdl`qzM|oG;Oo|Zg*iL@rr6-PBPxzFc;M_#!$zZ3kLPiG=9+s`XhLngHC>^ zc&X7z{ed6Ge^&KF#Y>GBR<-;xk{@cEjTn(rGJ4r$bgDu3YH0-eK^w|mtl#Z4ZHRC@ z$#{=IJ=HSCF3QhXyoM8bjb9XWsuuAW_W=9gh{niHmc5wY$=WO(yAu!kG7iQ=2c6XE zS||2OeyDhPC!FHvVDEi_E;`{9Z$*W1UGdgvd$X!{6q|TLM?6BpDV}&^1P_@gVH?rh z2CTpfZ8Hq7Kem2*RKmg*oQ`a<#O=ZJW9NGw4D%N}*tyt)Gh9PX68|6HP-cuo_5aSA zH+Al!Y199IUcmHw2b$z|@rN=`oxfoE#Hkl_p15%K1=F%;v5@1VoAEoE>s4MbxoDQ} zgC9ty61+b-u2-k0H!s@8s~62V-7CuGU%h<q{A};U=xN@pDBN3mGqb&>J-r%HuT~UJ zxj4Mh*<ROdFTbakmmdy!{|aS?UGM74vbTFD7BP5g_C;PsHhlhJeD0!8>Z4x6<%r+M zd$eS%_lARE(sz_(drv!Ky;f0-iALz_Lp`DA>&vq5^%|FY^DqLMs>WgbaTIHvp6o4* zw(ige|2cB{Z%$9|;AQ!t?{1$saboX+0i7letUmZy?t``G;lzm}hK%anZ>+z#CWplS zd&7@S(R0MC8Q|;>V1~p^6)()~!ZFW7@oFeB#QFbrXtK$Eu491B7=PB~Q<3|k=@_*Q z?WR!do{azAPL#eDz7Sh<{9~9GOkcQYE{e<!Vb1M(tf9;kCl>S{;Uva+|Mw4O&h1j| zA`Fgnhv)w@Ypt^1{QrKOGfM1#$2ptZlf6xy3@HMG?%EukMGG6Q9UN%KuYPkd+;-~; zyS=mW5hdX4yb9q0yoj-f^&0|*8rBiaI>~YPZJy(A@e0o9W4@}7bMB2uldKZ>TWj@k z&Nt)LM;R69u;I>!987mtE4=$eIHt?sJP&dyjEw`U(+h7uqO|C+e#MZ3`o6|{|4g`1 zAF>eF$MI`64y?{3=!-vA1^oDpB&aVv+yA9Red#bZf42tW!0J2#eSZriV6A+N4eDD0 zeLO$v%Yd=^s*iKNu^xU+`43G9<>#F`AC*0ajyM`MIKFYt*CE_#eiZZH=I_stteqau zAY6A7!vAO6)hiH#=dlv!Vapk(9zT!Tu-mq5G@N*R<D7S3{BjO5!Y^TUq>uWUW>DX& zP5*bCGhR-kR1(L(GtQa){11+EHV-&IJkFW-J@!K(G-O^A9Pi3{C(&#TQSCozjaBwv z)N`IVU7RCcEG`kR7H<%@h}%W}Fs8o8#69A(;$HDJ@$X`}$X}(5e}dRr>>~CThl`hr zeEGq64~V<PKa%KR&H6pypD3e||6Tk*;SNbQ<6NQVTghSc3DnE^y^P1X5M*<?n>8gN z=gIvnag11`a7!hxkbJ#leu||2t&(q+Y{siX@1t^mT<*_Geo68hlHZa1q2x~_n_0%t zW5%Z<og`e`%)ePv60(_L47my2Q9jMY9EIy4o+0->;vl&fNFFUtB%#-gKZV|Tk{6SZ z&G=LJuaf&Z5@Stnl6;54-6Q!S$!6Rs;yo?*mr3OJb@6X<|CmHN6_U;PQpo?3Tmu~} z<Jobh^(jMdltj2zk~>K5LLz(*v5))*%YV3JvsNYIPm(-G{zW9>+i|8>$-PvxYg2Ag zxLf32eQnBz=#G5umj6?d|0MZU$^352aCZFYKPe;qO1aa{^GqQTuD0a*lADR`Nz_YM z$!5GL;`Nt2l!QD|@_CZ0uUUDa{4bUNGRfCSE+t{si8qnRml+QV{r5<Ih=lx@<fkM* zPs033G;3NS{(JKOkc4c;e<J>ulG&kBUn&VVyS8N$xwjTim464(jQ2#mGbP*co@T8} zq%&Ia#*>iE_)dhMBYBZ{If?Q$<2Vs+J!OR3EdSdi-!1te(T>ynBW2`!uiXDaBL2IQ z%{WcS{A9~~{EI|*7tf|-Dhc;`B*K~TnUKx6OvtAyTnDkU!uR?=?7a(o6~+1gfA;K2 za*`ZEfCvG(oDf7r3_&8Ipau;QkUNOnD#$fZKm=4og@}k?tqm73frOw^OO;x*v_-{= z6%{R5wc28ZmbO+QAVtL*iC_JFKC_=WXA=?7+W+_8@2m65<UP;KGnbv+vzOUtW<|d3 zWj<q<iG0Q$6EYpk$KhsZu2+zGZ^3xn?iiXeD#+(Z?j-VFh<e?{{vyY_7|%g2@>J(j zERy~bu}rKG*NYoO-WRg`pNY?lFNx;*g>(-7Qg5&L7xA$8p;#k+A#z-e`8e)Lo-Vc$ z&GioP9Lr>SXVK2{=_R?ZI7GZk93zewzbk&#8qPGQVLkVWykDXGfVf38Mns6GX%o}! zb@dg=uZ#P|L*hr`C*tQKMwCoB$s$c?SgxH%V&(%urhyORyNI+fqTEBgLNsfbBc7H% zOdlss5vPmhdW`hBk{5{Px{P>pJqA}PzCtwDWyEigyiug>5bHD7XYd8dFN?2<{C+F- z_K5q${}CNtpO9|njYK3j5}Sy$MxtJOv5RQuixf)kBiie-ohLF$@l(Yc#ChWP#bu(o zE~7p>FNEe#tbd#MqWBw;_E1dUC(<B_@+V@D*CEJ>VtuiZXs*LZw`)XqQoOwmcbA+m z_7?kzw76pVW5vnhcSV|DF@3&RcfQAR#jg@8MLX}~Vabn*wBcfT&xtRKuZZS)jP$=r zt`ZN6c3#IZ$u#$3d3Ih0jlC$F>oRE9j?Pm&?Yo$Mxj0N5Dbj+A>C;7;XHhoSVUV^$ zlvjv$UdCF<4~Y+pG`6DtuS6PZQGQMQhxn05vk9griZsBY+*FK;ZNv^@u9z<th_upT z{;NdVWl=WQSCEETly4Pjbw#;Eq}dha2SwUdQ8w3C@OjBEiL|U@x}E=EuBVWXDxTI< zOivQ+yoR$R(}IfWc3y+IZbBZQc$!HueVj-;DazN2G>xLXM5Kij<u&31qFpPR_EJpW zD%PF9K#M7+|52pL6y^8Dy7Lui62<gJB8{CW+xZDJcA|WNNRuYYeMOoyQ63}Gc!~0@ zB8`_Qmx$=r6WW_Y&FI_vin{j`QKh#Lb48Bdv7Wm37rhkUS8S;3QwHVw@%xpIozKnf zV%Bu_{+(<M=)7|~Oa0{b?3=k!cr;@hX|&T6qg7ph9)dM?#i!}H3&*AuW{qv=xU&OU z?Fzs6<nu2kj_vxiXVgpmkaH{kJN40U-jvjJL34+77Rz>>L>fm2ujaq@g(fE4hX^Lz zg*Z2uWFGrzK@l7<i3y<u(~;$j893L(Bn>CR2{$rFIO%dgCev;xDagoB(hML0ABhj& zVU$9<OwN#LL^$+3A`=T3Rpg8Ul0w_?Kg`u{`I0x8bB3|{?Q}%KMmo6#h}Ca*8Znf- zlBxS8hLbO3>VZ%<lNt%|_~GieuLCL0525Su)g>PA_z%wEwxq&p`6>pYh>5U1XE;l^ zm?A51MzFdu6j{A9l42=*J=W)pIt%}U%K~Y<jpeOUeP@u9Ti+QNydDurW;4>A7pVFG z|2Yka2H)quHTZ|92F@92jZ)pT)6=d@Y~T!b($Vsuf3;UvtH7qAf8z_nCh+Uu=sy2a zuu1ld;9>t4!INu$5j^E9jqmsgaNiffBejk1Xqsm~0geIQw51ENH88QmHs7_-2?SG? zU5e;*uXI`g=0&<k%yVULJ8Fho9K0&M72fM`g!woSbD0RipoNH<;86UJIgZ@IZia}$ z({`@*$H37+P#8?G2z>fFOrCDSbHZd4o*4&ocsS<JZe$!nL{0EY{5Q@#otO|V%W_d0 zIF8)hVZEOq<rLq1|3`eOlPgS3F)K`YufJpOy0IgD@49hkhhIB)Zoqvz;6yuj!pdB& z`6hc_v~550>bUlo9R2U~uzHnNt%|KzrPsUODpn_XvwQ7~$<bPCI34F3nBUsxFQ-qO zK6~PvZ}!%<RwKXGD{$XFh=1v_R&jQLDs<e}SeoifU%{-slg8TxrfmJSK9A{Nr)tLa z(}!?jvDyDwZ)d%+bpJY3JZo4NMW9Do`t6}b?j6B<@bmsUYfs^uo;mm+=bW6Ab?JZj z%USdh&#vel@45@}67P5Bw19{#c<Pyx1z1;)X>@18^LFTDdc3czTW=I`*4guR2SjLC z$|QBbWrF4Ny%%-pDUXD=Z9vd0CcMoTVS~ev<}WV~<&8xm%j3O=EpHOSHV=Yky^Q?~ z$l0{HkZqVrpP|EkAsxb|%|X~-?@HX8ZbKaFWxTETPK0e91Or^RA<BT9O}ibkzr3Ni zBJ-lm@^F6<D{nQzHV=XUj=TFW-ZpI&(){I3@Re5&X|{bE5w>{{4Dg#$QD1}&Za|v9 zyg4Y(?l)|Dth_A<Gfyr&9q;kqvxPurkp4N*>K(w&x(;#HSv}t8p-D4s{75_(b+ZC! zi{9r8Gr1IcJl3q^_R{Tv_ix87*be-ee$D`Gzwmt?>W#VWDDVB46t9={+uszE%Oin6 zJ|cPUI?;RFJ=h5YnL*BOhm|e;@!!UJRoS*+L)$A}q#HYHSebh`?%Y~E$Nu4w8FwyB z!TrvK(YoKccuU$A5$tczvB%@i4b+v{gK@|Ayk|jVpG4vL9=A7WohkPur+C~so$%#u z?CPqU3+~4h+&JU#{95mO+fyIialUBA&mptDjOV^5uM$U#Q^XnK&Ei6Fsd%5bR{W9p zsQ7bntN5(Q<Dc~&6px9?=v2lx743W8b136C+(|U=eUou#K^ZjT-pI#?I?AI+$P*<` zl{`oCO_J{<QSN%lXoit_L^2*fBSY>}%2Bb6c(&M4<dY)xE){!={X{-GGJU)_RW$vK z_!}h86HR|3ezD|Iv0QvmH2n@eb9~?&VcUyjQ=i?QkhYgcc%5;KyiQ+h9OHs>J@u21 zW9;;Pz9$;TnE86(tH&{TY&tw(cu>`KT!bJHwBs0?xp`gr&yHi59mO+Y@Vw#B#E`}W z2pG~RpcG1|WYQ}Bv*Q@&G9jEmO9G5zWC8JUj0{GGlK8g8jAQV%iW?5G_uWv4oeF6K zA`{aX9`A6!$Hp=K;EiK!W2U!4XCaB>7(l@NV{9CQH<j+&v2l!MOx+`8jAOja<UeT~ zgX1YDAID&QzHtmz;EiM4h_ZQrYaC+}LiJeRDUM^Tqv`|v_up}hZ)F^VCwUB0n2R=V zpt-6&8HNAv_{L~F9#5MxuH)qK<2&N&dd`e%XG}b2;x*%@bj-^+Kc};%40sa<YNt=p zGy*RT|LwejI!48Ho^7pp|2H4s&=5u~!}Pl27qLfnZ@KLMn2`%~W8su(b0*H3GGlV# z^)trLnR4xn+1xQ>zVz8}J7DA)?a$C40eZz7JNWY2;J%RyW08J}i)x>^?k_L29UEFQ zfeF`MKW^H@!10GLYHyc+r0PG-`uu-=eB(dg_#CHWo|bVPjXkO4A?$ZS30WZZ>@!?l zJ*Lr}3D4W4lj-F`r*6Gb#97DtJUTvenmY?4VO}ThN9=Plb?7ONgtu)#@RY|l`l7tC z$k+sVc+Y9en}o2xybN^2e#BX4)8-<=hMC6kjaRIaFKrIO{_WU^N4b57W4(;G_1=lF zzr2^xkx|51XVY#+gulGIaih$8WtMlM@r^2!M?LFod3DD(%6;YG@gvs0jR@O3EaMp4 z=Zmnx4M_91ZzIaH`|U*I8_jU<e=6e}wDUTZ@r_x~>&Ax4+4k*&Y{N|21U(*W)^UH( z@pj$69h-gq!rNrK-|CKU>_K_&vj90;7ioV}Oxle5)_g?rmgz*}8%MDd1~P-3Ezio9 z{`minZ~Uv{8z(nj_r^DHDfl;!Z}i6w<~YD8ahy0soFU#UE)<uF_lf@<-#|a&9CNbq z4ZbR+p0&rkM)E}QM$z;)^h|$)c6{R=r7ss(i>Cjfw@LD5@hR~c@n0DK_*&}{=H+(H zJJs<I+PuKk8vmFHJC3x%g=1U5h9k2ukae!(S#ZpJI`GUgoQ%A4UC5!mrgIf?r<pQp z|HIOES0UZqg6LrTZv5|rdlSI~W1xvq4we;4NTHg;@Idnm2qpc1YThDuSX%FXNbv>k zx-%`5#HI8SLMZYxqZ{hX{Gre`Pud76@lAx?Sxz~S6nYr{`Q7o*M+hWeh;;YE5ZlgC zju$}pqY&R=U`4y+T@;T>3<nbbO!<@0Rv^V`gsjeR{Db{5|G_!eL8N)c2nM2viLe4^ zWG;d{C?hP<8O8EB#uj0H&S;8v!`Ew!f3!?Va|VZQ2;{X%qhUr`%rJv3uJ8PsB~smS z(us5)t?75*AEJ(Hm=U+daQ!wK|Eq=>aZ8LepCv~9x|SH}34!BUVz9^ST4M0vgCz#R zWUR}B|1pP4JE8^=O#Sjm1uxLB#2`+C$FN42w92)uFPH-B3#)(+Z6d<B=J>DQg<|5z zhmByF*6}d<KWlw4sq?v~Y<;mO0PBm>Telr$j4tBV0(=7hdXvm!gB8pg6U@lP*B=k? zHsQ-p|M3RKYB1H|ALsXL00p)Mb0)@~=}n}ua`?(8byOEHEscF>%T&aoYv&nX%fx}% zG&B&mXgH2JiGSSSTQe;&Ewlp@v9E{eo+&me@*g$({lw!B{QbhdVo~T0ck#c+zJmMn zzxEaO9Enq;acAN`Z&UDCYFwUZVfA>qWtjI_bkyT{**g3D*8vg!=fCZ^2e;3$)UnTh zBN4V`vy6f8_xd7ia2VqK<?Tdy`ABSnc(%osHwj^z2f@JKA-u(aoK34c{_rbYSa|<p zoz<Iz2!Fj1JcMsT9P4Ggt@loZZ5{*zMSQ+zK+dM!4%uJcR+PsJC(Aq0_ygxfQqMYD z-YP`+%iHNI4_y>%-$sOO9+pvx@|yS}Y;Xh8{N?RId3L`c7%PvroXnF8Pxn)F1n2r# z#~}T4qSfR4wo@5@_z-%$p|#Eyy$=yK%(UE79DjJn*Do2!XZKs(@rTi;IR20q@s7Wf zjX%u6P8i6B%K6)F<4Aw}f5#u<;}5(Zd~N#*@5bWf_7%Jo=vvBq({Ey5!Sfu)7dnaN z`51Cf$$iBk;&5@II8B@_-X<;)mx-lfrTCEei1>o|rudF{R5Z_iXjd2q8lTT2qM3Jy zAAD=d;F%=1M{*a*`I37|9w2!riSn#H1*$VLe_y5^k>@VTQL&Bq@3x<~TlJKP_lavn zoMuiqujH%kB|3LHuDwKVG>Shs?|-M<+%8?ZM5CQ@&&~4&3{Gw@5#__GZ@eHAPfh0> zI8uN0rh=k7iqcoE4To+{uM8A5Sv8<4F*E&qC(k|Ny1|3t<VGcpmnW}0ZAF87Gw$0} zJ+`<Z{!b{0ENfSoQ`o-n?7|L(Z&asmORr2Qx~^z<(ek2(Ym-Vc@*3bf0O5NRmL)D9 zR-Ha=ZqXm`g@Zp-N7i9r_sG!OA{8ks8<(G3cva!mg?}x4q|hl$C=IV!vp<@?uqLo{ z*MYR9iKTbcxJ&D=xveJPBp-AGNoy8EX3Cv4DNE~D_Q>4PG+dTY8912i-d*FEl)cq$ zO75sha@=FdM-ta0RYu1yWErcMW;zFx!^Jg8*^6sZCWjBYiEmej)00<!)HGb_9t&3A zR+BV2Va@jHQ1Pw<!O8CGyKCIZiEE$9yrU*D`-{w^HE&l(1}>>_vp>%)u1U!bmnI%` zlkcbrWGAdnD!r{HIGMSUE0d2UeX_SYQi9edCaysl+5gPE6Ivf;E<uZv*ZgQ~@L+Oc z`@)op#AEJ1-yNHtSJX1~gY?vtlH%7Q<>A82vNsB!ES#Jfxvy#E&k9rSYg~BRn!wr9 zUUfhCqHE?6r+!&s{*i^nyQ;(6_EzT>?<w3{-ELE>eY>keW5Z>srHf;IkXM{sc3VO6 z!Ej`6b*LnAFgf_UOnk@T!{1bgq6r^_QbQF<AB4gQAAE5^v~Xo%LV36>vEuT=#WkU9 zd}rc{T?bOK7u7h~#We{|YH32nHf%q)<<iVx*<Ce`lUN!&=%y^HNyw&V%0b6nj6JmL zK*UKdOInS3j(w*vxTa@y=#jf>g3c2kILW&XAiX-hgr)rGwbu%B3)f+v|E92QVZ(|> zWj%3pq@UM#ZF+gbdowB`tNOPbJ9aycmF+lI((i4w>Vj807N(z{abJVd25TFvZm_07 z`HSx+tVR!%B$kb+4&A)CCfE~4MbB`>F2vo5b`_(QNzmwCg8kxr59w}saw+@mih`z1 z`!&6*CX!v1nX)E$Eb!rF)uFR<3;$U7Y+=fZi_shG*)@f8-fOn$>HSCQ&%C_xnv@mY z-ZS5OGe4h@^Lx<Y38oMysk#nhXVr*yOL+pbV`pZRF_=Ib8+<n6SIFZ&#PEPo2x1s* z3S;&#X5e%aleCdBoC*}YaRL8_6Mo6$aMEo+d_>KdorRJfWttOy-l&9bF-3&Nn|vY8 zj85Qb9<!f#$B?iN5$<${cc6(Owk3%(p?IPWb9OXlLXATt#@51|B#xO-++Kby;th)X zC5FSZ5RI8oxh54~ivT$jY7da?(7w*;hkux0hFd~9=X)qU1w(E988`<qsUZZM0W7~i z#n-4ckm3xA_fQ<f#(W<>Vm^^DgbjX_;_w;x^ukbzHK+#Sxe^zl(8!2bD!+sW(WdeP zbCGfQp2L;Qnv3g6WE=`I5*O8ojDx0;7E|K)9gOstk!;#tO6G$S!`R%u*!X%&5pb@e z_&G&(o->@{1GpH}W9K;|D4vO1K{OX*jBG(~NcGM@z!}B8b1OwYTsWh-;T05bXQ~me z;VpAwXc@LJjXUg{j~@Exb0ymOTuJ`<T;baDxstzfK9^m9J=I&ZoYTAP1TJiN#J5~J z`ysyWIj8ETox%#|Jbtjk`4Vn#%<*0uBZmajIW{<u_b@Tn3n2_15?%pVnmd+=K!Hgg zOfWqdSjO&;xz-3_w2-jn*Ivq;1qTDUmF1xMv2ww12DnqvGGdzv4kC7#;6Q>u5EM;R z!J9?U88~d>2NB0ia5xd<u@D$Wq?zClqA~nwfmwkY1FcbQV(Vok{I?SSDw!08N4bj@ zFmNus6S#`#Zi2&zVJ0|&7z>{fVD3(BmX)H6StxZ8%VNr6_{RR!Wp}eKlg_kt@C^cN zK&Li01v<4+Gqxkz{JaArhv9I&1s;pj62&IS7dgi9JhT`d8%glS3S+vwpJeISh3f#8 z28DQ`Ls_U-(oo`VQ|K^a3w%OgS$<-Ea|VOhyU5xM;72f(!XS4nQc$6BY=~F;K*HOP zgSeZ#hKwdkyfzVDn+6g)Ozg0Dn})GXkHX_fAlQ(^z_Kk|uA5<g-V;e|Te{Z@!fR0M zIAnwFf_DO=h<}>kF!szeQ{Ql+7#;)PA(9P5cn-M^9vese+5|@tUYke9`|&Gw4x8_F z+$eUO*DzkJjAO%=z+;CJTTSpP_Ec9>)j(n}JPi%WRVMl>!fU}uVxNf}9q+Z#@oGo1 z_Ds_?qX@4B9Mv&y9RA+|k5PPrdlScd=>i5k)!69%1f+Yrbr2!dyM3yI5N+Hf{9g!< z<ByPPiBEN~(ZfHgPJ_ozBv^7VFgrrBel%uf>~uq2CSaXtVx`&ak;FQ992Q#_B<3|| z_*W)rG@&ELBr)6&rJ?|W?XtPz8$23C$6POjboOJFXwHTO43wJ+MzJpL0Ta)_t0sOp z@tKLg@s>&k=AmY+Pfc*&xcFK^B?D{`PnqQFCIJ;Nun!)qrxQGIOa=C*#|*Z;Cc!qh zJp#V-F&j@^9>#aW<F$~l!Rr>V!T&VzqX=`m6}bMEN(Q{v-#pi}o{OO2$3qmuyHsMp z-}*GKmFpHTz?S0F>~FBYjcl0K>1S|>DHA_x21?<v{=WHzS5hdCM}#XWJP?nFR8n9w zZ~znPt1Sy`)rhxUg>$#R5#u1B_qHzJVWnd&{zVS{+UA<RxsqVN;b>-$c_-tcG@N1| z_};a3KtbLCGn7!7<+YCAVk967!Q%+KXDG>CgvP8}z<@CT4-6z&uZd@XwIf4ca*s*4 z$*E)jvFx)YI4e4p6egL5jUaA-ck%dN$v`oDOp*QdAv|^z!TrY4<NI$!yyu3Z663gy z`SAF?65LLg_Y4ehU!a5nauYmGn*?_SqvN||m@Sym$Y@-1{D(GN$o%I&cA?+-W$#Ji z6D0rNn!7#en%Q4(?)GZ|=hCcJo!nVw3G(Z5+_df^+#9fHc(j8%2bkohPdXP<yR%xi zI-|LZRm;!Lai>PJvd-w3)zOXQ{5qQ}m(R!<*y572^G2QBBHL{c&33PiVu^D1`ab+W zE5~h+lg;u|qi17%^RuxY`PnVF?D+MF%Hg!}&Ydo4k$nm0j^CJbiCaI%y$+4Z>D1Pp z7j>`e<JRxquiqtI+(}WlVU)|KKfWO99t=eDvfO(RdOZ+zAH`zl9|lU?ls@6?&e<&n zq6#!VyHmexw4k3mD(aq*<6hexaV^@S+IH^ToGxeQoqg#AsG@teJ1@sg?qmN)+p^Wz zf(B7{8Uk!S|7YY-Y%<)P7Ikx@XPl0lXSzR}pX0vaaMPNjJ067eXGlXfVxzEasH)55 zr+3clgbgtp9(Ct*&(204b!UH|X)WBVqi&Z;?r-Mz3A!*$sQs$aZ-|U3^LUr(t%qJT zWzyGNQQdb~crRFEFGu53?d^+5&g%9SSdXs>e%uAtPsN!1+g(dt7WcJ#DfU9wZsfo6 z*83!Hr(;X0|0`4OXJ0dYCQQ+5z5SgsdB(&EQS$<O=8#$A1M!#9|4FZZBRHS>=hnCJ zoql=tJ?`4)e5Cw3^XyMF$3Aul{P!KO?Rs9}>CS`?!`q8u9wU9u>hT)QaH7(v=f85= z>noqwY#yqPM#1-amtcyqG~TS^)kDmUJ{?b6&})nsj#jZewrd=`zdWubwgYk2*|a%` zuwkY>g@!)G0_1GkOvwIvr(qm#Y>HPe)os1EBW&}qh#T-OG0K3PP2-K2zr3C3&~(Ic zJ5X$_yj2L>JO~C>>D?s5bT(}{!v6C1_{!t&$hL2TFAsu&hfv<}`guLl{N)`&dE8F6 zFAd(7w;5r!As3$RqJ~)KtImF7`ZMr;J&y8R#|&~zqu&9KUC-_|?pf${vjRvRx;^kV zO!+hDb!9+~?W5Zb@86E2zJ6(hG`k%Q5VprMf`L5PlpSC|&elcxHZQQRDYhMvO%RVw zH|23ZvQB?_={Qb%BhEToo|P^A@&EpJyZpt(9p1*o1%zC)$Kz41IWqk+kF2<J>lmK8 zIHKDknf>88_ITW#${OfrL7jcVbH<6*K)0vrlg*X4dTa&HN#A5W^iIr&_nsGvJ;lBv z#~zq|wK!hnLCyH<#f9Qh@jh{__(O4{_%rcIk-sUH_Z#tD@nbQBj$^v9Z3MF=^LS<a z`6Ld3ZsH{*4%|WFFcNxWB~O%W)`5lI9Le*Q{(Z4rd_;Uwq>V7k|AWXOampWw4t`ja z>x(T#vyLm;agOA3NyyzLn{`|vn{`}~e}v+%mR^x$vwkb|Zj{V{OO~@(GP=$52hRz| zdmo*tc=L3Kemh69IUXSM{KI_R#r`6%S&X-K?%$C-L!2evB;G21UtA`Zisj;?;^U$@ zE>I6gIa%L}qB%Ywzb^Ur;$HDD;(qav_>pLyYmuKnM3!rgD=<|umknfmW3ic-C7vO6 z5Y6!i{VOCJ`vAzpC65;E`oohY&k$#c#*P5_Zk2qWxJEQ@*O2~{<k!XDi(Iph?f#3n zUpyr89Td~Q5EFTvKu!@Gi2OD@<C}|7@l5e-(HytX>ms@CI>fyc-&Zv2|3iP2<Z&X0 zQdr-0;`Jh5k};k`SL6>wGdclzwdA$p55*sg93Wx7&EmhhF7Y9ib42_^G~W?GJ$Obn z^(BgYtH=7AicztRc(&M4th;XUrHU^U`-_9c5#o2m31X3WtvFkpC(ak|5SNN2qWS&+ z+Py|{rTD1$xcG$lw76Z|A^ukUo%ptBzDt1e-<8byh3uyf#E-?#MBaojJxNRz8;a(8 z1xRlpIZNb=Q|9Am)yZz+C1Nkpe76AUe6>owG2$fAe76AcvnAgs^5rY_?-G}Z_WK2E zB|jkY^(^&&E^ZaKi95udB46NA|1aWx@sRkD_=)I3m-^;A2B2LpxuN1)imk=7MDtw( zgfEbMk;pg3EN`HAl{iYQ`(1-;6+c_7yRPz`ivONyzi&_~xl(*k+$e4mw}@Ls^SuLv zUy}T)_=dPw{EK)%d|%`%aBiPnk2x7PP?YP5CtH{K9O-ou&36!xzq{m~VsCM<XugL4 zz3)iAMzrfS&yakBI8VG?yi>eKTrTn*KHLA0_?Y-}@mJ!n#ovm*6ZeVlh#!a_i~Ptt z%ja!1nJm^5GsH}hMg-Ke-%T*zOMu)}@iZ%7dOvZfI9wbfju)qjGep`UF#m0$T@U(h z$uvx0`u*Ytaih3d+#)_JJ}>SRUlrdH_lkcP?RwE4O8%$l;sKNOCy8ldL-BO6mDoYd z70(yDiI<6&i~YsHA}udi-$ZemI8&^<&h#CMUn<)5rdLWX7uShD5+4z9IDAw4!MrZ8 z60|P1ZuD2#4`RY$SEGD#`@zu|$AF8?H?;PHcWo;9G%~QDXmL@=r?}%=J|^szJpV!c zK&Zl5^<=<3;<%v?!l@fG6Yfh|9$t~WGPulHn^4iYXjai<MWd?2J4aQAZi#epR^cZ3 z$nT1SYlp!G4Yp}r1FOPEoWxK?;;QVL(5J0yBCE1$lBV`K7Wi~~Ritn7NA(k5s7ks# zyC&lp(teDzRfWf3I<Yq8qx#7&RwehL1=>r{Xp<4@!W^OVwtNt%f8(o(<=uaVI{K>A zGUP1l9WOPRc~B~AG-+)z{HdWXD7ye<oAR76wfnuQ@@z|1`&yaua=g6NsO!fl?U=8P z8&N{<0ab1Kgt5)s_LtFCwCxkre`8in@{N}t3w*-%Cw){u33c~I+iImT9%Y#AMY`Eu zZmSb!uE$;ru1%_#(=@m;xjek~p2vb~fAMKxV0KNyM=1YXv?r^_vA_q;NA=z8;^(3N z99rv^g;rmIJ#$6NE3j{Z%Tuxc+!YIsX8$xrR&k;7-S3%mk2xel&W}MS3pZ_9xB>2p zn+v}SUI59pYiS*T2A1lkH9>F@!{_y5axmd>3c;k?nZWnHu3ZzH?}vlC7-QGcx{f)# zS*BP^YZ8*;YiW(3Vkn94*HO(+h;WF{8CXdRBP*16H=mG?aA>@9DUd*GfsnU4xV4E3 z{lT+|I|kiQY*no=#*PA^KgMk0o@eUYF`Kw6m>OSI3$t7y|H-q7YY5fg=Z-TSIxe4& zQ?8xai7|{o_*@%KH4iC)AmancQ}8dC7WA@hh-FJN$zHZtxHC1G%_|~ZMP#vvL>2Mp zSP_Z7BD}DdE$n5BI3FUP!zVBAy*lY+;{;p}Mtpr4I0rFlA49?E#|K3|#HOvMR)30Y zNZNx;9l#)mEb1GZxj{_b0-s)pf6icvU%=PLw`!dsFC)|ix$5(qv(8Y8*Hbh`dz3aC z35I#YDD9&}Gd&~myJ_iL5#?OPL_YSX^O?~T`4nH@*bxqADj#ARq#@vp;L+#|Y{<Rq zjAVP#xo4eG3?5@4d=PX-b36FSvGmSVG$QZt8|5H%5~Apw+b=;>Mqfm2W*{GdHxM|j z9TFS!!Sy{z$i!n{Dgp!HojY!&?hlljaXSLX7;_^69dQ$S+FWQg=Hu$6kd&LF0_)(N zJNdmblbfj~_mvDVH*cX&+W{?;yTasdas=|<kSDzY8qQtZu1!cuU&Ydl$S;fpnsh~S zGbR|l`>6MBOwWj)np9>pVpCis15G##G$@v>l-YPE>=kcBQ(FbI88M&P8u9R6hyY*A zI1lg{AmarDuSa4AM~3c2;IvH$H0Ja92Ild)aWMkB5#_Ak&P?n^rkal6C%jBYyu#cZ zku@E`J6Gkt3xRfscOK#;-sEPg$$gXoc4HDQ$)^ppxtl@4cFu*|9vWWv{Mc+KQoQXn zVm=j{Y=GW1F}+RH8z0j%B5z33O@A1X_rHNAuOb`o<h|mzGuzTwHX}X`v7yOk#6Xj3 zWZ_53ye!W#3%@bqwaAEvDVi)s%);+DkjVph3%vR1{5b>_a{%+U(j35-Bhb_;n1i^8 z`2v|dh?!{{ytBWM8QJ?xHT`!p1MI(hSc26wd*HEn3CvCN5T|O1ErF?~1TIZ$O85gy zu$rcXW2S^=%()YOv)M8h^FFt`9`2>Q?KWZ*VxZY8Nan%wkC^WNP?z_Ao~{vxQ8ayI z#3{_$l-YP1XlQ;5pEH}6#RPAOWijFpm?e-HItxuay)|-ug{`iCdcPZ=_V1tR|4nRl zjR|f7zmE`2bug4}jdT+@H$PQIxJHP4rC~2W#o+r;10H$(hQ0M`8}_ExHtcQS6I0M- zaS^|{7WkgSVCTxj;i)4`<1!Kgof`2;@w8OL;`f;+xd~-9Ka*J+I1L?}rD-Y_PT&=_ znHQka>8D^!{28*qn0N<wPRun#2!=rf)4yy?+{GviBB%iKpL_&kt~ElivLZaqD}9>1 zVrmGqHJU>RTT^YbVqZ<>l>lmb95wQ=gUMfhVs@~S;cBlHy~w&PilXo@w}{6*bGm^! zwTpnZajfnkcvyK6Tj62Bm7mD!`NL14uriq6CckYZWftaJM1dEw8%*{Q^MS=CIE+|s zf+IO1z0CwiY>j0ff(YX{4$f#8brIaIkTHz3TZjayns+JNjP7898lE|1b||hr*lOn^ zU`{Q8L4;2`9M|S+7~}X}W*$6DEeUQ)FmUr+@>MT75rstIq45B~-bWB=#xehTco^;R zRm^TL$UN<g;#KiHS0T+fUd3m@!|sgmx^5&dO81!95yVOp9JURmcw1wP`gWK?W37)u z$vc9E5$sfBD#*aa@UWqSL1}iVk^-Bmh;Su^q3~z}!JaWW<6Sfi(Z*4A0z7s;!EM72 zqHkQ2H`B&N@&6q!xqze!_M_GGThUz&)ra8g9({;1j_Hqj*%f1Mopi+cqkMaB8{)b5 zNbS9Jlo2)M#C92vT(9WZF~dDFA0EAd|Hd(DAv|_0u^k>o-$H69XP{W^WJDUrOkUBk zUF6%tQZhAh7yD|X7Pl=C2jk2@+e(IcK)|#X|BYk&c!>E9K1TUE@ePRPCkz_LbVjmI zyclnr)<f`FnNrQSsmgH+sZDM3<xOP<U)@x!RX5Y?>_8sq%i&>0j{n9ns?J6+s?P3V zPn5#@dV^7QHiJ=hI-k9~(qxS7SC+HQM8$fRT5MM$b^$w|;c}xAYbV9(+EFa1P7Amt zyN%XWb<O}@yJBYmDsD51u|_aT$LwbaM!jQ}XAduL?C@QO)Q8{`U~7+4GlRc?#}A2M zUi`@O6BCh!uyO0~zfLc2LBVy-=#286l9}qAlD$H_MBaA!PR-QkZIbVxWYjhjWzN<t z#-6QhK}_~4AN=L0SSL}-JN20pxvwB|tHqp5u5(Ic1HH0i*AJ_e2&)qAU*_;sV!u*u zgBKO+=T~A;vGW_F>TGR1W30M(RBTh4qpd-+sUh>9|9By>ZW01h;kUwXgO4DMXRhJ! zqrZV3%i_6+Wo?INSv*~_tg*iGPF0U(%~Dysm10?$Dr<tTyi?U<Sv<wrOD@aY3C|w@ zPdhBTIeZkp4Ls{8f}aLI6W%&ohRtj9SY4}U&v5pX#xmQftU12&c+y%7&mZv~c<OY3 zr_S7OpvSU!T4GuI;8|9#%DTl@-l^)bES{}c);sVlD-WJ!75mCNRXvu)^VqxaRq%)5 zkHWLe?kaPMuUzVuOQ#y7PCh(!?)K@eLmJPGLB#P)SODJ(z65?Hymi!h6rS7SZv%Dv z!ViV#Z-9AL!>@(sDbepf^T{LeBMV~TH`ZK}Ru5**4pZTs<1Q-|K&<y?SMvVf{cze> zEg<DtCfRkw$6q^Z;+XN%^Ty1cGJQf$5zj2KrNuGCL!0I4*UTyMQgER#XCC*r0mn^- zHF%Dj9?f#+<iMo9#l`N-=z6zN)Xj{#MN!y`cXCJNxSev`0o~p6qV5%QLP7VJE^_3A z9Jl(GK5o4ncQy?7a}fVwFsBP*@4L0n7&oKOIqnk+hL1+{!V{}Mc55FO=Jm~T+(|i? zxqXMbI|4cGmIXO&PM#Gt4Kg)Fa@@yYhW}iE&3GnwvgUc2o><V`eGzp%ft-26-L{x; z!0ZnOyL(&r_N{&Tx%HwQ*|gcww&!t1z)d-Bz3vyee}l<<5XVy7Vw-Dy%{I32vJ>}A z-N%d>((lS11;agqYolaL+!5k}W7l;$5C3Nlz%Xar7XEnl?kuNoj{>ilT5FdbXT7j7 zV|om}yi>s7+`zYM1%E>GcPzT94Yuo=eZ4i{W^>`SF&y-*wTbawt`$9N;_U0E&Ed=h zvywYl+c192n87`V1mg1ujDfq?UD^?-4CBpT`YheovyrdeBmQX<T#o$fEM@QeP5&nu z-s5x{f&VJod!CJtYkSXOk#E=b{=e(Lqf4#hWsdGlc-|i4I$&I$Xkqm_AcEmUB~Z_Q zB@HQl7-;h_Di;@%ju_&!&ZhB39_E!XSK1nPPbj=GVlX<5CyC?R-j6}1DYg*k*x(#r z7{S1f6kPeaVRBY)CS-rT+uGqS5_+tc>bBn75w>{{3`}hl2t*l>vuO(;`^ziogu7?N zu{<;(R^BRvZ5{*zccHvqw$puS%MtdMw+`je28iYHcVyeQ!IuZY!0S+;jjDCFuJwrU zmv^L#x1B664c?Zw8DZwhg{RAH>e;?}l9$GG+6nvhmg0@+byi7fOy2>I&0}{PcMN*n ztN>Dnj(55?Ou1`w&-RsJI<}9Fci8^zsK$2KenwZuwxa>UzHSZ7M0p1gXPvE!^mSLD zd6su~+ywCr;aM-sXPy4?`r<h4jX3LUc~-Xc$N$&%zG3XUbv$RB-1h$D>$uyiA^V%> zq_1Op-=6yQ;@_sbSn_4!K#`B*)Eg~M5od@uiwni2;(g*;@rU9@@n_<bB7ak?=j+<u zcSK(@9}ODFNn*M9WAS(5U&Q?+&MAk)BP0%V+_V}dktomDBqP4D<fzimkla!7#o}d( z?<d*V8YBNy$$S~gdaRB7O37s;>U&T!UxreCT=KKx3yS}p_$P6{(%+YCY=cqHXOfLg zFy#99A#=MMk*VG~32cwC1qO2!e*p=(K>9pSQ~xT(j}@ngGn9U#<b@>aS*-Yb6~9*e zk+@OmKbO2!^7ACN-`Mb?9W)N0{3q$XBfa+(|B2#_%`Ws3aq?pOjjb-^(<GlxVmsQ9 zh|f`cXUP{yrilR4jcqQ<8Ach~Gm=F9DbkxI8k=0i7fb#g3H=|Ch&Q&lDCb8?=c|3T z&)DK3{a2D-B9U(wiTFK=|GRitG`6=$|4g#6y@eb>Ubee2iSkdEe1_z+N$BM%{$j;n zCb^&F!IDQy9!DbIcNI@_1C~Ee@*>GgCDRJQY`0`u8c_cyl7A`L*wUiCFG#LyL;E+y z9}+(x;Xf9Q4K2#8YdhN<Cq&kFhL|IECXx4iF<<dlNFE?wt@z2}O!0c9-y|+nJWUQ* z?)Sx2B(`gv(tjxVr;@Er>=Trc&)CF5f2Y!4lm6S1_erjje1t^4qvEGZ58*zO^`?>7 z{zj5pNXBW_<ilZO$onal!|ObmDdLvF$mfW-1v7G2vAft`<h?BOb8MdcjyPT9{So8m zinoZji+76Vx&gg4k{=Ks61Rw3#plEq#ovm*6aOs!O*|<6L;ON?bsaF{V5mP$@@ZmI zv9;J%>>^$$_7HoD{l&rJIMH0EP!FxP*e^GU#o{9Idm`;csJBYw$R6eU#UF~B#Vz79 z;&UP`1exzOai92(cu@R@Sa;42ZO53uq1aSxA)Y0kBht8x`uXDJqM2KU_#u+*+#KG& zQ{T?bnId_*Nb5AF7mIg_TrQ9C%f(e<g?PV6b2jRITXS;WQ+WqP+QG5>&&32@mmnvL zT$_k;V=-GiQ_K@-9!fo$$&r_deZ_$y4egjdUYshL>oMYQkZkAP*ts^}SG>6{L$6Ho zI&r;7>pYgfMSMnlPBhnLr0<qYvpwo#DN;k)=TZJ#OyKnja<bS!G}mXuH<uh0Y1T)5 zbA1NQbr~#FJT3T`K0+KTP7tSwGetXh=4Q!u?#w-sY5K?V?ibB<8uDhzwD@ECi{kIZ zKZx&&RU$3_sQ*7=2w}>!%Oz<FNVX7Z0Z6%%NSi;(1>zOr0I}|zm~o1qB%13q!Z%4S z7R~h<VRM}Z%M@>}(+JzSFEk8f{m+QB52XCMXy>;4T{2ApnO-f@B9L;bNSi>)EycQX zSj_bp=><w3C=L~A5y<@Jx(wExqf+-eyi)q5Vx{<?NZUY`_Y3iP@g?z9@eR>jkD>o( z$utyX{tw0f5glG{5g!&KA}t9Se}-6hP6}-ZnSO;hSR5wOW{~OAM4Ap#ULewfkn(b| zOtf=RXfeq2pNljcq`X6<{UGJH#lMR0inJzV`sX6;2ProYX+TK1jcDhR<VmhOhlECi z)E_C*ijeYjkrsoLi$$6YQeGjVyH7X=1ZqaV?tM;%;_Ke;v{QTsF<0bRAM34q|C6uy z0?|A-LvN_$;o@j<tT;g|5~qoCMSFi#EP0W*M7&!p5m$=q#P#9^aih3N+$?Sp?fuja z$veeY#W%#=;vR9I_>Oo)JStX;pNSmkVn2q&uow~3#SD>ewW;4+jEZf<c47yytJq!4 z7YjtbC1rVhQ%VjL`PP*3SaE__Bu*1&igU!d;w|D5@ouq1Tq%}{W_$wmt&_Z7+$3%m zw}@NCZQ^!uhqzPRE$$KbiSLN}#Y5r|@u(QkeG4aLus_0LL`)Ym#7wcd*iP&qa_pPs z<cVFyy7z?ziXSKr6^DzX#j)Z9u}GXIn(-CXSNA?~k<yolcZ((BO0iTd7dMC-#ZBU7 zaf`TB+$L@pUlrdFcZ++(ed0Ufe({i4Eq*3)AeQ|Z62oFd<Pxb&&k!@k=3-QABeoMe zi1xm-yW}Q1E;9K0#o9KR`W<)d+_`I~XxyD_4&C{=xm`W&lh2{s&p~;-0?9LIhdRAA z_y<(2NbZRlbgxziwv`kv+%%vnxfxd94~7q>xCtMmCpjxZ=dF4_(C7E>I~V@`{a~L} z@4F*^|9)s>llMaxW^{S;{XqLS-*@KS`+jiV6PV)`IP#<7zJ>XPsfE9LKhP}u@a<DW zhqK@xn3{0-O!zyejz4_=)Z+I8Q&+t2v@bXuoLT^#o`)0Re>64w@WOf9-gl<{?)_l< z(BaneLWl34mvFc({Nj1z4?i%k7`3c;-@UNlusg2+x;+mk!9O%Fz4NyB-FfM`PWR_t zbIJ!51lkXLbx6zINh=CFz4_Q7CuRKM+fxb-2jCw_>3R4}_&ZaM9KNjmro)rxy@T{M zg+~sLoww<5{)J8Vz4pF?cHgFU-;Or7h5ymiBZqsmUxc=8Iy`CKk;8@1zUD%wMe`P^ zAAHd*T)63w(+ss>YaI9our+7G-#InA@Tm`+)W02aroR3fYh$fPP{O9eJuXZ_4?d6n zdmjCF2evHz{Pgp-e&9rU6fQVg_|u@~yA>4P_nsO5H2W>&@EP8@0|yWvy6ZZu0dLM> zPIx?$@X^HaJkm6?EtGIBB3<)vJkSh5hmy<)LO7w2YT+b4f5(@2H&5rGq>Gs5gohfH zkhvs<LVOz%42O6kO&EcH_+;V_5QRCD_@Bg2CccY6_)R8#80t%HEbGqqR`_J%kBt}# zPiN{;iQzy3U*q7Di9ZFBohJD2JPr*^s4@Q`cEj(L>$v}nfeuJXeHBU0W)|3w;wt=e zeom1?=@?mq_zTwl0KB(s`WB`>2Vd`M{Bxe5n1@p8v2o6m6#3X+kBxJlqR5T()_~v2 zt>eNPY2&bt`_q(yCB4$dVGfvt%hSeTrFIFu(>Oa!LZ7s2;we{ni^%_qC2*k)ZxMMT zatzyBMBa#pJrP-r*av42vxNII%*r(z>TzKkXB#(?OD@zKj({if=?#0N2TG{Vz3~<E zK;lzBT>q4ikmAGG!{1~c$R!bP&c>M$PM63}yzkP8e>%uFTC*K<Iqb9$?5+A=G3&yd zRRgz1Ngg`*_G3G4zI7BZ>4ISLmnU6#3WNL#gDP!qvN=4aT@bwGz&gbQ?>4aXF~M>X zOI_=t2*6&Iu(j5nfHB%A3?jyw;1Hr1-o+vnl?;@70#@}!;AKyUR5Gv|9;FlJcnA!- z2Tkxb&TG*iq#MUKk<H<?72XyPzXdU+@NQscsFH!ro)E5NfOoA(iBvM+RX8wS;m|r2 zsyCo&94qu{i5amnia%mlpA)(8Zs3McB?Eb$5UymPt0zP%8MxFF(kmJ8wrUtc#${le zFNF^VO8Sw#yl{Vx51RF#5N~yveKb6D3)!9AD1>{GVJ}}H%TpPb@?KSCTuQQP=7qX( z3>3q&3{vG4kk~{M&vF|oAIb8mPv$G$S5Kj@o}Mg*t`B?(Jn{`7x%Uz7N2=WeSYClw zUO!UX(BD^fKVR7ckkvSjJI{efdHu<aUbrtA^2+PaI?OmO>L;}g{mJg0&H&akPhoO{ z7iRIsjm7^h@Tli9a<><*)!+S*$M!dpjbj1aXE47f*tM`WCtiog1fvC&4E)}UXQ>={ z#xYK?f%u8AFN#cX6v3vtft#I59<OYt&$OQhD35B`loK2!b_2I8sARxXWh;zpj{i8% z!ttc*IjZ=bUuJp1z=udaMf=eIU31VcS*L!bedyQti0dyLr(f0?SpWDeH*;-AxK8dh zIc_xCu_IPOzOj>=zLqAQDOfH!ec_iwWeXO%Raggk70f6*McoUyTJe-lZ+QzDpFQg7 z%g?@)3ocK~x#WKLM%q}SqN~q#o93V*H_`{oA-8j1f*IyBvZ;(4?A5ZQtZimD-hN1x zA6hf^fi&r>t>ZJrqZW({Cu?otm>Hol2{hSbQN}dTd=Ir&)VFIzspXEpo^@oc_I!m+ zWbDJO@pNvG+0OWfY~x0dUuFCF_3ReSv1V$t>p1`4SW@_ec8xrX9LKJ)R~oOb-<n<H zfBq+BPe*s~1e^#@#~TU1^Ul^-HUyE>!@aX{b@iA=cP4xo{$zUI9Zl_e{bzoAec-K_ zEgM1eeFDD6v(BdRh9%iXf|(guFae2;5yp^&x!$l{-+{Mz5Da9aqCYSoXVb2QY{N`C z2E9eLQNFbABJ8hs$7zAUSX9V*Ss$~IoYCShZ$2_b5oeuE;|v>rdF`-+(h<k<Qs8ZQ z%MiAC5DctEChA#d)4q=ge|cSf<wcNY%X<)En}=mQicHIW5jMyhe1CbpP#(9B?Ms8V z<?-p1d2-?D^6`|(l})T;ke)VJe!W)pj&INS9Q1HZ%>;}qfnGN&fVODvOB-e~zZcw< z0Xephj_>IG+cD7BFDJ5R3}GYRF(r8aY+a=9uncU#T~RI~`FzeBB-YFQ!#eG61Hr&< zRCWmia<)7xTl(X_4SU9xwqQfsD_*2)elc-{f5%$FStve!yt2pR?o`$iZUc4p3C|fP zv}ZI|zY~5EmbV|~{P%Ti7<vAptxIR|La~R~OYA3(6t5AB#2dt0#YN)x#N}d{Xl)vw zki0|WanJU=Cmt1RNSu#q*_z-WG`1yRGxQ(xwILy&CAqWYu9D63BGUiW^@R7T+(V+V z@j$s|9ab<CKO(ln*mR&>XG-osLN@EIBAw2RMT<O_QRXp4W{T#C1G3p)V6NiN7kLh$ zem{}d8_L5)KDtnzA<hzS63u=?y4i2w62<>OTp>OnJ|zA`d`#r|jrF`Jz9POZz9sGz z-xaIGkHk+z{y12kc^(B*CD#`li)Q~LJxlT#VxDOBKhiIee3{rs<nt%%xk?-*P7sU4 z>qKjFbc^I-@lMg&9P!%2a;?pgwJ|a_wTS0vBh$Bv*2d^X$sF-y`WvD-?jZj~a+P>k z{6w@iM|NFcYjb35aFM?mk3+Dv*j6;hCE_oXe5qI{_7?|>X67UGt<BLS#T#2)#Ltp^ zgUInt)_a#&*VbsQ;vWzn79SOVAwDVoT6{r#MSNZSqxdKBu=t^POtiK}#>N-zOTopB z?W}8S)Kc-S#r9&3Sa<zjj^MJK{^Brkq&QBTBwCxJS(2^I(R|6q7MT4fdAYbsTq`~x zJ}&-3{FV4?@n!K9@%Q2%#VYZz__6q@$QuG~Ur4McTAQRy$<`)`_o>uBQ#7{2kk6HT zfoN=q5pQjj`Y7JoD1Aq=wNWaPe4Ti`$mf9|>@4j06r$H&(Oyq*n_cJKT;A9FK6aO` zr~G~Ff-~5PFTb1Hv1xHp=;oe9mn{#>y?7PA?|grL$=YzPGp>2c!9eQv4_e_%%F$$g zD?5DNuIlEGwd(%s52C3BtGX{QEZJ3ET-<ZTuIkT9F1okdveQ=Xs$R6|;<Ae?B1IRk zzIe@yqLQK(D=#j8tLTg=EmyTFxn%7{r9GBiTsEv4-@q!m^xligFREz1Jfl-&#l_3s zI1tQ!^FYWcSj(?2?>Z260y%*r{ck@XUn~wk+hZ-hd|iB5dAEwL_kGl4K-Hn^22}08 zse2{9X1pnFMaHtzS2nwMS9PzFy$3J|mp$Of7w4wuH_hL5Ako>2lA2YdmG7-?UYwra zzNl$_;+odWQ&+n+p<@r$B;w1;p`ICQBFhtN!s`cA{dL~1>UY+K4ko0mtBD{jy=S1t z{U}nAR=T&kptwc(uIh|+2{nNut?@19l68?9r_)~OC$Dx6hTS`ALQ5kR8RaQ8iGl2r zAj<z?O`_Ahyk*7nNNHG}T@k8@tlx_g22@p{o|WsSWHwmQs-o?R=W9}z?mf`vk@SNp zfgT4_9n^(4qz9o>zU~2h%lU7adk?hjnRze}?tRcnZrJp)g9)L`gTZiC#op?no1&|G z9}Fe8JC^VPzR!K6M^zvcK9>4XR$+sxvz@NbG%XAtOZvFUvc~uBJ<#}(cE^GrL+dDd zD0gr5w324{igefFlq$TntV#&HRE_sOg%6|E=oKfUBC%{>kyDfKakH}QlDmom*{M}- zYD!J`qrKIc#p%oc(j;N^h079aQaf*`i8yOAcO7VmuTrO#H7X6&IG;vpf>*XKYq>fB zt;QF`QDUOA-jp145>~WZ5h=THO$K_gZ?RjGxE!^7x)&PRYg!;~L-F%9uE~*5=B{Z} zv7^ZaWoMN{N}ZZW>Fb%;L&exT=&?k{UEXR<^VQF({Jl7a_8w^GG|ar9^fG+6`#_Vk zm)%xCy*n~{9CUFkw9jV0^*-nZPdgY!UwiG!T9df?w2D9GKY?T8(kdtUk^CKnnN??m zyFOD|xFsLof-la*k(IeL(3<@guELk3tAhCIcVubWnn+p3>Yj!7W6Kh7q_E!-Ym(8o z6N)d(d>H-0UP&uUFHKm%BX(Ey%#tvAr$t$Z6)82rk0UjySK@2lk7Sf)mo-}5d`$?) z)&(UkOSd5|q3kD_JDN1QH|O39$}X%prv&{}wgKO3Z(VvtK|)QWX{)klYXXn#J<tK$ z+sJJ1vrRfKYj!Z0a>YS6b?<?k?DaT?`xUIu?1}Adb}*EZwdS;nD`4*0{+Rng07pR& zm^!8$OZd<&Y*clI+x3}7g(=69K1g11e_?o86nj5fo>baw^@05M$3h?BchqxBe)ux< zz=gf5(3d!R($>6G9SVf1QbI4`Yx4t+1X8^{9CBXAx6LDE$*U8pQWHYxmyGhnnuN{? z6%JOqeWS^!ruU;~8m>&MNLaz+B6mf-(uQk-HR!>b#HS)PfwH9q_}2NR7G-;@2X0C) z4cDaB;LGeiTbAvrUa|?lpiS8Fo{`cA&>Q%j+`OxL`=;k`Tr|h|Xh%tF{8nd{WJ7o6 zy5yQjYAg0;&%Fn7(N8=olFD{8>0DxZC1LM@bF*>uE`6)O9v6FY25VNDaWEX}iKC+p zeo4-;q)#uaN)Gb3mbyHOHgzaYUlTf(`f(e4b3U``Y#b*W3VT-t6WAxakQzFcRP$1G zWMJRIt~i?8ZA#Bg&l^<u$0p56PG7mB$+VVh3cIdcaP-GN>4vjf=ChF%35A!JW)vnK zj0C$aZ&uQCEzf+f6yv;iKfZ7OY{n{_?`Gqiabx;@r>|&UxvM&J-I~ILeW+~{N?(^+ zf^+17l%Ci#T|-sj5cWed&w8Pn)ME)%$q6_LvLiJK54@R~xMpwlp-t)KSdsX<dG`jY z!hv=8Vm-bspPh*FK!@U8NDbh;<y0j*!5ZiOWSljpZF(uQ#hUud{@Mh4;mG0|_mQ&; z!^_`plD_)96<wAkt_hd5tl*K2o(_a+f*-Osof<dmwt`lxBc;#RBt7zL9Hr}PT<n27 z`|C@tzh-xn^Gli?4C7c$#<ALEX}_jkpC{wUI)ZH<T9UOUTEV0Cii1vS%CY35smH<} zVmp`O=skk#!&2%*?n}R{{jr3P)2q%3bbTgT*sQ$$Wd-=+efNrt)y-Do*gp1IlaHG? z7j`S`RG6`R!O@979bA}*E6Qiv`c^j|eNjc<>S%NH)zZt#9v*wg{>(uB>PyyiTh^{H z+Bup#sO90Y4;MavAhh(76&ID{FI#YQ`Nm$dmq=Niy7uk&nwLe^T(){wlRZtEEzf?> z*fX0;tkw%I#ZB?2=8|h-WB*_S(Gy8-D1pP*u%>8<yTQ<CMz!w^E!aU!C4vcO^0qpd z#L=qI9HtML!h}#lA!Gi|n1MH&n4|@a;SiU5<M$a8PPl`aLrLZlB$Q;H7@Y75MutNl znoOa5qZw+5`V%HFT;$MRA;CO;Om`L{Y}X48USK}S9yYV)x}{xAZ5Uga2gU9tDVQA! zo9Bd!(tdAJ!(sE-ezBAzDC=dG-ioRDX?uX=scg^Nj>80(Pd_Ph1HnB;Ot~o#-0S=b z33&Ya7)j1k_y_wx+*i>#{cy7tfuY7$2F^iDz4q7;=V{j7pW=FI{fZ)=KkLB<oM&d@ ze<^&LS*!P1ral2*pKpwvUsGgP)#rve&$UMI2t{s~vz@8ztTeO8?(=N)8j8bbzy#w3 zHuW*wphAQtg%Jm%WoaXr`XZGZ;nor&QjPcsMH+eRVAeLcX*5<IM(SxKXd0=A674*U z^gSclv_~lo$I`+tu@ufes^1U+=jE&MzZE*GKA+N@-*Ce(p~yF}o|qVh$oVABPUh`I z@eeU^5XDXucQLOiYA*A>Lh)Iort>-XRS0y>7pGz48w^BLLz?>h1qy*i)c7j`#ncEo zG*XMJ{4=J)0S(;p1)SE-s9Gwi9IY^=G)GT!yvjMl;bTd>lxR$)J>q*o%_(&5ucdNc zOr-$vv;=f|JKJli^ogmo$4;a1om1p+PDH#S&ffRhG8!tSRQaxxf?!-_PE2J7c5XV- zoVCt5wN#c;C4>F48!E+AS?9z{;LtIDc0-VGT03Z++@dCKL=*BG*L)+3niH?A`jv~R zV)A0lY6eFj*H%rtFtwWuaMFA>H9S7!%cXKz)bzRwrZ(V$sW^)4x~cvZRTF66li|yO zK0NI!Y;;gIMwM@kl00i*Wz=oh^>LSuAdGYfrhnN;XQ)vaM0f=(+sG4b%(X-aZ~h5S zb);40nvpRz1age#5W-ef+cL*j6@O7!U2x+92C6+_!+ZvKJm5Rup-KjLw!`9g8|HJ# zOA36NRYbUw!c26IA|jO(=D=fviIwoEWW#(08lsC#jSTQagzt(I|FrQ8n2&E@v&b*? zMjBf8<=$XLH^9Syf>50sO`U)B%HA-afp_4cM5xXU^Xt}mvDt-aF{(0-b>_ljsZN4D zsPC5}WE?dnz+>CCEMQ<7JbJ|YjyZ)H@rZCGg*owvNF{~4;t}bU6rO~K2^OIyZCSuT zK0M+Hb<dXA!NRlRCiuj_@D-sED?*zQ{*_ODnPKcgn?3{KSw<eXA~a}4h~ahc*pS_~ zGC=F*#DJH`Fh`(FA_KqoC7Li!RVI<+Otc@iIT_}GViNPv`3vC_10z?2My&`jycj+= zu<XdKiJ#<ta@Mk~^9@_x7@&B)`QP#egJqkQ*1B~ibFKH4v;kr6Wm__O&N%kwJ@EJm z5i8+?fg8q>JoK@nu%2r;+MI%#xoJKFJg(5@;`t2lsKO$f1m`3pe$#vgcm~G)AlLvb z0ZQ<wf-x8mV(q<6^BLeSi&cpFjAH|T0S}WNg6+T}k((DJGPD}|48Ifp^7_EzcSW#8 zSOL=fDsAgbQ+@3_a%;SOLu~t?bmUgHkQ0*7Si)=U5JHVTaw`Lu!lO+@FZdviTGBhS zuzhHrai#drwk8G!uLuoU5n`BENmRD~RtDHa2<uEws{8iGnrC(x4l?dMHp0rj-rH{) zRDjxT9So~gVcRP72wIiR>%DR1`0usLJA@hLJph`r?^Xu1yGzaP?uA{R7#O-DbmfW= z!)oU~(_L(v*&JV^_L)YpJM59}RqLG%CPBc-hER{;d|(xLQkZEJh7n#F-U-91@HD|U z8Q9~5PbIc}JaOD$R0a_~l?X)Rcp6&>kFyuyQ%N`J_{UTJF?jqw2%hwV_+}=_I}jX- zILsL0v4x*N{HPkl6S)p`<TUPL{2vOB(g;?Fe%ll~M{%D#Y|@7j+f48(f_n!Sw1K2O zU=}dIlRB<P3o047+caV*!S?W|TEGBXh1^5qtsBf^Ui+=Yod5Tuxo%+Af=ULC!lRJ{ zHvt8e%;(PS4v(KM!5;z3w7*>6;=<g_wq9~R$(%S15Zq+s%7=z=JkWU7g%vG-3$Zid zm(xe2L5*+Mu+Ite0wQpeA+usvY@DCyD`d~D7h=C$4Uf7Bb{BpH(8>-o%$|`PJ0uEF zvA2hY`}WYb*ahz{w3qqJ;cgqrONk=)@qL<cyb36?G?x8vJaT_blN&9~bump}WIQ`> zAih+QFEXCp?rD29D~j*Qc*Rx;8?*0LUNN+<;~UW&n}R08p^b9fh1T(K{44x^_`~o= z;r|KGpG_iqB@E9ubewU}9zGYIkHZ(jUkuN;vV2nE#d$FNQ23GXSHq8kp9VhzeinQ& zJiDK7)U0FO@4~b0Bk+8xW*d%IcMkIT>+B1;Zk<yRSGUfEh+71|7@l=+gy$ZA65hH) z2p@s3hW`vch)zp^=c6j0n)vp&3w(F@LU>-L`oa%}=WMNE@I0Vrz|Vuf1%5s}XI?FV zUkAS){vmkYYdj3U5&m&_&ZgQ1&o^Q`=yt>Z5uR_ptYaIZ@U7w7!m}+G!k?%uS0jBK z{3Q6}wdroeuY@m!KT+GZBHiDncOdh3a17o${%rYNSO|YLJl~2H!B2&s3(vP<x4_>6 z&o5n;!}E>TI(WYEdKjLw?fJHA3q0R)?SOw7o^QCUt6K-lTm-)a{x0~t;cXp!vsSkb zmc13;U-s*e`PQro-a0<O@(mY%#a-YphR=sDfam3tE8`4@=V_@3ekwd?+0TKW3x5mz zLiok-OW^N<UkSe&el0v_)Ng^`3cnM+3jQd(b-W^dld{(#-+K6m;2(zP41U(dI{pa1 z5B?o^)<ugl-0kxrG3Izx;WPCf_`~qW;6H;;!O0~JJ_^sLnhx-}@Vw#e0?)56UI@>x zFJ27K&%E@99}GVXekA;8_-XKS;jQ!6bp-Vsh5x6oPF{m;oox|r2hX~XS7!m@>(<HB zrmb^6!aPZ?g!eoD`S5(y9W-aZQ?&Q~lEwA^t^s%*8|U%X4!ZNXbGzh?!P2q#q&ZG+ zw1keY`0HN_7)ONpS-a0TPJUbWrf3T{73<nYFLP(+xb>s??sQ<IdtH=^08j6JsoSJa zR;$)oEwfs-X_eI?>x`_^-LX-(O|)b4GqPH^qoeK_QFm0-ZHsksu?p{O1FWKpPtTQL zE#T$zH$ph<L`}GlFU+NO|KUViUEiu>@7vGevcdBib-;;sgs{pAS9FUdRXJR9xNLq2 z)ZTOY^q$-bV#WL@#J`yeY&hpBW?E;8*yr>?_`&(zJ8`MwInhqg{-YCah{&HBh1ZP2 z<MVTv@*7jmPv`fc@LMN`HT-=3q*%(E^K-cMQP%m0(S371S47^34asVCd#fyN$djhr z7ffrt@QY||$8OxNt@GIj&zU-(HhKtWwd&X^3yIInUx>tKO~z-;c5QPejc9cRl74N( zr=8&lzc7E&6zKiZ2}i?|&l!Q3y(aYmC(2Uxn)X6K_)qhPL-RpX;y$BP=_&0q?WlCR zk2r^Uu%0pWDomcg&F_wIxzio-@0k{rIej{^N2}0_XLoWZhOz&1xa-?T-C;ZypnsRC z<8`x1kDBm5(O2WSOMhg<w@me3_*0{Hr-}c}G<T7clhvxS)kWuwxauO*^o3El&9rCX z0v7UlfZKz3?imQ|=-ZW&)9nh=Nq1mD=Ns6VJ50%UnB-#tZphLF?AlKP-OYylU_l>u z7n--piS~1oOI%D#7+@wZn8^ula-U9SHS9h-qW8~_y2Vb^=;QEkM?~GLqweR3mGpxJ zQTGMRe|Qosbr!lQlicRv;qGMi;!e}*%?rYwz~guG0=95xAm?@nKQm2)Fq!@Pgh_fa zV0Pn{1<Zr>sk3lo@z8nF=%OZsw=US&As5Np13B!7XBO}`@ob<^M;@^K`nbu%-S0*@ zxgz=Zm~DYTi)?Pugs9stiXVYHBd4W1H|nND4K5shMXOHk4LNRdwAH!Zmbb_n>HaCu zod*UFBG`pEPJChp-pSx(Q)j{J+LJHFOqkSZjCWF+GGjJROaX62Y%@*3OtOg2$2jrY z*VBfWb+t{#T5}+dv-I`<52k6vCs$nWHM~}v<MT3VMPH8|F$;os>5P7zj&-d~-*Iv4 z+KXDp^Ro}lypFFmSHpjuz#Ez|(*pco^p%TXf8}1~9yN{mKQ`s#gmXT4CvluPA3f5x z;hOp{&iU~DV%WDivnEc<nJ{tO^^>ti`J`(D3STpB+^mT=_*17{JKir|GwYfelPAvh zM}0YF+LRd+ZHcqz%nIa;zjpfci8!EgdJOKDbIqJNv!;x@eopODCe6BL`b7V2)k(sq zBOP}lc!2j@o@in2LLg+pQ_tR<*VSVh-I?$lJ35(O2k6wT*MA4dn_{{l@V0Eq#n`uI zrZxpQo5ojx=6#ci#hrh9JRzVFjUnS^GQjfKt}*a74}yWWQaxK|hUskDb-pm;X><Il zuL7$#9cjMkz;?X8otg6Gc^}2lvw09S>qJKxkh5vGK=zlH*VVJV<949f*mkTy*ycen z@F5h^xuJ44jrPa>@(NJiOhm9ej@H<I`60qK4}yUphAg@rr@Z@-<}Yt^53gTYUK+eD zkM_(gFBhKfqO3sR0(&q)W*Yrg(dylhef)VJ&qEKpb0%Qid(i7<1(0RY(LUOSDYt2Z zry>UA*gm>9;r-jOwMV?4@m?;r9Ssmhy)ic$<-Hq|;&ri3-{u8EXL+MEC!6<?hvW3} z<CN!%GC}_~eH-&Wuo*sQd&P_T|6<+;&lBmf7O=<T?o{S|pxexT<~id;^FI7n(&Nqk zsB=Cy=fQ6>|Kmcmhv$e(#J(cWUyL6q^87=2n#l7EWe!-9w~Hm>ed1b?zf<aM6n`c@ zDLy0qM&z+d{f|X64*+r_98iq6^E}Rz{2j5b-9AV2I3Huac)RqzFWK1Xqr7s-_bdGo z$sFZl{$~{blH^w;@0M)rZcxs9lK(04ahUlt#BA|gF<<O2juxkibH&A?S*sKE+$(uC z37I2stmns)e<pd0WR4Cp{YA;IN#@H*=HDmz?~?x^xthfJ^fMCI9J59e%4vp^3*%do zi0@1y{vyTqQ2a2(k5&8x#owg(+ZBJO;yJR%avoAVNA_60SvwQ)FDZVP;{Qq_{*dC2 zDBi4<iTL_BMX;TXNR-o#L_A047|+o;#$T>@9Bw8aq9Ly})Z=fS%oNSYIOKCAcM^Hd zre1fkzc^SNA$~`kA@VgA^W7x!?EvLP;u5h`EEgXXe<ae9ius-vw~IT(*F;`NsP`xF zui`=RAL3^sPg>NkCpHjUimgTSyM*33lDmi;@n?QJ|K@VZ{Y8$%Gu_U=86$bJ_+62v zVbm)Y?-ajk-c5zl&F>od9+v#5_)C$NZ7lcK;tS$$MY9Gz(%+W+XOW}+%y(3*5x)@m z27u{}#a5y@4<J5Qa-PU#e5l`3<QoFYd_zEv7blA|#989a;sVi}H<0fx$+VVZIhEog z;!nk=#An3ch`$xz7XK{n7Y~WG&KIbs?i#+dP-Fc~#nxh5@m#TsSa%KI0g4|Y)?Jg= zuEkrSbeaRQzMqR6y{EiQ<VZf{o#I>KUh!R#qx;nRNHlBaL;hTHkmnD`X3c!io_886 zzL}UMo*{M+bH%#zU<NDRuAy(|zg(mE?~2!oH;D7Z+r&G>W#YXe-zKo#4~UP5KNWu= zJ}EvY+BJM%k^H*&mbh1ZSL7QC*7Je*vG_ltgEXeoK$C1DW{YQvxniDpk=R4*BlZ_Z zidTzM#Tnv_;?3fn;`hWA;(a15M%lifignlc<r@&D?-bt@-xBRwzXv3LDDtfZ^L-(@ zc(A1$5z|G!Jz+fGWRM+2yEZ?qRvF)0th)v<-)JzMZ#Br-;*BCLTN(cYah14Qq^&E{ z9~bQ!{7*|ZYwsidP04%2ed2!ckoZsWm}uAjO~Cyz>xqczB5hz9pDnf*b41$LGW{~K zkJw+lQXC;(BTf`&h_l4HYXmP={9WP-@jkIqd{BH?d{q3U_>@?84dLG^{&(UYai92} zcu@RE{6wTdF}FWOY$!GsTZmaAEsm*wp4eT?7iogb^ee^D;#hIA_+4?fc%yimc!zk8 zxLhm~E5sj*KM|i5pBHzE{N@(h^%wE)A`O}ue@vwHGUfVWRBR*CE}7{Uh%`c`++U={ zG3D_h&5J3|6=_RM`TJs-SRvAwnCXv;Pl?ZnIP6b2PZ678^4Gl&%}{*Z`_ZW4+lcMN z4q~pzaWJ;4?)_@M;tRxHVqbBfI8+=ijuyv?6T~8MnmALOBNmH`#3kb0Vu`p?tb6~v zPVwu-4dO;|lek&jB5oD8iQB~;;!g2Z@eOgexJTS4z9a4z4~a*_qhhsa@2hDc!~GBu z)5Q!iQ*16q#Wtd`NJIV(l5@p8k=8aWzq^<(7KpvXzT!Y}s5o34EshnB`<#t>W-5J- zI9IHD-@ZukOT@dy5^<$iDwc~I#Es%6akIEZ+$wGpw~IT(o#LzF8{%$pkGM~KN8B$S z5|4;S#cJ_0k%MdO|Bx6KBVxK}#s{F6DVY{K%oi2gi0#A<V%_J5u8Qw2_7eMw1I3}@ zaM6rApuVw^Cx}JjG;yXlN1Q9(A{L8_#3kb0Vu`p?EEUVeb>ez)lek&jB5oD8iQB~; z;!g2Z@eOgexJTS4z9a4z4~a*_qhhuAnaDv_vwy{~7!fnY=3-QABeoMeh`C~(*j4N< z=8FX)-xaX_zT!Y}s5o34Eshn<I1KU?NuDOo6z7O@#aqN;agn%0yjv_4%f)r#dU1oe zQQRcbdW+k!McgWG6Ss>y#GT@+;v3>_agVr9d`H|b9ukj;N5yLKGm!)8X8($uP((RH z%oLl8QL&9^#+#sD_c<?5>0QO{V!l`)_7eMw1I3}@aFG^YY}Z(Ef><O@6K9HZ#A0!g zxJ0~LED=|VrDD0bPFyc;5I2gO#LeOsajUpZ+%E1AcZ#oyZ-~3aJ>ov`9dW;SNIW7Q z75P%l>`yU4=jjYym+QyJ_d0gY%R4_BcPCq;J+E`#DX-CfG>pnHG?Hh~F3=QjwYvU1 zRM?`hqB=cy;n<YIteJxycXlAFUEvp>eE!A6v0a}w<IP4t<optJa`7(>jj!u4o0Vf& zuDMXR=c^{nW?e)C6U<<DFljv`cLq0nz$hk!5`MxQ>lrg}x`|0zP969_@WuuFA5K`n z<Zx1NAij8bcSeSiZU7QOsK^YfheJswI%Ebn!=Yb5O5}?Ix5ya?Bo-mUo$ky*`2Vr@ zEr3-OclzhtbCcZML<kTRW5CNp-rPJ04>8s7iV#4<Lq(*L5CS3P5g=$xQ4s;rS{D(m zTGyzxYAx-GkJeh(QdX^6+YMD)*R`#+ZcD|a;4^Ei{eRz_Z|*&bL9lgqYyX{O&Nsiu z{ASLabI)UbGjr0yd$5gUc_()p1a3O-r`{>uxObS{n$DK;ij4@fThni$^mE<JL)gGb zIt_TIN;wm@_|%q)qv&`g-Pp4kb=hw2S=iuK$_;}1ZIBt5wt6-bF>o?js(TI#J(VPj zap$v?3rVsP?zybqeMlX{Qr!inhSxgXazXLoqq{rX&F}7(ht`9U7UpQ@EZ3o-JE-sW zAoTb$H($jDtRC)3-Fjts-A?FsR%#D-j%!}=k7Akb?oI|etW$%lb*GUx9p0!&FE=9t z)BemPz4T-JIe;nCdyR(=HhS2(gBcy?4st4CVD4aWDk02tyK)ou#^wCL?pJMcolx}J zNnmIB)`w(H?^TppoQd5`aabc0aI<tU4-nCBaHO+*iNEaw;JAK?F*PJ8rH>Y4_zBbq z!JA4v!KCXA#*pUZ!Hx@f)3J+b3<PB~)1{R$LX06Y<D4cW5&`>zjB^PwlROJs6L`3} z7tCct%qE0E+VqP%e}d#FM}+?04gmegc+`&{5xRWU^7`bk(8NGcG*DoOsJV=2Sm;ZR z8yj7K(P0BH9L#Hs4W1PW;m3i|MrO#D*5p0xupm3w>n|9T6sW2{RO|@D!d9<Z7V9vG zs*CYpr=M|FY;vq9J}y2wR+wK<SWuWhE;bZHYpN^PRS%1uhv6=H)hicQFIiH(WK_|j zaibPvuuN4=3}bATuUo!)RjlCTqS5(dKJTooUb(Ki-uWL}xVPf~u%j9Fl^kdGkwtvX zxGatjJKO-Rk2!q)>Ef(fzj7`I=&gg}ZSv#^v7v0qd8=2iSQlHmdTk8t7MrSei<Pfk zT~)nq-RiaLhJ6}MJ&_*o%IeC+%l&(3%H-8+>#G|^%_?$Ik4c-A9*U;+3}?H&-Q&DI z?(uGpdxDqi_6rSg2f4VYIe$jLHE%<=%wx<o1uPR{*iP)0b$NKRw<UB9F8iNkycP#z zp$_%&Be&dVec%?axoDmL^>JIgU3V@w2A&P}YCaMSbj>9~J#HSn|8qPHbr?x6M5H|% zc*FWxfMJvK!4KNo0DFA=p*{BfS$j1|+dN2`SvvcfP_VK_&^Ap`H(XtRDjkBAU4V43 z+}H3_^a^+^mwa39l}Ot>NIHCPiZP*JWm`Z8?LChBH*evzho_N*y<3pBd60DY9u>UR zTiK0}1?@c_u!rFY347m0+U7yh$wb8m2N*VaFJwV`ufd)@ZhRoL`^)hr%#)AEP>A<{ z_pK4oOfo(pw0a}azs@l)HdsBjJ$A9q^7*a6Q!D|rre6)DDSi=pJlAYs{TTSv8r+XJ zupjt0?VJs?`_Thwl$!`)?Cc*CRI*%_??12j!k2LoD*=<wLp>2`kM>z+&|WUiQ=Ypv z*!{4y#UTIF7~eI_8a8NK#b<hntA?d{gp<MR&~n@#o|(zu`76*Q7#==k6%3x!!yFT~ zhacGD$>2GiX|`Z8aQs&uNVZ7~k>k5&18p#NJ6__eI?k{OJfs|9(3jP;j}APZQ9N!N ze*&6?_bQI-;dw&rEj*rxW;uxnC7y$n7YO<OLwc%krjYwV{`tZxVV#g~J(O<}UMc*F z@K)j7LjJC)|B&!e;SYsB5$+ehCrm}-GGA}u2;q3)X+->{JB%~I$<41<aoo@O(&IIl z^h(heiryf4t7tQ>1m)dC8g}_E%kmjaKZ4M-H{?9V<i~`Agd>DJKPWft44fo-x^R|| zFC5gf<Ao|kR|_u`eo<)J9r{~EUnAsuJnh^pG{*t-eWD)}eqU(D;X!^Rzf@H1!CyqD zev|R)2r-=}N9s2jUsy2K?`1jix9xaMM@aOW*l*iyzHd|MjgioGUn<>R>TRFfd|7Gl z(yL47mEN*{inDWny0a0h6S{BpOS`?s{mLe+x8}Xo&$$dM*S%V^wLP@Vy%lt*1i#d@ zxB7V(V#H%j#p@M$72l|Mxh+yRaQVw^nORGhU*4W(^10h@TaNVt2fn(TYbr9Q`&HOR zzs42qsmsdn`+ya!1s1PdU3pLC>y@#h*qHAfa?>*pWo3S6pLa{>tCeoXf$#}eP1!c3 z*Fi5_*}R}Fl7aQ$PFc_v9yzsiBi3D{y=l%=@GJXW-X3DP-U86!k(s3~x+<cFBGJeg zXV~QC+ji$*AOUkTA9b0R`&Z^nc2fS3=|sl3#nd0we10iP$~{{pU6$0xx7zx-lbjhw zpSAhVws1ac)3GicYZ4g~Do#BV&Y<mm`@&k4&H2HpxQY1po3OhZ2g|(?CyI+$!$TJc z=%+Hi6gOfnA)ypLG-IhXUNG>PIi$}lM-rV=9D3zFLr(c(!%5ph9o(SMIaSYX1Sc#Z zjU7G7&Z$$GC!E$ua3fa|BH{O`84g#P4B?l-OgRr}bWKeKq^tk~T~o`EP7ObRZJK)$ zwl1$Hm>X=iAS0$vV#<%f;}tZr3>$YU$p(^n&~r;kK1Y(yA@}rikc=ahelp4FG(L;u zB}lkuP<l7X&yhTnQhrB1eFl{cIS=icUY;N~l8jNgoXWdMo}C~$?kar}$=Q^iPV)2w z$$8T0ytMn$Ka%7X-X}RWiCrINJ&<&cm~~gOdaJ<C;DeS=I+;aCk4MaA*+y>__1H)3 z>lxC?oC4-F#NveBYU**Uk*{Y+CzCbeL0^*4tE1jy2|YtPnJd6ti%6%EU+zCqZ(l;s zkWMCBb`v6<NExPn*HX_f(<GhD&0uUpq+`gpwSzkBH}>@m>16UkdIKUIKfbN)Nn0lA zM0pdAu`Nwm-=*Bcsp;D>q;pIOn7;gB%8yOR4GGR)+%FjH5JV@a-yxXRWv3s`$&P(| z=^YmYi)OfIrE;CGj3gh=6d9;nPnY~-sDR2cpr!DWzu2I;ZD_$S^MiIS&NoWh@U%il zAAvn-STTh#$Ry|O0^H{(`8e>TNiHBXBYI9_Lx)Z}-@xpo0nTwS*BN}iE7HUZ4lRPn zY+`~o@r^ISGbVW+A%fEzUk2OIg=zEUzXeA1EW$!WthEwpV&YDp$ZBH3FK2ySpq#T< z&VIyB9|+7fthofgfv%m8qzQ|$-HeEyN5UP5SXU^E$Yw#hjt%iVBHED9X4s7l#G%-c zkkeR4WbZT5YyuN9vGofsXSG@pvGU3M)X_OjOdmu<pDQ8TG{+jZiHVpY>fJ=!41z|v zQJzbnebg#U<O2uRfFY>j*@24JH-rD0QJF(f#WxVcer0(jH-Ux7M1;u3MJYAJ`}}kR zz=VKqW<YmEKzBn>msc$G2owCuZ!pLoHWqv$_@!-#5ZP8CXF~%kzaJ3?hQL!Mgi{7d z6Ih8!h}eCC44YQjX4ta{GMpJOoUo7&dAU(NhcE{*1-^%ZK}}3nAfk4JI}y165z#le zzJho^Vv4hlIhbU{ae(Kgf_@R{R}j&Ij;#sfu`NTyS;YN$9Z{K?<ncfo5&S(}pExwr zAolAyhp-e8W(lfTBv7#$uuY)qJVcej<O)QTK~T=jKu&Y`9yB@DaF>uWAs<^d2pWUH z0?_6J|43a+(<TJ=(6U%}%2BLkv0{r2Yp!M4#>Anv4arrGf?`%I(3oAc?|x{o>0rcW z%taz(h_GcPo+ii`iZ~K6j>z4#;dvxj0(?p4w^;(5f>?pL1kr}qkYKU=+4e!C&NReT zh<us~23~h;xC7~sa|mQt{)x$}SJthlZkSbBUsJk%RaHIutk*eziyn$9)U#m`XErZd zTV1*06Zs^5<haFNR?Mr5d0E9?`qB~jieS<3K_?Uq%fqS;xug4^P%v=NfW8BUj2Hw_ ztZ4k_CKmP`jm0SN5yHMF6rI$+e}C`mn4aG|?tLL{wlVL&ocf6cBfabK)xv{Ltgvv9 zx4xL$n)nU*1$p@Ek96^zz6B#ki&_~++9<}2V)0O~q0Ac{!@zRa?Km$x=`C4XHJLk( ziQJP4jLW5qc7SkVp|g5@eE~NnHJYg+<|=eB8yIuK<r0~@wrUQV&q+8w%oOm&E50z< zcK0VFbO3bW5?Qop;+)e9oKMX&@)x;8>P)LlSy#_}FG%hU>kQ6lZi;;Og=yIiJGI74 zl80)?squ>z&6zsapAw(+jld7p)lVdkojhF}3ap+&PmD2qL@x?`B$fR6t@}(lNpK~} zL=5^#2HA@Zkk_6r=eYBt_ep#v34ci!?Hrt*{@I+~Zk*b@OsA^tii8&Ydx_)p2ZMTe z>oB3S9%T$e5F?03(&Ig-bGh5C5y<S_>kR1uOn4kRldN%YY!*IdpqnibvW|6}66gW& zJmA}7z5K`Qgqo8I;kS}x!rlt-tZj-PfZi|~Qn0cb(7|%c(ecR@qF64=<9-m?e`fO_ z>AW0uoEQ@dR(2uipuLh4{k}fhLzaX+|1nsZ0UtovkR%gjT?JmyUODXD2OjP5_hajK z8`8E8NILZym>U^j*yJsc1?@R8fB$H&8=|$h3u)%D&loxA%j8@&8<=GLuF&cokFN4H zR!K7I?LoxhtOJ;E74%NA1kjr1bBs+>{1o)~kY<BDubROK?nfk+Y-hZ8CibHT(t*>> zDE$UJ8*Eub`&*&x&TQ;En8zXA6OrX+A+pS%z30(kelmDASbLVX803E%{*M!^VS~0+ zeCGeg{Pun*itXVCws<le&HVQMP-mO)I-i)|-o+&vx5A`{qYF7p<+blGnbSU+`EXuO z5Ka`93g-&v3#)~-LjKm7pWR2qtA*DIZx-Gzyhr#i!Uu(WgwF|IBH|kKE8(j|oOt^s ze^c~(qS<Xqx%GMQ9e}j;eVizI5E1o^iykfdbD}4So+)~^XfxLq_9{fzO1@h3MWW5z zdD!13+6?jo{T0z1F30kDU1I&dDf%JNW)3~%yhl)O=FsChMt?c;u@jd3--vD@qMZ&2 z>7S)u7(Zm{r4vE-CPMFc;Q;YR5}{Wh`g4-oxwTw<llj@9O1*PMS4(fX(9EfY-UjJi zCcQ69eyz~X!T-ACyTyM<_?Y-+Y&y#OiRk~3{FlPtiGN7=CnDM@6&EJfH;ah;W)3ar z{-hx{b7w*4OFl;WIE_sE@G8P~;(dk4-#4+3(7c<19w|CsI8MmxIrS$A&F={GEYa*& zpxpePfD1)e3E4SLd4q6+@N(f5Lf$*6w?p_<A>aSWH@`C=?_s1L5Pnzqm~gMq{O+Ll z3(*~Zci{g){1)N6!uN%q&ObAn75e7)2jn|8%RfPAeutpV?+;igKKuEpXMTr3_V$xD zzdzu7(F=u3gf+rCVZHE+!b^o$2#t>t_O26cewU!>PGEWF_XxaSwDDnr-Yfb^;ZKD7 zgf9tyC8R%s_TCbj-zDe|MW^z71sxUk5Zd3V`6epl{ly<993?Cgju(~)rwGmO75V3j zt`O2)z;agz>x3JHn}u{2P;a~NM&T{OT|&AGsP|o=ofH3WqRko_kpEQl&x9`tx$-Xa zo8L3=b<yT`4f-9?o#)1z-#6sh{QUxRg)!j};RvBwa|3$gMAON`d^3b|gk}v6@GC`E z3s(s1gbl(C!p?I%t`q+&!mkPM7SgN4@*ffYQ22zfS!m~K{HN$&3+aYpemlqhebIJ~ zeF`pQl&1@O2zv`p5)Km<3C9ah6HXS+5S}SKM|hr){w|icR(O%{V&P`tHX;39)VFgZ zI?tu2AB*z6Li(#nzaZ>9r=j!Q1^SYxpC+X5h;)w7JTIjsukW~w89B@w<ogcw?R}v0 z^*<*0AmLD9=lcTRF=?knI7K*3I8#_IoG0vjf2a|Eh44cm9??6EDHu~QCYB6G8dFe^ zKlbE8qkiNu1w)TRVR#kgKCu_pjw#r5-=f#Ai@MisE4{X~qS-wZNeP$sDm}Y&bLm-a zk&#1cDr>gi-!t>LcBlQg_SnH5?V<O2v=4Z5PcM88ufTb$yL0C2zdyyf{};V7-s+x_ z_Ez_h`&M_i`}<#dujl>g_y6#9XX1}u50xBWF~8z0_}PC`b6d?<U@faXtv#!KNGEGQ z>&3iDo3t2$#q|4OubsAf-hWfYk6|yX=*5c2n5@z6sk`=_`FgZh<^j*SX^Q*R$bn}f z7;6w(+MM4OK4E-Y=#(Dq-l3<B-C^M5w}o=u3BB6gL%m9$?iD(SuSB85aQ-mx(+`Ey zoe|TU_wGi%5y+p5eNE=gW!|3c?wk91h4bB0kS~N>Bbh6&c~U9#XBM`FPRMR|-+rxE z_^s|?ls6N3X7*?gA9|J?m!&-17RrL|j1GD;tlrbG9Xcg+C=?0W|Mz_%jXF4vzaMk_ z!tPB#_h#IXcyqr87z`M5@HX#NZiJ649%rqD#!NyIb7Rbe&`=tmQ9Sd&Yv;!N8d~1Z zscPrOEM<<wn1e+SCC400pkg>}E5VIiPl$wHWrlFrloSc?2Q#IZ<y5(R#7Y^<w5G;r z%)#l<(U^n#kYsQpe2;gNV-EOp^T!<QL@II|vzRdlKOo5#aZg{2<PZqbm*ewfX2?M) zsOTbQJ%hppB*!r8nauVG$-a~t@&l5*Ot|Hgo(h}M0VK_!0^VOU*s<i3QC=tf<aJam zNRW4t?9W2x(9HKp4o;A~ZAC{UNUp#V%}<c?ad0z{$w^^p|3v<Ui$O-egpHr%+9FOS ztH~#csL{EAI;^0tXULhjW;vOBx;-nQ*FZf}U1QIXuNgfyFK4g%_BK$D%aZ%{47mnm z1|L>kLpqriNb}*r&vFs7m|Zuf4S5x>(V0BSew)y{n0j0f!#8cn<8T@GO&ik5+zy>I zw2a>ZJDJ5-H_3dcJDK-j!)F6u=enehN!A;kJ<#DAI=;>gNgb1X*yy|noplMF>ytVr z`GL_n2%S5i<L~N?)G3L;tl3pV`qei{CzFrP`x5FmQGISg-H^VzN#Ynm{hdS&?oHOf zB$pzma}1x7{nB?){uG#gix~1hse>c)mrk<!YX?(AlHXp7CdW{Oc*Z1295Xx6;%Ej` z@JfJz7DLURY15B8>68-&ndCgeU_=j&`6edneIjDMrS*tUWHvGJJR<!51il-=4k3hM zJg2VXhzP%&*;IYisLm(k7}bVLnvhNeU)6k)jXf%Gf)aR>_ndX%CMNt^tc#dh?C~X; zO-ww6h#V}3r<m)UOYp07QO7Ff_^JfIWv=CmrwP<&-9k>oC6<?kgkQA<gguCG9uxK= zdd>xxHZkG1$=QTVSb!IOA%{sYJpmEB;5IQa$tOaIU1z)VN)G2Vp&k*V77T7Q>~aG4 z9P9{@WgyL~feAcVC5Uh?6J#Mw^t+X36J#MXV1X~xCa?~}5#i$|_!ibT5LpV?gvSsu zh+qTpc|T1J6Xs)Eff#Ublf@G7r7dhtAnSa@fG?eAWg%iWj9VxfR5G~EZ2L8l$M(n) ze|^Kw5as=v$mLG-L_K|8Qbs<j2buKOZ?O4nwF9zxD?=6&Y;Cg8GtJUar<43rZGCz= zwzQ-yStBc}4_V8}8rhoEH(FV;M(b6Rq|DZc$Ak8}p&OkwS*!LTZzdv68-f}n?3-Sn zC?Z*Wy&7WuB_Az5A-llJVA2E@KLIfd1Ls&gDxn4;w*(OlqSBHw^8FfFS$(RtoUD<p zNqrYJTCbWUWiV+1&mr2#P8^6tW1lwITo~A_0qI(m45@8!Nb7uVeL!l=W5a#s;kKuq zPD$iXo&^5MY7cim$m~gw{n691L%r%%axibQUJ0qRXxY{)x##trk8{TobTZktr;R`f zR@%j$FF{dj5%DV~>_H4+bP$mjSfuL!Ca^LUh-o@6yQrltKXL3k)G{Hp=Uj(cu2=2q z15#5iHnoWLh`8?%_8_8WVWMhDwh2__H7E@ZJD$FYTH3P^XM%ngiJxA_dUXC_Lh76L z&qDuP`^d$ngN=k#wt~krP!IhI5@&n|8z1>SbX||mzwr(>KJqK?WaD}4Sm652sy%C1 z<#vyGe~chz<2Jw3T#g&;Zx^;G;oTEbU3`O5O8Rw_%0{p~Ql%BBN3u|BuCB{M6H<He zLzP%49}2Ub1{GEpklNkKMIvN~uw|J?14~Rmq8PCRaSEahmNyR!{vK?g&NM_`m=kR$ zUK#LwME=xlAkXU3mJPINb!#jWxDD|t#GQyYBHBP6Z}r;|DYL=y?f~O1%LFp-Er_=w zQf7nYQTHCiU5NKtVJF`GpdUnhI3Rxs>0cqfiueX1kHS#IGQ=|w=OD84nlI9u5p6h# z#QTUJAo64yiO2~$6A({DtUz3axD9b9;?0P+A_fB=>1?<P>A%N8Y6WBb?<pO{#CZ%- z^Li9}tK;0(#=O2uM-Dn^K)<}aJnxwJiFtX2eNW6AG3J!djp{!zuis!_kQqO*pl@Ct zVt)To_y~}9FNUN293#|;$Hu(cxc7>)Y4V7I3%m>GV`Q6m;jJYFJG={*n)K2^10ng6 zVGJ5g?x3y)qt#)|*yQ9Ow8IWI^T(O3DH!uVFxt!vIxGB}8FYqWhz0!0N+CC+x#3v5 z&I8f993xgR=5WK(Fm9}1EZWYFA6vJ)vAX`Ex@spdFzpi!M>8j1awyyX2LsbGaXmTQ zxHMjFx*V4_6q!DqacMZVpY_@M{-P_qGN<a=TqLv*QldYQdg#P4p|c)k3_}njh)2>J z37yX625%8z$QjN+w6;mV2I2%O49Iw^hATZ0=H+5i0xEGVIPCso7S?M4V$dGf=iLpS z4OX@S44bCxJ~Yth0tHxE4P*hfGc$(SEzo7TEYFtvMWk&WB%KzFmx?i=U}YDA4%*8_ zgJyw8dr?GdkB<&E50cI?P<lAPut`381nrH4y^T1iw8!6%wRaoRHV=}{6FvMfKTI=N z86QD{_I3^P_mlRzAzFL8lqVm^Ft-<a%IwJmn)VpKE3|s&W1Q9+@NBSpd%(cqth4-o zhaSf<*kJ4TJQy}jStcqr-YNlEKZa(+;C?)a{m253`+=$^_5;n3IG2%hra<90Oeom0 zh=JX6_U9bkxU@bvPyaD4jV+!GpJZGbuNj9QmuBvXA2Tiumv97L`~I47X*|C;o@c6X zrf{~9uL_h`33(Ee=5L*NiSTOSb;6s4w+rtP{$Gtt8-=#!cqKC)2K6o$J&_1{hUjw9 z3q;eSM)`8ld{rRbAo^m_e0?B)hxlgP3+UTL-z7PZIqPA@qe1=y(Plgv=%0#yPWrzT z{VFlTaSn+8u8==m+Dj!u-kk_L$BW-j^ia`pBJ{?IZ^oe^f2rtl>6vdlKyQ)wW*i#y z%r_ojzd?EzOMWF0`a49MaV*fkUGz7Jpm$5}5%C`v|7p?BiGES^Yed*>5#1&X;etwg z5hCP0h^UttrvfzN&>$ZvxgCdABE2#q<mHl|EB+GUD#`05zgYY$#J^g!8GnNE%=i=3 zW0&}5{0Z!TU-XkgexaH5HRDZ??|0%KBtrjP$=k&@<4nMh;^NQ!%O-+m;J63g6NtPY z5_umW_7UO|ZRnB0d?B5^)Z=}KI7wJ4JX1JVxKLOjTrONGtQR&2FBM)c+$p?K$PO=- z`!(Uc!fy+IApAGsGs2$=Ul9I>@KxdOgntyiCHz3h8!XFB6J`i|3Xc`iQB6Jif{Et3 z0URruu50qy{XjI=3*h;ptA*ye0e+omJATewH^9G4d~^K(eYNNtg|`U5F8qe@5#eLP zCxm7^E9}^Db1#VhvhWq*e&HL!4}|7=g8XUxodNmWL+m9yPH3(x;G1!-z~SPX>kH^p zMNbk=7tRvW>rK1o3M+(5ghv`bM>je1e?>^&HtBnX^y`!USK&V43&I1!KMLv3rhbNS zpm2!rbfF#3)_MGzxjrEOWzy^X?U#qd|DKQ|3s|20w#y;W^fZ&twW^5xffM@(bA_G9 zlW}MQ^%e^4I5GPTmTSb{A-q@kZQ+x`XM`NbKzpr14lyB(^*9X<77iD3JOkzB!lgp{ zjgw8Hw+e3)-YI-cxL3$=545*mNN+XiRAEeLzd^#`5R{h*XA8M90QsL6Rth;@g8cPD z4u&9ojqo1fF5#2HXN2~fAqPdjCu|pb7@0tOX+jR7Abo<6qa;Wd3GFvQ=7{D93CbIU zJA^j~IXZ&!M}<EZz9i(x2+H{)N6ZoS6P5_42sr|Rdgll^u7Px|aI<im@DAZ!LJoPL zzWpW!$2^e!weY`%e-a*tdl=;>2+?ex=-U&W?{6{YhrK~Ud!OUGKKbK?#lmSq^Sldr zx#)Sq3gHrAjc|p~-sd)m-YDEG+%D{V-@8TpdxX1$_X!^q?h!sNd`kGN@I~Rv!dHZ^ z2@eY27PbjL5Ze1-Sl9h5VYaZ3Fjp884ie@Ii-hBa#ljNd6yY@COkufjo^ZZ!p|D1{ zLfHBK*&zM~;YQ(RVdwklcJX%#Zxr4lyhpf8_@UY<n~467KBDtRk16uUbH+?K()iD@ z<BxtV?#EFMLg(?HX&n9O96aW-Yg^pfeJ!EdYg?SgeJx(&_7=CSxg}J#y~P{e+>*Lr zdrNphb4%KU=9ZM<+gqFo(L;Y8JES!nUD`S*I=D5Iv$S<f&fwNNY6rHaHCDIYU0c<9 zcVkNF?$X$p)Prv7CRp9n;zswjgrb{Tyqvu);haq^DYY(Ei`v_gTD!L;tub^c7XIEL zELC@K&qgPHT64VgmKG=aGIHJ063TfQxvoc@UO=6$N1a|kovufnUO=5*K%H(tono!w zvWnI_%VMpYP!FfEvNa`I**c*1q}JP`Rjs!-#>Q+$JvPJ2<FK;1#jAb1B^-Sm_1N5! z3QTK^71=ecE^bEYIk&X96JAE0Z)x$OFM#f<WLv9&t)bcqv_SvX%Nna%H#YW1ZK_%a zm7UbOF(=l#WrANe%S=H`3)CYFSt|B0jF^J5QW4WoR%F8UE$P_fDE2r5d)y6soQXZ| zjy*nRc>mVTfxYeDSb@E*z}{B3UY1jZI>bviw?rDlhhk})VI?;9aoE}1l7X@_v9HGf zyX8FI(!H?+`yArBU6GVSB`KMQVwsm=RBc(dbG&;X+N0<37*Xq;*}VG=H;Ut))4$c3 z@cDi?o)d6}3}4*pEErk3x5Zr$Iu!9z4&v)(ha#cJANcZa=r8ygw5nRS4F9(lXZQ}Z z`jXa^vPj{-Ar%?z7N67{DZTFvw-#Ec_tskPP$U(awa{!_)|#3Fiz(2}*#~+DjzO$- zNRHe0a-0i0TD%DZTZatK8l6=bD&C5E{Kvk3-G4Bx?sVq|)A-C~PW7<M>z~Vu2ro>X z1IDS&01rcD&8H0A2nP^ge5`pn4yAE?E!O1v9ddi-J-OWUR)o{8pdga6o4@5q+7*E0 zpx7^v8BR05cQ;~Qza!yyOolKgm83k54Ho?x23BhLpRrAI*%_jR+d032!Ht;h+1~)f z5F^X6anB)nHp$_z;?5^|8A(1)yXW#{iaTsZz@A6jKS7Lc#Kv7n;crMTW!6ecX(ZZ@ zQbW>R8Rdn~C+CpldxTFiTXYl2#mw7ClD!E&c{54+HGPt=57DnB$d^d6i`K1T-uFrJ zV&s$EQ28h?gg(hf{^;=uaxBS#B$qI68Ofmuaw*AC334mR!UV~u_9$OP-D>82l4Nm$ z{2j@O2{ILr#L?0OIUXc3{_PE_WVQVFaxFx~a|UB2bB#coRJOfSJ{TfSB|?%g9}&YH z!%a-^6$t!bu3VFAHgn}0>1+buojhkv#}SFX>Kv-l7)Hlgn;16Oaq%j?yJ4IofmP)a z^m7vfQdlkXa^`F=308&YKNry{JgJFEzqkb~j&>-)NJS>uqXg>&PF}=N(Bv9Uwa9rZ zV#wLf18YKnH!aA!69n|8cfp$y<UQ`|l@Xse>AI|-+&n=!+ouxEQ<PK3bK3-#y$2EH z%pksO(sjJ~n?U(fh>)L7e9ffm5=WNuI}I=C2O!U{$C@ybCXnZsy@riv0(tupL(ZB^ zpW}~8qP?i|PU)~U&b#4Z{SpTzS=ROv>iA{wz0VJn--C#{P9*x}t?f`AFGi40BKrHf zwnN$Gsnpp%nfRK~UE858{XE-e68*ZbO|<1I@b)00?lXq1$uxB*{VrmrQ`ZL!altTw zSNEq7k>hkLBhBF($dUWe9Bg=;QwWLOHm9&*X=AV0B+abazrPiRmX0nw>cJcTfx#O+ z<KA&)UNr74i+dyDUS)jj*a8Ia{CN4;u|-8du#3Di<K9{<EEMyG78e!e<rNiRtsrj~ z#%pw2iq9o@-QzR?TY>yVW5;qRM=o+>;UZcX8Z&u|OxBq96DRIHaA|yKGWU5H{}DIE zFF`%L%s7iLh<j(n$9jF^-kLaB$Hd2s!HPyj`6M1j6%vJ?7e|@N?41ku`o*!(kvAvq z6~(X%!D=+3f~bnOJm!7iEG@}j?_E?j#QW1Fagv4k%aAPdE_Y*M#R^aLJ_Kiz8_$y{ zJ|chG0H654i4XBELS19%nReZej6}ieMp)vAtNlze12DQ?1qn+bjp2GoW4Rf}&3G+` z)YS+L9Cn8V{gulk{jIEz^hrjH_-Fh_2eN>p6_t?snDHwU$8i+QcqcJ(rQ@nrW~nK& z4wYFS394hYz!ZkO{C~M_QcPD<0<Wl(y5(+8+mgC9<%;k&@5&HI#eGU+Q+QVYV{8hW zlfkqra0nuA-R7YeScDi1R&OMjOs7gnz32T~q~X~6<QbrB9t!A3n;FovvNFihsi1HW z_xBp;ajXHl&CGq5_3At}Wgdh(m4{&Mtq7#aXa8=k-Kl`A2I*kA^N;h_lwi3mkNZLF zJT_$xGR45R!In#Zb<o~6jB((j4DCe`t-WiIwt0|r)*@5lktZO#3hAJ|8w2+E2xaZv z7RZC7vk95#OSQq4bqg3ld-uQ|_mB1KhG^~WLYjH<5g87^m)NtDJ<7j}7}WdIF-JEx zWfclLnz1Rnpcgy`SwDtm#NdA17igDWklFp{JT~PO*!v9)D6sAf#K7)3n_+}~k2oDL zcEY#E<HIror;+m@&eMO4O<{{C!zUS=!fX6t$ENsq-@}hh;Uye_*Py><Y{~@Y$9X$Z zI8At_aGtPISR-65yjZwd_$A>Eq3J(@ee(ti+%5jYL|m61749M81bdPQJ)YyFjSm|1 zuSLHmd8_ERME^;&@iik~7S3PV$svM1QS>m;ana*Ne@^u2qD>z<@}Di*tephf_?%&{ zR{Hglo3)c5=Stu#&-9Ih{vREy0{d^MyhEyw>BK|%{6Ta7qeRe08neQg)YLa)R-j)j zx>WgL(Ht*cTX@`f{U!3cK#U0o2}cO?gky!~e1raE(WeW~7A_E03af>@r?H$m;bp=t z!mEYbg<lbVRmf{P^WP`rwVU+!g+CGQ6aGf{U&4dJw}tJ(KMSL-Z?BuMxA1r&yBS%Y zIq!h%XCysI$c{$R=6nOPr;)Td&w!1hFA;7PUM0L)$nHnxyGwYl@Dbr-!Y75#2wxKZ zO87hB>%#Yi9}3xD$#QsKB-$|w?3^Oa9!uf`VVUp@;Zk9(aINqH;a1^Q!X3gJgm($= z72YrWj_^t0Gs2$<e=hu;@O9yv!gq!22xa}F!W>~gA$vwCFBZ-enz2sco9i<C!&y2% z07l>bem)e9TWDwB*VvPNw^s+>*Elfq4aeUW<mZnaJ2n;@S9CJA3`bu3<XLt%<B_t+ zKyvMq&G%hi8rpGl1Pe-C>+NW4{$*Qa`;O9WSo`Egd`;!$ws6VIZBA@+ySHp(dwA+4 zS)1A&w+35ppmU(gd-6bK=*s<>(96tvu`S}f*p``(FR8@v#S!ScQ!h38D~<k-4pfFy z4pgN+-4-c%x-Ao5T**eBEab^Xo~$F}$wHoN<jF;zKFE`cJbe;*SS!}4CaW=P?}18} zb$jHH8-2R1PuA1WrAH@nC>BXS6ieT+&%4>ZsoV|SoYCj<DOr8o>q6U|n|i>*;~czv z<1gF78_#Qte09G6rIy_JShEFfvIk#)S$1W+Gj&52_RD?zKy`S2znN{38CT*vEYq`G zlsB_2T)e5&!HOywha%~bLy<`4p-84Pbbj-jyZij1+&Q-`yztz%$c8QLsqW_Xl!4Q- zu0V^Pi*4$%JqM~o?tx{d&YAd<OM1Y<_~t%;NN4Vu$UU;-k?>m<t!j=0b3$hX>kfU^ zy_iP=t&^;`gIZ^>t;(`O2ddmh4uztqIo5tj*7?c6H(ty&!<;i=cPG&0#h*9g&H+xO zf93VTjhqD$oJiM`3Z-oT<&`sSzwtuXkk>>``C_Vu)7X{jts%#L<Ar_}xRyo(lCGt} zWQNns?beMLk8>paOJgEDoe&A{hDr*bUp#%|r3{Qt-*}l1meyP0m5V{%rYS#0dL&|m zKVg5pmD@@F6G^k)3ZF3|90cZn<As;r^hzza@;wrZMU=pFdX<PDkys*P3N9DXDq1W% z2is>!_N2ub4}k2Ct3=eS?_$VJBu(ePAs-;wKcV~{$<t_RCi9NuMQcieoCXr2&wvNV zTru@25py@`^eGYJqw`n37|vaC783ZpU_SrB#5F#V)x^YJpXg&&dEnzB)N;+|FQRNa zXCc9Nshmd`iHHw_badNvLq1GtC9r&c8X#F}L!>ELYJ*>@Ur<9IQ_!2H)P~$9Cj3(8 z6IfL6D+7?42P!y__|sBJ^ND4MSQLZMi0C;NMVgqn#3wSFnE19&WHm8yzfWYF4@3Ah zxTue*!MnaBw}}bAI_DCm`PG>ZZX&R3c$5e_7zq&@;8Y`?Ltqo&qZtNQ`E0^9K089Z z!)FtCRG^(j{F%=twEFBmL{^Dk;b1E*M8xhBE->sbHbBD!R-+IR3z`sAjWBVOVb3P0 z8kxlJ_-ulzkxhKbXA@M7+(0!puo`8i8nX$i1}|wQuo~kL;YB3))o3utcO|e1el;3Q zYp@#vY=U22Ll*H(M66%Zhv+v!gK2^ZrtES8%l7!052%>H!Y3i(ND)-HX@jeLHbI4( zHh9cu6I6J1pzz#4;bzBqYT&FSR3M^$8;E`*m~}>A$nQPr2ZSoC&X=!LIA*z!3e#sG zqKbq@M0j7_CMIq$M5Eip#LYgDTwsOGIl&m6%_dysCka~-Q!ovvU~m&#@eW@?cqA#| zu6&pzIsRcRqU-S9WP;sU^XZkrP1NxB_Z-41L`iIhQ;{e|G#@q@+{CI}lO$RG-XuvI zyv;}%0uyLsF=7h7>Vo<w>tIM`V&iJ>{4D;t!F`FEoyLb^2InSxl(te)xPuD@)5?G* zTei<*Lk&pi=fY0N7{-l5!QKPAqMt`~LjKw5ws$)Qju#gkw4>u5#+&fB{>2b~`Dsj0 zK5B=a`D6&(VQc7-`{Kw^MfnBr&W)vqE<HY~s30$IM3OZ&uK?ft=$bPkzo_4!JnwF} z#9nsd`Q9~1WH0qr&^@-@+kEMKZ=)N}AC+(XVOw3;?mMQ$tB)5A91Ta}4}&F-&GS~o zz2o6v+%zP}H#LGE4^|48z0hP&=+fI}zA-|t)<ommn&cejKz9DFMX=%EH`513{BMc) z^Su*a*7(F95~<_oJN|FoWL?eUPV5k!Qb`9^2X@CVh;;HICfbZMxy?uKKh7WakNMew zD^i#9v-6?w*UryQ9+S_!6YK>4Qf&Gg*mVB8JyU-0uk?7O0LjZXUNlVTtVbEcU*iKC zWDA2GdvD=;n6*v&93Ztfz_7^~kg?m+hPG^fzVfkP@D+ykB8cZ9+B`@)IS}xj)CMbC z35HEm#yJ=b=3U6ww6f(OgXP|b0xR%*#d2AmEq4RbHV=}{;Hcxom{71XKAr~cW%c#@ zfM^d{686m7r1BC<A!J{X4b~p}(}VV60egH`u=TqgX`6>O&W61g0}Pw|XUKx~@?nqr z$@+CewD!J*H1qK1#t=tgd=jvMNydkTRxbo2$#Ge}4)uPBh;y$FV8S}+oni?fbr{&+ zZ_}jjgB~9QZD9Qv*iReWkMV(ap-0B<M-Qa!Igg~XANF2lLcx|r44l5s)i9C|=8=40 zkK#P-YCo)fi?$rnpT>7X_!O56wpD!2|HgMiPDD}3^OY^03`gSw8vu2-37<T>@_{8T z(TDqRNJ8P$_kr;dpT3Z}!ui5#VXg2&;U&VWh1Ush7TzwrNBA$o2Zeit&k0{5;#&19 zA<tL(aP~{icLvh$iRSY-=~N=>%~vkc#s>k~_`X1!ZU^AWl9vkS3i-Ce{EI~|6K#6s zkdH4Wly8)Li)b#u%kp-LzEw2GK2!f&qVE^|sAyEbL!UUWXWVa|SHwO-^Tq;tq-b7i zC?6-}?~n8(p*en_dG8_L97o_n(G|kw!j-}cgpI<>gj<B>_`=S1(YFh~F5D%&PsnQ{ z%YRh(gz#zM&xAi0{#y83;Tyt(LUX)fuU)k9b%O5B_5>a)%n=R{nm$v=&HQ{|f%p@I zrwWbl6Y?3N=LyYu0e+=u;{yfFH)NK(R@nJ_6<fu>O2{{S>YMWe_;t~CzAgJsDK~w{ zK+X~%{gkj-Xx>P{|4-4s7XDs%K=`KcUEznq5Ux42n=U*?*js4k^+RsITXB;3BZV9f z&ip09DZ&}TGlk~}&l4^dE)}j4t`%M+H1qvoe~aiVh1-QYh4woZw~4+-xJ&qe@Vi3x zK(pRI7IyZD{Yv~-g?|v5bpep?9nt?S<c*c~!oo~pmavb|tQP>iL86BW^Mv-h7VH$K zy=g+OTTObd@I2un;Zh;THc@Y_@B(4y`NCI<|7GFzLOYN6cF|uKnso+X_dd}N3m+A- z*Pi7z3x6Rr>kfebd(j7kZwk%&1Cak&w1?X_?PLnGgmeRtZ@+UfQgps>obVK3sjy5q zTX>eRLbyb@TDVR~KLg9#D!f*Bz0iK=;x^HAL{R^`!o5N}&$;vWE?$xRH6cA0%-=5L zHvmaTg}sHx3+dXRJYPuP25CDldZFkFAs*vDcE0PMbsfbcTxTEb$>U<lVCNqm&IdcT z@aTQ8GjTse_=pd7(|xx@+?^LU4=Fvi^qA6c>4R;~_6OTSdmd^F-S$wMvoW_l+J1a{ z^!+~VnI9bA9{KMxU;o04GheTr_TvM~%OVF>Ouwtmx$UmD5b}f(Q;{zf`NBt$FN}Pt z$P+<~B3~5wB1e%gf_zcr$wcgieBF>QGm($=V?9}4)UyoroE|-}V&+}YzYF?VMOkCA z#=56nwa@)$JeM4we!M@!?oxiU;)?}s;q&-4h=R7zitP5(4~}b3X*=`v6*HebP&4zm z_SARXQwFq!f84u0{LTg0sRyd3=9Ug<3oY~xx?VUxTo5jFMxNK)z8jWSz)CT!Y=Fgu zz1q`0IJQ0Qy-Tx?ZBKcZ_IkH_Z#{cpX=&Pls>!gp0#=HB3vTfQ)}(X(Hs(L`^_rQq zz}y#SBme2}zOn|$AKnnCKTBTGtBd-x_7`L`f2t|jmKrL4Jn@wa=Lbu8zcQyw*yS^a z@w>ia7#%n8yBc4rzH?E?d}Ag-2QJtPN$5Kl=0VSX=Yn@-?=ng|edody8%a9>qNLxo z8x_N8=MgYRkPr#~2a5=YrxGIJ>%dGo4QczG3%=9C2U`wE)psuV$l*@J22U&gu35k9 zq>g^q$t0gbjPMQE{|W}jXhptB3sV<^`7BcD@VlP=0EiY6@VgpfI!x2=YKX6sfZx>+ zZ-el^hB1>SI9dbdK4acdwp{1$0`M9JrxJmW&2Wkl_~eYqV4)@^cpS_}0+^WR6RAy1 zZ1ago6BB&Og<7<Ui9J5yuhsvqPjoZ>!w`>yGcN+@X_DmxK5~BKTLOOFW)lV>!UIF# z)41oX4>vKf(<dTLO!(!j&ot$<`I2r;Odv0_vrs<l!ZS<o3+*&F&o6WiVVcQ!Hen_r zmi`YlF|pAn!c9zU_KDOcCLZ>QND~u}`b4ye3BNiQWSZ){?@PKhF~LgV0|SKdCOHSp zM94I(xda}*j`RQeK#d25-wz=2Fd!W!?(x$RA{z$$OoOn5^ak!c9cv*h?M$Sr6zK-2 znvjd_JVfY*h&-xDrxG9c(@~;qcMI6QBw+gz+OF_z^Zm$#LD=%>;o|}Zclzlt(YLog zLiC$vKFyUI6KggQYy5PgssVE$nw7cKfVn8m@fe`UZbURW)26DjeM!J}qN-GlAO@yW zW}Dpe2t0mRke~1YqUT(AX%iEE#m^z|$Z5tUlSPPdX%c24n$JWqag8AY(=T&j1_vA= zY_{CkOP^%~>1{CZcj<2X1dAU(f%$XC6gTAMj~ZQY`mEsvBQPuO<I*E$l|sk+S2)vp z7JIAW-cOzRCGdY0Ru_&hJjGjwxot6TU44l$a<Vb#t(#wH$Pu%~7o6@j(p?7i;Z(2p z;_<)IMP_z3xcKqkfqSfj&+9O*F!;F4s>NM9!LXbn6wGw5e>lNH1KdHb8TNCyHHvvz z=+Xx^6c>(9$p`lLut@O<XbxO8|0aB3ef(>^xw6{}erF#T=Ya9vXM;`hc^TcPiO|r~ zA3<^~IC!NsHxS;#&O@|$kaQlzQ!MY1Hdq<^HEo)*X{gZC=84zWv@-UC2Fq>kg+5N` zvRszO{UB~Yw0V$pdVm>YLcz*-Ll4@k=;Qb4(%#4UzzSe5%Nhe(dwc^3+T&UQ)T6yj zL|ebxk+yk|bSA>y)d7Z0cJ_g7ggx#b?ZG{iu=g#bnI|8S;U}oXcdQZ6Ofo(!w0f6k z9GwsBZRnkXyf#?Voqb^W*vau$3CR6r=<EaA7HAh7!o+@b_JP&F-mAufzp>>K1E;T( ziH!MR9tS>7MPrZc&SMccjhtc#yV?(^bqKZ`GXLQN>*)+YJFrc7o&Ojg*x?r`mJf`p z6q~*-oW%S+(C5NqPV6n@S~6S{^&}#cMv6aL$n%T(=3O2*Q}k@%`NAq;o$x~8->VM{ zyJz|s`I}>3qiJ6te+Q)TlQU=@^Ff<-1@c-z`Tw+!>T;EHg>bu&_XC#CYaQ`+;XT4# zLiU|d{$1fy!e@og34bB%;FCnY1LFTt*e2xL6YZwp1Sh5o*-1c}@9o6C!v4ac!jVGu z`B0C2M#O1CzH5;-U0T3%L{|t+Cl>fCMH?R`=tj|(2(J)+Nw`yZqwsd2^;Laa^!>ul zKC5TNZx;SSXnj@er((Si3IAL8Ct(V%1(aLgR1eYCH+7_Ms*jQW$wGF8(ca<Kgsqgk zTDVfUMtCHj)V0#PUdY}ymiG<eZs9{hyH@NEML#Y4iIDT?ng2!ME5g@=?7*YEO~@M& z>A%zmm9P9oLOY+UL^OK{X@8#3`ktypTi=se%Nly?#lJ|nNw`&LeNWelzD0PO@NVHZ zg?zSS`PTRJm-{rv7LJJ}!x4QNMMe3(_L1k6y^Z??LI<A))>F(p_~7T8m&u>86RX|5 z(BjtaY;mx9pVK&~b#QI(*0fr#WB5Xgx8V5}ukobT!O`cj!d<vEEjpz2>p9C>@66fR z62|I(;o(_GZ^f#3CE!2bk}?6S-9@?H;a04J_axRt+}V;^wzDOT>mb5j>Vkn-fw0P~ zc{r$XN$a4-URafIGS)-PZat}SD&p3baP5;=fpBX}YU7hwg)mkW8xw;+;$E!zHxq0A zS%1XxW~}cQ-G{aLurg#$GgkhKwYpegF*dwM>unR<*2{)3>^HEK>i}Y1$Km0FZa9)3 zDTow0BW5-~zPq`_oq)W<kryjSIt!|>R$*1^9ShEC9Z)v4HN0SNi&Mr`1N}ViDTU3* z(TKGtQKDOm9JNDQ@4_m88>7c!9mi6%4@zo84%8-u+ITtFws=^9Ff_cf_3{aosLdSI zZc?i^9P1CJ@+;<8Jur;bCMRU&?``phXBA+jz*C;xckofJ5%>tNIp)xXUGq-C0RrDN zjE)=h@$=ajZicTgiyJX_yHLtNQlYfTl!a54gYwMv*RBy*KtUwMj7y26{SH*pq2T)t z(*8shH<C?Dk?@@+Lzoxil;dfx;~If$!E$-U$C!V!1sUNRg*)#X*v1eeyfM3Hk*p$l zHc7LB;9Vrma(w5^Me+}b>6HaoF_5=N&RR%cY^kxNsw}mb)DlavgD*N9S=<FQ!!}H> zEOgvOG&2~@nZDRkrKGAXMX!JQ5=-p>73IyutzgFcNj{JuIm?v$C20dmTm(eE4HD_U zQLlx$LUHm3>d2!6PX&)jBA5f@loK99gb(3yz)L1sPT-@1S)h*zJ|}?aI4jFHF`K}= z@CXp74vzrgLqx3B7j9yLuP`9GGBGD<;%u6rI_9ttfXKBr+{8qoPjocFM<sLt6S$up zyYzFxor#E*-^z%zj&vz^&^-HK#Wy5Ph+$iS2>CRkbWacHmVj+S4BK)<=$=88?iB7d zcNF}INSaWLZ4DxLvxw528ql3&6txKh)j!T8+XmC*2;VWua|jP3n*MPnXc7J91U7^E z$C+$3lDP!-k(>T;q)lMG>JeF2BC{hs4PXN08xg@flPGx^k|t2T0TJ@)#EmAsK0=i4 z3?xkm*qX5s9LcBqQpbrQL7j;6PT8<`&cND#q$&`zEa#nR!`_)StY2;uxvVdAONYHP z1-hhmB0|^aU{Sqyrc#$&mBqtv0xk16_?BUkmQmz@CUQ3-!ZHldGN~I8eakQ@!GI=m zWqB5oCeSj^2IQYO?49YzPig}q^81{3&K!m{0#P$^HzM|=1pXPUcbJfoe?KDZplVPz zlL%QF?D!lu6ADPqKjQ9H_<2wg^N>>6C=|-90t5qsWuIxwwz7@LrLs}PN6V%iU-0pB zne3eb=1d6E_nBYFo8X83uI4iNi^X8)j^fLbzkqWk%k}EwURG>Tk#`|h+Ka}g!^7gO zk9!&MqC&4Bj_FGUqp;v#UVafKI>kp8K#+%J0u2twd?#cZe3JLrr7=u2^7cFPCvv`1 z-YD28_M)*o@5`5#fn99b5PTWV8E{5Raa7*0QTcurO5Svqnz>MK;cJz?CaM?5Kt0#3 ztg0IAU{hq~D%lxJ{-mRXOX9OQ-6&D{kC|HZac+|2+Ih)YL!W3Omw(oL^h1zcI&Zk% zka7AfS-pPoifXLtw`^7Ql9*}uRqI#It;9D$tJm>B6>wANIHymZJRvreQ@ZA@UcF*n zZ0YK?v59kL#ip)YT)kuo@|Le%T~)nq-RiaLhQ*5F<Km-Zh4}@A1%>(JVnf$fuc)qE zS3N9t9<t<BE6b>&MdL;-UR$}UswTF!`hw-_makqFD>%7mbpDvnI}<11cU@Usxp=wb z<|COhdG*@*>V{FXik#GA(q^THqNzQ@*=}$5IIoX;yqn{m;N`mgd^gNT{4jmYwZq@= z3NpD{*5>dQZ)=Dh2A_`ag)RDz`A2GJw5kbz&HSS~tr1uX%U);S%Zt!?ETCtTd|*gd z9zv{_<J<-z-+TDvO?weU^PU8>;Rf&Md@sXcpw3nbnQghtkq(x-CmYXk&||qQ&z8&k zsm+6=gVkD`7!wLs)&M$a?;uWOKEcr*-zcoTe?r>kLDG2y_NZrrm3;|}pgjj2$<|&b zWY*s8NZUNL@eJ%e7+}~WUv`4_vS5$<$@+bq@8uFy{$Xnbx=b=YEaVTKVJs@O#!s59 z)%zhR9I84ix*K|3`7Ap7US5OVNSg`B{bksP7~GFOfp)>ElW3P7NTb|D$U<Mqs|hOE zW~?XP0TbatWaRVwap3esWVvj2mKn778iZZ#2h=(Q+PC2!zL%u$h1d4O`(FGzWS5KZ z`Mwuk!~bgE3-4R>y-XBN6Y|<c{ybr&utvCAc(HJ^kiS{x+abJ3c&l)?kiQ-FEj}vT zL&OR8BoX<WMe{d7+RRUZ{58>hxugDDqW>g118qt^dvJ(Dgkyvp1WJ2TM9&dc2v-R= z2rnZ--}KSL-geP9OK!%#LT=`fKyLczLGyaS@)=AYu@FCLL-Y4UeoQz>I6{~w94j=( z3HlR7PZgdaoFl9fE)%X2t`%M+G{+70%y9#56aQM_^+NVsv7Yw|&G7^MkmwG_5BwjA z|6}3v!WV^q5Vi>47Pbl58OHLu39YXtNAv)poma%}GU}V-3Y;XmRCtEa9B0TEi(V=; z#~b{$q8o+QM{|wn9YS;5p?|CByM*@&9})6C&w4#A{E6^+A)f^(xATX7EBX!LLE(GC zc3~<m)XWzZcJ|S*7m)Jd!b0I#A^Qa>FB8rYo-JfgA?3BgwZaR8mk2ircL;9~-YUF9 zc)##F!pDVA2|LgGIVisMwM22lVSTy@?HYIkM2{C*-$|M1GlWZoH9~f0(%uH)Rl;k8 zcM9(j?h!sNG~f3`{-29xe<<y>2~)#99TmoegM`Jx65$!bIYQHendaYbX_tWrvBAU7 z8yP!hbkfBVJF<^u{P?5wv25eR5gtX03?$a>!*{E8r0>9rbB}G@zCYX9jMd~eVKus1 zuIuJyR6Jg@wT8T{?WqG-W?_~>+L;xTmxq>L-tJ9Zffe~e1H)L2@0yAymS3@aOMA-H zE85crrXTuqk)Qw0Qs+=Cl|GFhSKtd(X^)z(Qf=9|<bm}yMK#bLxFCzxLkFt8Q!3u6 zd9V}jixuma7twA&@B0<+EPt>Que~BRHf!9YhuqAwn{Np0g{g74xZ<sv`)gQZu5=d~ zh`k7Js93jr4EF+-S#Q)J{Ak5n%OC2*`=DZ7ZDDQ4yv3Do)jmXCAn%6Cbzdm_LPxzv zE8qIUL*ym(yi}|o*j`yOHip`UGVj^v9N@Zt={<4|deKvx%iAJfD90Low#D&nunB%k zo?RNnnt&7A!ly*?&wikGch(;|AFD{ddn)B8GzVoXv$~gZe@|}<&w%w2uwKksCXexq zib(#+eM6`IR$qSlJg-sa90|MhPq@7Ke$6mCZm_c6esDbaZLqQlrgr=sRB|FoHmSty z5k6stPo(KHt0@SlTm>R*Zp`Hu8cy0U>L6kPzbm<oq!=H3=Q$&{P!<kHjEX;Jgr_#< zi7Wu5@VAAv`Yr+3IU^iG;PRe=FZ24l2CvUI$uyHhV&F%33-%|8@TMAJKc72w1(Giz zrvH{wGyfwMg7kd}k~@(8eJW3T0L%|a?n#hSa6L&MK;>C9^)0h^M|8UkaxyYb&G4{; zaGH7DCS;tFF&%FNDI!uxq;`iA6KQexv`k-VNM;YWr(2Txl}UI$iKHa5@~fQbMIA^~ z#&2u*R!p0-T{?gLs3&wVBZM#*5l)my6BB%j0g=_j1ltWnpC%@j`b2IM6FdUQ&f~n3 zEn@nY!SjP@6=ybqPe;br!UX&1K}4FE;L8?>%qAwTL4<dP_V`|iB!RbLsC4|Sl3(*V z1m5~xX90oxNFU3bCh$$5LJlH4HU#b*W<D6?zF{&3VTwu4A#f+5kws*k;JP4iSHbSX z{oG{=Je%+xM9!ojnnwwzj@l-$=wlJ#y&|aSFmbrgCa`!|$Rx5%u7L*d-{sFDsOa1q zQN}HZut!kQ8v;ewL)!$q*S(#!&U^Xq4fD7CBK_YRHu$}9O*v3vHIBm`rcy3QC{V}> z^>!{W`TcD|OcC4aFy<**iU{*rzIh)K`6*;G^~UGxS-ihZh;4f#e;+@;4+#af1Y7?w z)w2f%`5XNFJ|yznCWAtqui!&M0d+IM9>U)6>9C^yHlbz@E9``QJ3b^7*p58Nhske` zKk{e#`F%*_XG2$*{Oe5l{x%_|NDZCs=l3C@Kp{_|-k5yD`up32*q&V|KiALiLqfrx za)+tEJq?k6gP-4rME*cS*ZT@SBot6L6QbDu6cOt<^4HJbatao+#M*#NveOQ~aDsm^ zz;b|oK7aZee)R}p%Szk=EW_RsNb`yk@?S^)4%|DH%NDI$v%Yd|^^!%OWUV?*p6Q0K zO5qbyv4Vn8c>_*6aiG6FrGUv91H7uZw<_l4m3gyc__ox-69*beaO2G`hU7G5?;u%L z+@*fp%ZvN=ydm|cVQLM^X^2np8j4LNfI~qKq{X?A=0Q5&8xRk9aPi;?#H@_=ce9e* z@|Bg#oDTk-wbhj?K7ReUfY+ttkx$H-ISS`a$DCL@=i?kmNw?8QeL#nE^>Ecdr=!0o z-*@_aw*5UZdp!a2lJcp4d#U4*`OG_hPCz^1YHRvF*>wJUDN}y%FWXo{1tj(GC~ZP# zJ<1sV8oy8Q7GQ4_<*;dO)BZG6s4~E?$(fL;i;!y0!+0p?+#KHi`RY%5d{<eBX!9WH zd;>eQg$V^KTLs#tDT>3u?`@?5vRb5r<;Hr00X>$>@@%<$oeA3OjZ87{Y_Kx+DR!_I z@JH|lZ0&7F+UB8+G02p~go2e_4LWEqKVT2<CkcCZAZ_!|#8hN@IKZ&UTOkYDD}g=k zC-=7-qOISzk!GHJM20`1@=sVJpqXU+p3v&;MWxn&XM@$-3kD8Vo#l^4zi?OnlAl8% zP>fRtz2LdZ`Z4?rF}NSo0_}oRC$S$rkhbSMlFps5_gf|uY*|G6TcKzg9!rnL@6&+7 zJ||lQYcIeu$sqsJ@cZ=bP=IX}pZUMB?pzE-CC^v3crqN#x^n}e&NksSqqE<q<0ZPQ zh37hIu;f(4zvTN%eEO$PW1?`H(E5DN7JZ(uMz~VgD7;k2-z)81C%jpByYL?2zX%@` z?h!sGe2It)->-zP5^=)sm;6o9?}>KNn3S_)h2>|7?!5NgAj#vBj}~p_cObv<86f{m z$!AM$797zJF4zalYY=Ik3&fakkg&54XT12u!l}aP!Z||I?y$E=bd`|TYnH?7JCWCA z;$^~ZLUSCzx4xU3#J@v$m(Uys=sh6%5#eLPCxlN6J0F+-68{gvgTl9k=6Jw<l<f%Y zAvDJYbYIc^g`MZ!nBxPzagv`VoGdI8o*_J2xIoBzEz7MIcAkfGnfP0TR|~fb`F6_u zd<`XX;5PAY;lBtuW}5uYJ|EsQ$hW?qeWG6wzASu2xL^2&@B<+)&a`ua@I;~cJ{I^T zqU{=Qi$pgHt*_>*qML+|2p<#vr|{Rp_k|w{Pr%KD^*B+;zDd${tu#9yWtsS!h1-N* z6WaMD)|c{>_`enYUKqxuo%SQbfx;oeDMHREre2kBnQ*gkn~<HS)Y~n5Soo;$N5UTq ze<u97&|GIf#wWvzI_+ok{O_KemzOts?D)c1G91Y#Gp?Yp$k#sdyq8RrgV5P0(;YsU zjB86bmR?m_*PL<a&*Qp3m3Gigi5$ub$J(8P{oCC`1KLAx4#etD=Vg~<PtTr{eQ#T8 z{=IO&-rE++y0<MHiyn%2PTs8Mhjz#Mo>Y_eR94YR?J4gBbFWm+z8mLnylLZ08zZCL z)5bIp?t8=jH0Pw6@S&{KBjtF$Eo;vjcvcS9c$g>Ro|cOGjl^nQwtg&)bt}o{N?Gn{ zU&9xWmT$aw<Nl2)sNK{1A_p@1L=XHpdi+5zJfhhx#+Qapfv?EHe!V%nbZ&P4w$Le& zL*dXHyQl4*(-zKzy|?CPhYQ_eNHTghgI5gQgBhhI*#p6Gi^0iYsU_LdHiipt%5{t1 zpjV?i=c<_bVWzpmF0aw<FdT3`Eb@X83~*tXaR6?FLub7H5PNnltjl<kgwjr>fQ}N+ zu7$;(Uys8JJi8XwNa(<Yv5Gk&X}roNr>?wASvbvH+T6$nqY}Q`FvA%pU-+NFOu^~s zgsa?ffD}FthC8f<#a^z^hpxLA=tZC(SzT8^4jD)n+H|@(x1A9AxSpp$)h)rs|5zN+ z&3K5+iFEkK5Hn_zX;#!?TV?R#=uT$--H4gIHo8-PfaJfEd?rD*kUR++x6Bj<!7<BM zVdl#WDtBAH3bR*C(wz-rv?b<rU%skH#OcVB`R}wilX;es1d6-}WY#{A{m4Ub&-*i2 zJ^qL!?*Ki1t3=PCNc3vQhK?R(8h}JOqPwstq)hCWH<_5|*#M!*#2rzl!ARVO=ze~= z&Gbu~iHV+1L1;3uuF5nV3EolN^KZ48ctbEHG10RRHYOAI2v;5MQwT{O7k91MAKo!c z1}1v)ozi6B2k{t==tl}VkHSf((qa8b#*36PBy)PNScZ!SW4e$c@ID!blXV~&B6=97 zQ$|OpQ)Wk}(=i}v&-ksnC5aH;(7FdmT&c7Ax&^)FxM!u#&p6lArALZW(2I_&o*Cex zC5l962Q~eMF|Sb8v1J}BoQq=;88{YOxT6U3OmaRSN<Z|I1Re+vU#j6RED`)%vkCnD zqsy5v3DI*JLrqMS`b4;ii87x^HJ+@sAdrLQ@IeVlq!Ph5J%_;hqMzG}1|U(0i0blz ztf!IAvC_dv6d5UhuO{%f(un9f=drMN5mTIt*jLWv`$jU4z~^bK(&jcX!8(~`-XJi6 zRf!|Q;Y2ta5uffcKSU&r+hQybjx&-BRLe(14w4N05V-@WJYhZ}j9}}Bz`g}p+$lKs z2<$UK4&1^0KpuZtD3(xx2yp~p0(pT-F98{>G><BuW>G^z4kE-G{Jj1PNT>GAH5RCl zsDe2_HjCXs?iuTP72-9Bk0I_wwBcy=*dlhnE0CtG9f-8`B%%#Rt7qG*0vXvFv~>d_ zZ9Ri%!_n&52BxhVMB2I;k+yz{Xv5L!@rdxW=Ar0^$alL!#1h0Qh&CLp9`*SHvH=eh zIK(XBsAub-i`@U8zN%`)`X$x>$Dbl{4%4Y`*FvjWy|#K$)ykqp>z1!v60gDDCTH;A zL7cf)R@T?}5?l%($1nZg;NjuNh~i%N;z{1J;&8~@>&3&a_v(gCef#(CKO!&B`+VH% z6`$01ptme$#4(6}y<roi)bJL@yk4=Qlf7DB%FF@j+E^ZRmc_gwaqrxu#w*O<*Y_+@ zfkxuq3G>fD3ERCg*m!G0+`DLLp`mHh(D5POMe#vF-Yk4LDM))4m04F1rjCqu{&p8m zTeN8Itg|LgaWn~L@`QzxXU&~H*WWIhTV21tPMj%o%NNaDzIfr>)$7+*RWHP3n2rvi z!z`e-wtC(A74?TdZ|Dp%E3AD&XOKDLkoSLN@wLM{g+6mLfq0J$uE!SRFHV8irY_cF z!-a3%MJwwo7X$0p`dDLAn1EF8#FtdoS32><>()7OGUA85Ed0YSq_(!VfxpHtG~XJ5 zP5T63-XH7%1@f(9pSi61Oq*pU$n=0-F*+9Npm!{I=DiNcCl@|9*gQx&pGQH*1{gNE zs*~P27~z^MHdwt{FoNaoLV>nimdE`dcJ>SHLElIWd>gF2i@*rl%MJJ|qL5j8+mW_; zXyX;wyDh-5$*Um?+8YUb+tn`o?O1zvAZ_y?>1;%%w*w5DycM#by+`3#<o>apyCGV8 z-$t5w_>9U>j~8u@7qNj!#_tKO-Zb<nudzy!QEx9I?yz-M^gZYujbDgso$#662G)<^ zXNbZ5cpUqY1s?YUFJp=Q=z%oKO@yJ?$=@cZWSg;$fz!izw&&6Lg+4%G|L_a3#gpNa z_=R|l|2V%;*Nd?Q{X$%g?JxHWjYeMjQN|0W3VA&upKpr9^MzGHp2*~z!MMOnMDzDb z{&hk=?~}e=c#rU3gbxb$2zg#p{{taky-0WVC)l|@W{@!C?4F}v$oP9ej~D$p<!3(; z<ugUI-ANmN5A;pv8t7Wd>m|QO_$~3jEBaB<Pl{$26#IjpBjQ@|s`v+l=G_AP{}vs_ zkB#~fBKXG<QGQH#lK64ac73hSiO)4XsehJmf%sLTJACT}_Ae9vD&ap#|3=YYBceWc zi*M#|!2b6o-y^<RKMQ;Y>hpR;<h7W{YY;Ie93&he%oC0kP7qEMP8FUlTp+9zRtr}M z&3TCOc;8{U)=#!o^i@K0K0^K#(Yy~({|@19;o;^uvFDQd&k0`={z}*)JS61Jf%;)# zhR}XH$#f?`&i7dAog_5pF=)Qml0Q~x&R@_oM9&eL^BDZ4qRsgWn*D^#f3c9y9i-WP zP5g@Rt3q~rl5hQ7?B684SNOEh&S_#-Cgr~o?iaoxWUnRVyr~e=gc(A1Qj%}|TZ2X0 zIZW)fr2JH2Dox=T!Jc0rjohApyoXc2^LdEJD8ug~G<`JC8!CFFFki@fE$x`=A+T6< ziExUrTsTk2J{{)c^BJ*1xI|bZwC8Pu=ncY+!p*{M!mEYbg*%0}3GWo%BitptPxzql zVd0~~r-aW6n}yE{UlhJ9d`0-0@SyN*VVm#+VdwKdqVpkB$PR6`Q?4*3WcMQZ>|!L2 z6y^(yge5}u`%rJ1aHg<aI8VrDbLuS=)(BS!>xAaI54{G_8-yE$n}zmwv{Q61VK(=_ zd(a;^uAsno@R{wA{DEV~jX!FC;Cz&W(7_)FUm(`Oa}NINMyw-Oixu0js#{89Ggh3# z>TYGtEg`H67hcee73&7Krbd^x-i4L#(y;Q~-8tdbaCA!Rozaxml$@zp^)B<!pGTiq zif`P6FT?t9Kg1evmthS!%+T_Fh;`sFKP$z_a}I9VxbT7NTHN8!VYRpGT2dxF*OE5j zI+XMrO1cguJ%^G8U@g4GSRZd-tJhf7`n5)sREv^oQ4-e1`+DORtULDvYP+Q+)c6F} z<%^BZ8snaJPBZLAVK)l9Ik1}pyC^4wa>6Jl6|3SoWfiTrmGy6R7gV<1z97^ZDx1`L zN14~^EtuT;wFR(S2D@djy8w0<T-TCb`&>&#?R723G(OkTz45x12<%5;KNI%5<)B4| zqeX_JMJAv{CSXyi;U%rN4R^7|-Ne@0CwPZq;S_i}w_tt1lF?6KHNY*X#S_@$*qG31 zcVl+eOw7*8?9ua}7dn~i_@TX>vO!pPFWh?Pf_eQ0V18S~<NUVU-*6X9dSJ=!=UQCU z%Bihx{d#R_YicdLsuO<mhFhD{3<>JzG!ALKJDP^|2_vPqy<uA;l!Lv_nb_*)z>^xr zk;%y#?e+~#cuni<P3JY!oEl-5_c?bCHm!!yaf5kLbQZ#e`8H2qH^L`*%)&AcJE1gl z4-Kch1es^XGuU<ZCQv()vduu+`GBOe()<9!X_r#wMs6@F;osA4B+Ln~DeJN6w9XzM zs@!?luyk%gVktpv&BA&y(2<+SGz;tT(I66~)GVxb8OZd0l$w?G?jYHp1`YWgk~1hZ z%jZ2qlJ^q#^Z$%3uR7_O39^VJUVJ3wH6^`Ig5;&cJo&iiGcT_g>AZdVBrgo<w<pLO zN#30xcah{l@z?F!Lvk>sW*I-WaQcV@*%Nx{`3aJr0Zbo5(k$J#gybI+<Rv6|74@Z@ zbDMr{f@~%^kh@UHI>XEFqz_GyV@ZxmkV{AwCdem2BIEznvVWS%MlYTT<_Q4LcLuRr zo!9w$l9hGJc^r0d|DAHe9f+847H(qVJ3e0q4e&k)_Yrg5YoxOYe5(J*G%=p;a3z_u z9_4qO8^*F|o@>Ne`vKlG%Oi#nQ!tyV@T4Xt{VFVE6=<6h_}`ek6%i#8_#B4i0o^7h z{OYX@B^-`C{kI_oSG5}e+B`i3YBU%;^CW>)0+BRfIJP?xk(a*{69V>TT3*23EXxbn zJHzq<_Rh3CYtLWjZ5q~Wvl3d3BSJEB82<Y;kvbI-^(i58ACgiKCfM3QGTmQ+&PrG( zp2U#MG7IT7k(z`EixY`#fut0K33gu~!Ln~<fjUyc_Z3La@Yn1kRf-6UlZdh?DHtZ$ zmO#E4{t9zJ3EzAm!HRWfqAXH8B(OM{$Rm=Jf-r#=*(Uz#cxXp8+JGi<bregGG=bXO zUugSnqy`yaywB2}=<E9aR_+J1{r%U@4rn6xHpFbq!Nc->X45YrrG!tHeS*@uY$|et zRrnw@VIj6G6(#uXp`9OKRxjj}EK2CZjNF)20?{-BnkeCOD@sU8>vE~cO`wEaXoV2{ z-<U}x?kGM5xvyZz7}9^26S(|^WN@kYgbVZ)d6{wkV?x*b_*kWulQq`s5yy&sMO?Da zD=G8F6&Dp@#Xj%BOXKB7m+8WIQBl!|!N?Ii%3@3o^O>cisZ~)C7YBr+^1>LZ#$vo* zIZI1Mjpod+Ye2p4lwnF(AsAb+T;Q8dj5EXrjT&7i&ihUrk~s8YUPH_~#$OMRbE^s* z&ZqLXg(f}PY>Uj+S-rl#fSW>YMsri-e9VG@pUjo!A4-4jREH^EbyL>WJ6!H=@~5$y z-CyKkT)BS5ILAyrL#oihW;8cN+>G(3pLM8m2Ld|d4>v_Eu-4%6#_IZu>Z${pTtL9A z1IUsKP5GnEw#Z3NG3(mp#r2aue)1V=HMYZIgJ2Yxr67aT&^}X>&-j2F^fB_0)&^b; zKee?61KrYW=+F9MgK(+f@yqX9XL}WK6A1Q3(jPqPndfC-XFbXoh9E`|kEAyeI-Sd9 zf3XdGXk;iyw6;l)hfY^MK0d;!%a&@+w(O*j4_zGzUlH%mL3>+J&=zJ;u(DO4ZJHu3 z6+YBfDj=&xI#_Pov8Xe49$?ymS=b&IBL?jiB2x@J8?20dyg_@@a`-Ia+lvCNJwC74 zJV-iokcoOWSQ(!zgZAbJ?D02a?cIU2%|jcDk!euicv#u3kOl44z#jLH``ZoC+WR)r z%#)AEuoeCDy*t^Xyt8ldtMF5-F**DVbr|;|qFL*FF8V-G*wOeFr$LYBnhmTU!_N?d z`%xEYmtK(B{pj)k*!vRrs*1DybMCoGZWcm>2mu3J2qH?z0%4Du1ab)>n6N2el&~g2 zmH+`UqJ&KoK^qno0ST_PV%wn9Pp#Fs)&<(oqP2eTNv*9CBqeG!6085`ne)!QCjm7s z-~X%qImz5--kEpaS<aj}=gfQNJqYWujG$2pd4FX<9P36$bTrS1fe=34c1C;$c*^7P zVVO>OSs14mB2GIkPtzKm@jvc4>tMv8V^p7`)4xKuXx~_zW^Az4Bo0}@@ZV<7*+AyQ zm>n*dE|?|A$3v!15}YAeB)CYB^8@+T32qSFEXbuHrt|zGDqRkcPbFOQ<+B5^mWV<2 zA`$6zLcb>TTSC7hbc4_z3jHskUFclqk03&x(oKRsM`*94Um$d%(8GkjNa!q~#|eFz z&}xku@{~>o@~@I~uAH&FGNHL*K)O=sEkbkciS^@>2h+I>rTRr^wN8QbTA}%VKGT0M z^dX`DM`&fI4(TU^{y@?{6WYW$V}7OEL3vR^cO`;WIv%8-FSOEWg68##=}M;wdbH5! zW_7$cFYvf=E+%r0AbJIR3-%LC6y(!6`P8@rjud*d;H84o1ZN1&5u7i`36*kI3$7R3 zC|D(Ux8VJPj|x5^_=@1~1ph2}L{N=4l=Gg@YP^B|MCi{2)wn}^IQt#gUQmrU(7lD` zGA7f93aW7iS}n~2)%XGyNIF+C$zLj{#uaETK`~y9C*V$@xtPiL8bLLVKtC@umou5J z#t-m)p-&1n399jfbgp-jzmp&rJV_@BY8_yP(Ak2Q2y#V~{6&IX5+%JxknanT-YU3L zaJQhgQ}~3?Tr?&BA;Gr=|1Q`d_<<l-RmmSAsO=Q?5t_@aOdl@T`WsJNcV&8!;PrxQ z1Y3Wzi3_vje?{=9;5&k!3*wu?icihoU!glS<apq6+gf*}Kg!f5-PMpm1BNO_@7M2T z+s~8Pp}XpWyQKcdPUdc?3E8~a<EaYX6uNnG-4^U=bNdc8xvLH}8MTL+JV%cf6&4?A ziY>pdxKD9qb7<UwV@BM@<_MrG?w00ApgYdo5wyL$*)XR>Svzv;XM~0xpBXydXB?kp zeDTTWUxYQf%`6{770sSXS|H4=FOHZJ1)GJ1v>k}OaAw5uSwY6}Lg9}v`zn5K%C8&E z@UX_HuwNbY+!0zGyu&;`x`TB*B+9cnxGLn1vrbweIdz^pCpUS<PHu9~heR`Y8?5ui znHOhA1$PU+16C4^R3!^L^g=v?9(v^Vz2Hnmj+L;pXR<cgw54by`-XI59X*50)QfyQ z>%bKYuGH1drU}lttSGi8S;<0tKc+LyJvd8QElgJJHB)UF?!jj2k%Qqi*xmLM<LUD` zK2-O+&HX_084Q3}_|^_P(fIyAz-FJi5}CnMIq*%-)!>J=s0%4q$Uac+?Ho$lX5SDb zxYcB@ZT8(wo;~EzHv1kS;SN!kD$}E`Fdp~WD$;!w<$K&|5EEot<4tOjg89OX5zO1h zRl!`~ygrz>57!0rqO>x&5~<e)bAm22LgGMJQ_V*}h48*vZ2pC81*_Qn^SC+LhMKvE zGSl&6Uv-IQ2Xs@|JjgIJIOFz5T-a}!Fp}hDBukk(iq{L?gM_c36dxt>)+0RIPrgBN z6`4mfl@ojTIzP#B!`CCu%%Uh>&ck`mn&ZfPlw^dT{G22xNsWb+a6ZJ_%s!H7OudA8 zOGsw=$xlgU`^f?{Fq}7GHZ!N0@HrHf`voFjAi2m-cEDs3J_Ci@sdGv42E`^{0U0qD zx!7qdk*S?I-c^R*ymp(OTos($XVX6Z_A(-PQ6<xDn#m0&*EPs~o1{FbQ#?k*Zi#zd z$3>8P8Mxn<lt0@k)~2jrBO)3VqVN;Kz8s9a3Np@wXRQzyIYr`x#+8)sQ(57i)fh9Q z*mqGp%E<P0`mexNxt8`9-DY?=x5II7TyS65Q;fwePKeVgqeok13_arpIcCJ8wKnNU zMOb*tEpic+YfRKHxg%NI-L%FuTw$9s@F*Oq6L6E@m#y~drD7yOb5C24%Hg<SF0idS zs_TStU2h@}tz}$SXLHFf7mlD;BRr*olL-6aUB;$7fZy4i;{%-2$axeVoLR)KC;%1{ z37mQ?V|mN{b7%$9ClJ(qu(2rzLFHH=@0DP@kZ>H{Liwz=a;)@Gc!(!3-DNCW2~dv7 z%=aaR=(NZ#6sZi&X*|u+oG$1~YzH1iAuPNz3Ri*zPLtyS6B{Z@K?dy(oWNdt9zGb& z4~ABd;luE-BuO|4kB?)S)eLa(t1Ws6E9b>;K0H3EMOdSPm*Q8s-uSgsuO!?8j}M!v zB>Z^cr@&)#A%Pq&qts2TQPG_Ll<R}vJa`NYf^bF<OI0-UE5~FKv4%Y-T%+s=+P}I_ zdR3ES4t#gvDz)t(3IzDroEzYS@D6HnpK1nKv#yFflP$=AB1%`}Y`iu|Q-&?8;IBbv zG*}(CR%KVSXqNRQHYbsy9E)K$@UWtW46>H!QUW`iA234-gZ2sK?|<fHFQ5*#9Y+D( ze!o(!513NnBVnA8ZLxpt&x(yge6TTLi+kc0H^Zzq&XmViF~9<YjZ3$<FWcfqP&o=q zfsbt2G1ZJ?AzB#2l);%pcSdAO1Dq`?$igG>jxZ}||8}ot98YO2lVMxtrZgh^D6*xk zNp+BKb>u-%Io6v!7KueLmSO+eUnQG>_+TS%i+lVQH^c1JsMfveuWc++UGVm*lnSW% z#}1uNtM^>&Dds=J1mrg{{rJ3L!fd0L#vNhSv42vc747ZSzq_^AJ0>T$kG0%8z-sTc zmI6W(uDl|CaJ*IO9R%HCzvR5hmd|U|LgAK{U>!7eS$9AqS8sR|lajh!2vd#OP|Zyl z(4W>DtrevpyuB3xQ;td9dn8}n4J=g9S+wSOnt~3WaGE|YeNxu+^a-OUkITGd()7#; z6LKdQ{`j2COGYEvjy;q1&d)h<%(Tqu<Hk&cxRF_+)I%3dFAeOjQ>v($^RF5SwUj^K zq|Awvru)*z<V>EBc?Lz;zo$A+Y4Tbs&d^qeTCauv?(0oVp0@uF5z6ppU^60hd2Q2& z+5=N{w!fDzNxx*wB|fG58xZLGiIYc;%$zu}l`?Q?>5S54OTR|@$Hp&Ryrh&CP`^YA z2z}rHrz#Lz4#gs+zgiQ=TrzrcPC90rAM`iLdOIdP-h*XC_Ffmd-g869jlmT`mF}CY z4X#_v^vJw#v>y>4T07I8ME3WO`a-+I(bdYGhhN?r;=x5ZUWJ`b^CcmY;b2K5Uy)8k zSYO`b5yo<>-z9Z2j5JW4;mJeK@^~e7WLN8c{dFZAX;}z6<&{8Q4KALP$GT{FyrXl< zdl?D;jX3Re+B`()Fw^c0!c8r_b~<e?BAn%VyWolrnJky_x?DU|wvhK2ZmhkC(@x97 z<75kYN!@sdV9Vp9wwAXMVVws-;|<6opLRN}0uj#or8(r`8PG3p7s5IZWxNM@YaJ0f zxE*Oud3lh><HYv1hu8Ic5Mk!wc%Zu~!Z3E&H#3T68vU;XH6OkXa7Mi%?+|g=O90AU z4Zbt!75{)#T~{*h1K&`U!~S9Y=(zsvY{wKwzeFQVx1+UQ@qNg9ivq;yvWSl68T%k4 z4UsX3?*LDEJU%SbF?@}W&bD;}h*nO^)3ios{P&?(M6nL1d&Q2j{AaJGd4vPbYSD9S z4@YLeSq4W|z*%Z!IO(%gzpy`S#~u$jOIb2=((J*2<DN$SJ_N4?)GKmDOgkm_v|EQS zv1!T4zQvUmI&}yN!=l7|!Oem@1>X~lLfPa?5u7B*`R3c`D3y)~U6;=Mz%0RBK|U@s z{&K-0!3Bb4f~y2MN05JuAkSUWcL_cq_)Edx2tFtHvf%FpUlZhfNjZNJWPgzUNRTHW zX|)dp$aNL!2)YaQBH~1d7rwzlj}SUjXs%gO4*Qk-e3hK^ETMT_A-zQCtA$=I^bJB+ z34N>3)k5DZ^e==~>%Wlq8=;?-^!-AseFsSYZ=sJ$dcDy95c*T0xjf4HseP5Ge>+SN zr1kzvu9uQl`^}KvPv}8J&}!cq(nkrc_ELbJDD)H}`t>@&+XeRsz99Ifpw<=PNk!$0 zCPE$^<!}}tVyafi^N2L(Frs=M1@;#@S&;K9)A=M$94R<j@G?O)ZjnAyXkI(Xzd(@d z;-q=4Bwi=DPOw7o4#7JG?-f+z8TlR)`bj}Go)Q0Bq2CZZEcjQ!cLhHYY!>Xq<B0rv z9CMAFv>K<t0-<LMDjhiDZx(u+pw?O5Bec?mgHMe+Ah(`S?o)zl{DFQ|Xr&Vet;QYj z9f?0KsKy`S)wlz4DW38|1=aWit;QYDEAi(Es_}>TfkIPf!u(vwCu&{ic%jvPU&LP_ z^bA3+^pl?&2_l!*iQ5IA5`0$hfFNyAkdLYX;=crAI8H&w3Jw#zP_RHy>n;}wy;QJF zQ0p=)g}zy^wN8`T2A21-;1R*U2%ZpZ6g(xUb)8gCFn=dOe*cy<?V%Hg3tlAX6U-K* zK7)Mo1g{rdBY2D8X2I=(y96H=d{mGM5z70W;M;<K7yLl*V?lNO3bF53n2(N#WQ9$F zylS`FUpP3~8*pE@zi{Z_q_*p5?OTAL6qLywvsy>HzGjQZwRw%N(r0ZkPCi&}HGVO4 z^-FIydD7l&itu_)DjjJMEo+|F9P)ARX7@+1Kl({pG<A`ojV`l9LT<A_g;qp|HU^ua z*vm$a$MDs+vbta6_uRT$-%B@sR&=~5Y7nG-(5E^0V^|CQ1Xesh%!}^nGq>0h!~7B> z%oR$axh=FY(oBo?q}UQo&v?jMYt$EU{~lz02sxjjcAubT%~K%jK$E+cyhng{#liw< z8mXS$Y<YKe%dNjk#kd<iA$^ON6zwhgu*idU!-}bDDdf<S>Bp#B^DtN#b;@%$hM8KT zyD>s)`?z1`V~wUK1g-!1LF>-2<3U|RkG~M=+Kyd>K`C{UeCCCdn%vh0oiu|{-^MKT zl`CY7()+qoM)<ltGIuZ1=c8|6$8?zQsaV$Aonl@%(06tnQsPlF<m%$v6>DC|G{n*B zDQu(K+GBUhBS<-RFi2^KLontr1;Dw@7w~JIgP(4g?07+UI&2!em~(~cDM7ULb0qui zo}S|5=nDBc6Mn@6ZTB<=Ijr{l(RNRFfCpO%Ut|tj+Z@<RsO~x3A?nt^^c+$wZgpXB zyJxF>Zcdv)rdxEw$G~C)kHzn*V4g$Q2lH}xUGSd~vl7~R>W0@N2D)K4GP*@Kd?lj9 zZfeLphHbm4q8pAxHox6ezDg73u$zjo-)?I7R&t8n)CjXDN)VMYFCKK}Nu18@#xg;v zl;aWCu9gW(r97Er9#conMDQi}$jeFkzCe&y%E$sg83dV;*CWmxOXe7mFpCP?sX1hh zQnpi7a5_>z!g{JAueV9qPgUfd6r+^B<Cw38BoOLklFxxWi?6p`z&JSbO1`AkArVop z!*__2K*zpFjONYcKj9@)0s?vP=5%U=RVLn+sZ0!XT!BQDiH(s=0}$8^Zx-y;nRu;K znHcEEZc&+7SIIOOfs^p&48EhIO5z1nWn!QsyGLbWizL%Z1k>QnWi)oJGELQ)80g4* zU6pACC}?}q@WUgR2=-3UB;%gx>}-h}tK(dq%slsUBfXDt_9RIw)M*&Jd2TEcBW<Rn zt<`D4OuJg8@!5yv+%9RQC}*d{J)-%;0_EH<X}{NL5dm5IB#rjARh=V^vyV#LCl2`y z5*Lng$%p%hvp<$N+Td1ks{VEz4fGA`I8vvn`d_Q+pCoCQN!r!yJBhnW^M|4DB<@BX zr|K<zCu#R+84mdyCGEc?jmP1BiK9Jl)|F-QUXFEqOX8MaZ*=~H#F1w?+81M%AjaKE zH2+;bL|m6PYW}~>V(vH7{I|BFOO?Ohj;>btD?7RoepP<B<KvA=_uuk00b0v-Y@c;l z%f(dC(sb@wPJ_4<)j^xi?P#0Mjn<}f&(`l0kgJp9WrNN-0ln$3q5oxrE^T<(fb%0% zi9^x%e%BQtsFXSJEK$K}JRNz?wz7uHCwT1OC0q=TZM&{&2GSI9jjNggo~D*@jaymQ zon{lk)eLa*M|MJm3QlD?e2k@@7QiP}sN0d|cfAn8y9tD&NOivaz&Vf4WT<*Mk}6c@ z@rY24lbhWdt}7_V2R~L9W@ZUIUm<T2E3ptBivK(+{uwS+!X^_q+%02S;L~+ok&j(~ zni43=Wt3sy+par+lO$f5AV{*uPPEPL+Lf4~qsV6W4W}{XD0m&bqc?bX*km-9AaW71 zoufCLQD0F}_Rv>UR97CouLW{Q*oW&f!UlM>T&Ei4pj#b<SR}d>gTH^&anyNqqj-5* z3I9C2y6Qj_FC^Nvm5;r^c2QP2JZ0^Nr>t0qyteY`KB6oh56Y^8r!22SUR(LtsruOR z9H6X2@RZftA+N1`JR;@r+u(P?KMemU{EP6fz-!l5KJp)R<a--omomWpA7ZB$_GhtD zidKC)`@B%YTT!W2i5I_D^h~toWW(6)GulG$g;S?m(^9QI_y%LbRf#>VIbN0Og}GeV z@14rjY^#qKsTs&>CyH_3!ewKvX;ZA2gr2az8|k$!OK=J<TszfTIK`TuU_~cbv2(4w zY%7HfH!IV;AJa7N8k*+4S=B_*b77j-OVhmmxQn~>bCR&;YxTC(`pPn|U!~eYW8X%F zvFcU7gqE88Hk$nP=l;Le=YJ<d!2VXWdLN>NIzO-=4~v2U1$_%1u@>x?=T~$?jjyZ( zpQiB-2%@I{jN1Evv~Q-jZ>4R}wU&*{ON$E^&YA61CH@E73+}BaQ<)>bL`OgLd(qLO zi+|M7+a0biN9Td$Z4@4*luHrRp!t#z!7$hP=*Z{1rnyzDy&n^52)|2;u^)#!BZfTm zEH4LM%SKRrUk=~X@H?HBg&3#2I>;+RWhsw!(ekDstn(mf^uSu=C5{LkoY#tP0V=b> zzL{b+zl^yEJIfu4n=bus0ONJJ)H`U|2pUachT&yEoK9N?+9~fn+|foMj_vp=9eoTE zcWWWw)xnBZ@|qy83hkggs%Lclb~*AOXgr4d^}lN&j<oFvJL^}`vu!&1U6ELGZq<Jj z|0|KraYN^UQk=RP?Z`v_6g&=RiH^Spd?PdgBoEym;B}bvKJX1?Kpfjk_d9rJJF0pH z`Z*eDx?hmpKjslM-bch+42aWZ5gpAl_H{DarlZG!;615!TArpgI^%!T(Fb(&N6|5D z(b0dYePP@nIdt?O?B`nQ-hBuY^8~LHyhU)E;N5~Xf`<j`1wRn{Opv;1%1ssY3FZm% zF^=hX3sPrC`geky&%Vt&00Ws1Cq2&xVx}PHSkmJK*-p~41bNbtzFP2l!Ht4j1UWa5 z?=Hay1pl|*0qB7KV0r9EBG=i7<B7ONOcqqSeVpuSogL{57!O+M_CfRcjI`42gI15$ zpsN@Udb^}6-9G4_3H=L6e@tkuxlztv1WyWbshD!U5SnZ9q$7#oS2}yt_Z-rg$1jk0 zr7K1HP>D~Mcx4kA{9HmO|8$8j5?mnh%Y|M|1pj)8-zxEU3f?X8O1F>l9wiNawNDiC zUlzVU2>wa<j|zR92>yRa{O1xMf(e`LQ~O0B=WL<1t~H4?^5qEfdQEzr;5Na1f^~v= z|7VlX5tyu*kG468qXef2&KK0*OuScU{jJ3PLLU`WI!hcMI?6+|LR=CRMhV6U;*zi8 z;{}rhhY0dIML8LQoL5Lw4?>(OSRgoCaDm`r!7@R0{vqFuLT?b<D#&?>a;pXJ5qwba z7lOR@lJ9ZBmjw3<{!#Exg4E2A|F|IMHPRmoQoBJqRFLaFq+<oCL?L~iAhj!`2MS&+ zI8soZ&q(L}8TqK;A*%BjI8W$>f>il1eT`tHpng|^Iv}RsC0HZ4Pw+Xx-wIOmL;g1f z)p-s2Z$hi{8nk*R2S{BH`6C273hMKlY8|Hc6C5BoSg`fG5LC{PU%vw}TWEEjBcAFS zrc>)gRM!RE&+74s!=mE#_{A-(qFaw+-tRDf>+#%I;#-ev-qVv`T}ObaLZ=Ci63i2v zBsfJdU$8(>kM|;>=L@b7Tq#&CxK6M_aHC+Apgs?F3w^KP1A-3;)(Gwsd`$33!IuR0 z3)Tr95`07Ou;5X_cLYxgek9l=_?aMYRN0SiL62aBVC(b8EAd>bWWK(Fyq_SQB$y(Y zCOAqkOE5<;PjHgp6v2GKBEk8BC4yX-XL&0G)%6o}xzOtbs|2?SQr*OS(QJSFz<5g> zIONRVlEoVwNnSepH#sS3@ZiB-@6bVm2B_#Z?7xrbfWn|VPf_51w__yiM|;-SEUL>r ziRr2_D#UDz2=Z|cKI{>1g*{@}MGhMcd&K{2s10juh&q+_;cv?KHXIGx*N|q@CtoWM zIpGf5dcuOIrD8)_Dh7X7oBtQ!|BHkF;qsspF7O6ja0qslVJmvLtKsh9ziOx*Zu4&e z|8oxhUxVKaI|QrCTTcXM!=7~Zs0MeoHw7hRGso3O_BOoZ;NMoh9`>uFhQOxuMLS@l zISV$LJ+#proKG9gu!U_*JGUVS)~N5Bx3oLTooAfat{Wx6_O%NZo84DH;)@Q6KT$O> zFG{V;*o%Id$9{p`=rZ(6nfEAS&>ADm3meU_y4=;10-0UigD7zjBt7GoRB+I4iP;tW z7r=sbSw@4a%)AIKDf6V*Il3UnyZ#(GDu;Q|e*0~WwWFJ&c8+=Xg(jowmUlCo+&h=z z*Ryj9!z+)g-`}g>2i~n|m=R`Gxi*=b`3{J=-F1hxEd#Lyr^WU_?CjHG^AS7pwAf*Y zO%J2QI}j>Vp`Rn<3$s)?*7o9eji<ROAOCP}lXHXlQ~dBGCE;{<r-XK}@J@-k<#q)f z<(c6M*$O6?JBUK8PY`A0U8Z6})(|{FR50M}5nkT{Z;z;}pF2d|l$xGe#p3QsaATu3 zGr0MZUXbY;Zyp34%vb%4;7jqlidPK0J;H~c>w@n<%*x<Y@Ln6tDHv~$B!h5GHTg1R z$O{y?#QX`u9`_OahSoFYcqb**>H;FHc%k8XzY`O~bMWIj(diff283eqE0(V>g-km0 zY6RM;w?`(ED;~ZbZ#m3MNpkXP*9R=-WfXM_$jAhyPNn!?lDrl_HhC5JBBwL;N-__` zjbZzLAP@mhXU=~NyiuH3&oc2-3x*EtX4b)G%}1awSxf%fg7tl}vZVpmjbO_nYbo#G z15#t$V5L!)0P9m=D<bQPVJ%pBi`t=+X6?wj@+we4*2=S3&k}H`x;{cy9u-RMz<UH0 zn4mLbKlEH?A8M*&W1h_;1TkN?pa1`dR$tWh-%YFET62$W{mC8CIa-0=0eX3K72`TO zd2yjRT}^-Xm#FEn9Eb66T`Z9!6yYRxqjqWl8+nA@@X#+4Cc$GXx~H0fbv6-I&A>jJ zh^c0PqX2BN)eO83kL-j~Dws#$wO-lpX5a#Nz7qgQQ1PWJs(J2n5Ub)C;Hm)R5T3W= zW2zZ=#U`SvdHZ@89+C(j=y(QrtEwb1&>bF<2q|`aOm!9ld>0p)3G5Q^uiztv-`RaK zo-MI!JU&q4Wh))!j}J&2ACLwXzbFK3X%h%6t)<y<b~aBrn2o-KeZ9gCXRxauu)~?` zY0k9Z$zqqXG6;{=J;%Jd<H)0X4&hAQaR_JVenWUHJMNe*CxiW_9^oN}-KM5+$YH;! zTYAXR4P{<bK{-d=b6NNK@JLT1+U+QfaI|BTqaC9i?Z|etBiGT6(T;YEa<n7O(T?mu zJ4z!Q?Z|bsW3;0kqa5wXR*jG!4^XT(FlZmMDTKrDFhoIk8y<EpR#h{=(SdjZ?}x?e zJA=GkMGAo{V8~&rQO*I3cvhdo5kc05V*)|sQ!XCAG9c0&9h2^8T)MC-+XOOTMmjoZ zWS~>3Mrz)T2*`l)IXc$o=o~)2E61~02HY6O0U6`y)G@-U&Tq#_VmJ7kog^{n5E;`s zj$@nSI955jI35vn7@S-E@`pXBT?7JA@a#rr(p|{zWA(MmM_?tq?lwJ<==6;UJOr=1 zO3xuWon3SkUUv^=lSex@$_s<%6)zf|ZRC_U1wJ4CO8DjQ74RG3`AG2qJjV#@!U5uJ z7v(x>wVlTJCu09YUZJp!S)%3C3J1Pn>^FaoyA_E=2&bFsYwJ&VbD~{>wKTzM#}^-N z>hHx{5r3PIV0D_?KN%5g`)4me%%>A}^-lu%MZ6;aC1kTruAYcgE1xf2?C9^E4*q{k zc*5!q%i|RB_xLG@**=k9P5yhlE#GEMI1dRoPuvB*OZz8VV?}`C=6e+29tmR8#H#+u z<3Rsaawk}WSXoKFd195-o$QC>Q>|+}*{%y=62Y%hZ}HlMZIZmD+MITpxsiX&LdX93 z7B&w`a+iIHu>)?DpBu>b&0mpd+j|`Lq5U_ocJA-huX>GwHWbjAf%hI-yg=a>A(ji4 zeuZ`OfDLqit}n4{K!XK5kY8=t{43vxpxp!8KtiCfAEFh52%OwbTjyS$?cz8AW<<uW z3t1n0L(q-x3ab+K3r0pJeIM^eu={^}H^S~{%)-i@hhN?esTmiTqGAI-nvV|@46CPZ zAo->^FNUD$;^9M8$o?tnY_GKf)a9I)be5L`uVo`>Y=o?v9rAP<?~|PJc0*7a_$ZHc z(ekDstn(mf;FV^hha*A<=e6P+3L$*=TszG-7ZJ{Kk9NU5AY`&!#_MuhzZ=mRx*{*q zwbSyJA;KxIu7`aSLwU&Jm$wmNod-c<2om38K%7pi0PU1_6!J=tNO@HM==$wKSm!~| z=z){(Ek}e7ZbzC^US3c8I8k1EcrEWigqerqf$nn@c1f$_#&qguoP0UBW1}ueJEqa| zCJSS)M92RId~JC*q6vJ?v8oSY>vtoj^bGWKGz!t}ILj_q7i+{L;w?WF=rh*Q(L80B zAPtc*i0ASw<)JF7@ANqY(nvwa4P-zZ<($bm<9~cNBJgemuLEs(H^RQ}IFo&JPWJZA zk9rq~?;w1J&L(z%Y+_$Q>ZnO)2y#4==KS(q-c=aR{5U_-1v!_I9w#_WaE4%!;Bvw1 z1lI{}5Zo+yhai_~Dfj1sj|eK=DB_<M`USyP1^*!UKY}L(+o7K*?;OEiM9h7C1l5Ba zCW{nFzlaE0={}KfoX`^_oex7ypCR;BLUX=iIb}kx5?bj#!M~X_%Ds(<dGJBu;{qz< z)uuz>Gm`$Aq-&k$d&2j=r1L~(J@{b3{4I2xkgpa(ke(#z7YTA)u>5gEq;qT%x$lp1 zv~E+qy8!wc#-m+yOy?Xy#MG;h^95<nAw>1S3yc>!NpOhZm+9bhC4HhGA9yLRK(JVF zfuI^!NG}t5wcvU|UVE6oN>Gh2(02-buiyiMdj&byGau)2;<JJ;3my=BUGPo8zY4x9 z*dX|UV6)&Cf*v`ZeBfp|9R)iJdIft6_7l{)dd}O-H%f4<V6Nb$g3|=GuD(!crL92z zB|={#c%2~E`6*wITPh_;Yu&vbx7x13uO<CyL9M%gRp>to{z;J6ZOY>!Kk>NWKLn|E zVSKZoCF3(hkehm$uE)K4X9u*h{SR!d<5%zSAbyDCQ+tU)j}&^WV6Nb0g8ICmW`pG| z66D%H>1zeo3f?IA6TvNlI|Qo*e=4{~@DaiP5`0?lIl)&2e=qna!T%QgtKhqW{}B98 zuvzd6LG?}$>K~2^z3NB7&VoGz;{>V7A>R<e3_+jZIKlCPQv~w`=Li-HmI#&#t`g+_ zRF-p-;4OmOo67hdf_DqvFZgr8y@IuZ&kODs)bB36A@pHEs+TDLq@aGM=`*3-nE06P z5u}cZbgW=+LH+JilF%uFR5X!4OE6Dxl3>1IfgsgR<S!MxR&bSIh2Ta(+EgX~?Sk4) z#N9$ud&P9_VI@8-_?#g3u`>Smg6e)D#J(S7JRK3q3O$0YuLHa<C1307L0^e)eO=&v zFZqWGrV3^W<_P8qP7<6VsIL=6LeCd05iAv4A-GboLU5y?zD{fvdYj-*!QF!Q3O*qC zkYJ7AKEcNXpA@VWd|vP+!To}72p$%6$-Ea$8V@Zo5}!D5XwpD$!1?WO4ApOEw0uWn z@Q{InZSJrCMnWDRj&MtnqM$FzJe%K0Xn%K&(WiTrabA_D+vXmddT#Mn87CiIec+fU z)8*UfOY#l#rT8xN9XaMH#McoDyYGnI+@t7lli@ww<Sw|e*|leS(e|Pnn}aJ4H+f19 zH$@a6=bkmqA$#5|@>Cx<7FM|iX-|Y7Zi*`CQEY4mC*(xzIdCkpa$50`roJ^tntGQX zI2KiSxGB2C*p*wqV;FP$>MJrLsv~z9n-3i8u;=0M-1^&xA8v{%s4jLX8E+zQe9eJl z(a0BDf*g?AsW5c=?H$`~H*e3bUz6>sZohqW{Tg3Xwd=O0!aG#E@f&>G5wxMaOZxE; zvt6}&*O>YZS<%Nm?%eu4!*c6?I^wMA%;TX!n>$*Yef2kH1Xp+5<=#BH{)UX;&C%7J zwm%hqdw9%kU2i{f%vBh5Jj_Tx9&X;-<*Z$q$3ucTRzKV^X!BDYW451N9dcVn{f)k^ z+dEgs*ddhamQs%#3obl+`@LP5r}M7cJ9fGK;f_a+#T1^i*{;=*V_ggF)Yxk8!0Wq5 zG<qXqZ(H?I<}Z&lmG3^%v;zILvNpFqyW@^gJ4cnnYDynpBJ7Q<s5yZ6ZnX0eVVvAw z-u=!VJMkrl@JUUcbMQ5Xc-ZMkEpIl_?=Bo2EAB{>rzW?4b27fmKHx}G1SIZAN~_<P zmR`R$JLb6I$*q4VIk*0v5nFv@j+>#Kj)w)0J{}(8!aFRUL9kk4Jqe2{#>s8v6CUY! zEXY7fIqRB@Jt!%HC6r@a;M*B{Tz9y)SA<)4prjE&+tcb-r&)K5#jy$6UfIzu<?azq z`JO?ZfloG?5m(hgN+l!|Uf}EQ>+G8d`4b>}BECy;X_IHfgeFh^W%y2oXA9Z{YbaI2 zqI@2FrNf0Ub_B;=3eL;W-ZAy7v)4DfGuJj7_$tR;BXHbIj825N4#g3P%c@_W6?NQW z<ksIeEd6+>+2MGYYvl28i(mcl><HbCIzaZ8;lX!=Y~zT^t-qTxkbl$Atoriw2{F0# zyN5xNIr4a@rANe*jV|^9za3)24vQ-oy|wco@>K@=+WUImalwvfjv1BDVI20Njg<B! zqicMR`+B}RlXHSPH{IrKK$DY0ql#$xgZEl~N?y~Gj|6-&Lp_bSLe_$^CNL#e&8O}l z-ria286|HfS>5)BD=5x&bt!*6LF(4g6EX;_fwx`yQi?l7-Cmj=_0;2W*DDe3OqI{Q z11v$8B8=}~Fe)gAg)K07D<ACUgI%zjk8>d=Zz9dn_`%v4uD5ii$HU(&KHv3+_e7E$ zL-I9}R6Lp4mm$a}FAtYH%&`aYyB(zc0rnh{u1!W*5At5ZqNqL#TkI!yp*O?SD%wO! zx?Gk0tzX!1erf?GF2lq5(Ss2Gs}D{a3aD{Q3ksnQG7Ob!X;1-mlPhn_FDH0;m1d9O z%L&xR1)S<FBabi`o)!a$pTT3}KcN%&En}&>nt=?P@KiI9Z4(jI3@ovUsA>kTw~6R# z2Gr0omc~>wu-i_Ft!Cg!c$88H;Iae@zX6qGMV+P#Pzj@ts?d0XUAO!|-Bvp5w$fR* zmCm}Ybk=R9vu-Qxy4|npwlcPw0V+W8eF8__Ch5AtDg&#eofiRY`zMeCHO~ofPC%^R z@p_5&5`;59z{v+%zvD3oGj?%kE62ui#X;MPXZQ2TOw;UiK8b0XUCpX%n&GbK;$UOS z7WdRGZgzLMgRVgMUI)!E@5o`4|LFA$(43k!h|lm6oyY(ip(X1EIcqPCP|&(%994Wa z4K^liaZldj=2+q^p=pkwZ4P=T!gkASeunu9m;Pb^*D<)Ru4(R_vs-3=QGnrIcIDr@ zz5oF>KzGwuRXz&U?+uR^YzS-zjyi$E1xG!L_#!;sdLXc7j3&MguRoQ+a2RTV(M7P| z;8|i;Gr-zf*xypEYl6~Hf^xC=MKYXQ{qjf8f;z%UpHStWL{gho>9dCCmn>OSxMaox z@7&_~bC!Dht{6J_{QSa&%e<wF7R_Jkg^t!cXTi)lvuDqlou860#5*7<dBEb7{F%iI z^Px1IUpzChaMr9uu=QWKXyKgxb7sseP6S`Vz(geb|68UPFPt@h+3Yzqw{HKBkqD(T zpS7T5{)*|d7nM#&)n?QFxowibuR%wjP<4C;E&6_F(IZo>C0^PHSb~p-r+PYF+%LIT zcYF|_3{|qqym840!Hj=u-+qY*dixDdw60EwOTo{;o}jHzZ@(TXL(WC47fGda2cC0o zVovv7J$v*7%N23w6eXXNoRrwV=S7G?T$#83*k0X}dnERX?}gZ*Q<J)1+#?Zx7g{AS zN#MnY2-I(aH9yr#^mwiDQ>+0AIaxXJNm)hlIp@bEZMeJ$VbpQ>MZ+(OPnvO8Qr7aU zqS;AVN%2YX>&o$)wK+a1GdXF7)on`89*K$8<Eye=>U#rX#Gbp#)76)uGumLnzE#iK zx_By!C(K#8Y<{VM4*#-`3MgH23pMf?J|FPCeF&Uy14x~|)i=SJfBMp83j!ZV;LiUq z(T6V{JP@t^8mj^9B_&a9<_~=0yY1?34&2iRxYLUN56M!01m+s2j+^tOcAS|qBE9Q8 z>qBk`zA>o6U1{Cq+5jv4ydOzedd-5;88d;UOKdFCq0%`k@F9lTGfHO|2{V^2HCo%~ zcMjhlx}y6WbQXF8R|?zlM#1Uq+21gFB9eUQQsr9nF^#URdhU4ewl3FsCDGTLal%L0 zbhW6!TwNGw9UOyjC>g}9h&Gg6+)kjegrlxsytSDMuk#>i^g-fQ2E^&Kt3c~8lb!${ z*W<L)X@!VzmixxphLMKKvs{+Pb`Yrx(0LFvZbK$71LAbra?nnBF<tF-F3Q8rw_o1P z2<tou8jm6qZx^)FX_bg@%IoWp$8$&5uNq;UhcaG7rbb7E4(>pjQ{GU>WBXV?-qCA$ zdk|)xBzU_23dh5d76O_<`bPyd-^O-l)?f1p3Ef`;Q0`ygqrOHvE&7j$&|#(xMWu#n zCLrra_j`C}JJKBef=45NJI+GbaoCL#$UBNS?Q~g0eXcMq3PO?)3H9_>>aWu<PN{#= zPRrA@MrZu@p}$6R9ZvU(9c8IACXj3ySZsATOC9k*gr!ENljg_@ILkJp>5s55QoDf) zj92z}z|p?G(^&?OLcm$*Q7=gL3FnMf-}QD*&$w@Et+aL~+w<P{seAUJPSi<G6r3VB zN03kSOut(2dclo?TLiZY-X-{e;4cOD3Dye!S@0+kbJaV7?-4Q38;B^kN$7tG9fD3| zy3+L_ozF(3wNCn6p)VjJeW)Ox2Fb^j5yp=f`ck0_ghnx{J{)1J7e@w>$DXLyj@6R} z=>8I)EXZ@0e5rz?1hWKl1yz58FJI^a!D7J$f}CF|uS{^Q;EjS+g1jb>PwQmw68b^G zUkGZQ?BhZ|Blv<K7lbHB9UtIPq4n`OF7!VH)$sw}XF|8$FWrItigb0HfLuQ!9VZws z$hn8{!vrrB^a=8rm+9)b0VfN6h2RXq5<$J6^ID<RsvYv(DD(zFE^$%rF2VZ*)z&k_ z?-lx2f?V|?|4V{z2p$%EPw;)gCc)1HJ(zTvKSD4@Fji14@*thh>*V7*C`4|SB4!EZ z2x^=1dS5lo0h6y-aEah@!8L+62y)qu{C5jJEcmG4vw|-Q{z33{!M6qfF8G1q$AWn3 zQu2cZI|z0X<T?Y(?;}Y2yri{`^kSh$3UXbM>C*-03eFQ;EVxYYI>FU~6@r|HDQBzT zHo<!Z9}xVdAZ_=O|4BjK*OAsX?+*yg`%)J!KYG3ZsrdAKqUJH=!)3I!E^5FqTe+lu zzg8DDpzXS-hj0%7cbYEhwi<U;m8aw8uuW%giH06(-)i^v{S^;mN9x`tqx|6}S8Z;^ z%@uz{jA!>=XfX0BZ>tE}np3%{GHkoGWlQCOrkLFanqr|NiGr@AZ%z0Xqn8oqsS4fn zL{p^k>!!%4&AuJJmzyj0RQc}k?Qg!Z^2O%$d#vi9JC8Kw)dp|>ZS(p{Pc?KR1CKPt z)>>PB+q|yw+2)OVLU)GWi4v-7n^#x5@4!x8>v`mhN{$*}BpN3_EjRjQ*5y|0ZF1K} zRzy{V+<u^`ckS(!dz&I_CsqXA7F^X1F+*#Es*g0q)NZZtK<>spA)vgq2P$5#2;U02 zG8A-tt*0{L*7mnCJpy!U?YPQGl~GllDl01gSV^uZ#HQ4~fO=UwBk%le^9_4y!ONNy zbbq0FZKZ`?+gm=#cZJXA%k`m;&`(CyfhI370^U`ApecU$!wA1xaiA#)F_Gx8QQ$~H zY$Ut^P0~=rM<G5M+^L9*+8w;*KvNp}bky#Sl{gMK9`5po(cZd>&@HbtZ^%5-6irRu z?vScin=1=nYTlT+x2XeSytP@C6D!f~D)cC%Mc3?azA5wJrkI+&a;$jF_BM5|dAKPS zC1#=YQ79(~d0Ed+wK!UZb_pqn9}2!`l-{Ylws~z~-c1{Ba#bO<5PgNzv>H>_29&2J zYs1719!YoauGql3R%W7h;J0hU7O|G7d(9s=psdVV)bB`BR+Y7FKjglQQoPCD0c*Qk zTULE^*)Q+*?eS4x6AjH)*tT}&q%Q8Qqq>A`?Re+eCoL<t&b>2iTM8`fCpEdRN@;TC zCpEd^%@JLEJW?DtFEp>Ibf>tJjPr-pUAq^Y^TC-9&UkR9GQ}LmG5IWxW#76>ebart ze3oxOllxWhK6#)isusNa!JC784-IT`Uv6!EwfQErC!%HmVh1+4A~^a{_sZv+%PXV1 z#dLEGd!>1O;hP5^_Wjy-_Kxn`a_b*FE4TiE4qXad1)W3(g&q1H?$UqO_o{E(yVvmA zpiWV@xf5ve0p$}F(eeknsQu(MJu{JDO<{OY27*va-Alq1Qptp?8P<0D{|kim3&!NB zn+kUb@8vLEA?E5;{PhG?Fxe9_0uWG3B{0$*qOP2#r&_VNPcnnsJyzv&*CH|~6JcwX z$)|!K-p)WpmB;V|Btk`1ig2)-?|=onU%_vP$$Kr67xuv7tmzpB${hVRetApfxtL@Y z$u~*zGHZ@utJfps`5RNSd9TQKr$VV~FvqG}N2sWH3uume9dUm~DC{;r*~JC2guD|d ziI+%(zwMsl|63Im@4EtyPuf@pCoF`AN@Ck826*=vLLxZMRZW7okrLsqCQ%WH2(Bi< z0Vo`vY7!3zA|k3u@K#<pBGp!4jtN!dQ|M9N5GaCQkKt8T5#aE<ix7f-h#-}%aaOjb zb!8dRqOy!=QCUW`s4OGw%07xxP+3MqR+Es*)~s?=wq}*CY%9H0jH>Dcg8CQ^jC%(- zTj;Ig73V~PaOMX%d9&|#Y_n7ILz>+hY)sqYzI=;YXLIuSGb6ym=u9KM4PI-Pc+4Jf z&|f8wPd9_%F?I;*X4}{_g<bPJJk&9h)R)T<J`4}V9D(<GP<NWu41}peyx6Q}fOmJw zh`TQIJLqQmLAXmDuKy_Aj5ZhD2Hni720qbDwG5OqsTcQw;$+-KJ$sFf?HSkW{Byfq zGKL8e3B7t+rP<?hpm9kaVJ%CrBD~m;cqI%wd-(z9D-x_;sftXvve)^^y;7)?>1Kr| zAXh?q_io7-_wSx`argd-7xz!5Y9=WTA9_we&RAOrgVy2%E4<WNkuW%!Kbg?<fF&XU z<*MI=<bHX`I^mq8vH0S%H+f)ux1{)iiTy?oyt{v5{~5W7)-~DG93}MX4qXxQ=AM&` z45>*e-D4Az#>J0|O-^JP5#D6h7Z$1Kud({Cu_k6)NeMX<<8m@D&Y2OHbpGW<SvlR4 zW+%;v>t}UOh59ERZKq}@gkO>t%?{LKBNss*HfP1S8Kp(OWeaDO7B5=3l=`;Q)ClTW zI8{MT%hEsUceH*-S%*Gz|NZZ)e-<uVFmVQt=h7e47nwP;eq%k)3S|0T^gKU&W6wWC zJrCda*G>n=AOg#des`#&{gzcH#9$e~zivMjVV#G}7b1~sdfMr<s}P~XOgjj^*KnoO zPNx+j!ddPp=y{HUkL7ycxgJ5}J)q8mpm9GUy$p!cY0E)7<@LrrQ551RkGGv#-pvT> zJO~;uLLT|F(`l86aLP+@$m6-A<+axHybgJZXJ~J0J<lk}WBXXYNQb;V2(u1J@N_}p z_Pz<5veTIUD7=&JJydG3W|B0fx7PDaZPzwE&sOjab;x6T>00Y~avc4Fq2uotY8Y^{ z<#+ob@2H;&90S(T(Ot&;4sFx(>_lOFqSKCY&g7i&Kk9kfsOLGO;pTvzhX$L~IwA%z z&jso$c)W=?jTLqwV(gwv1XH}k4;19sB7de}jvybE8GohVEWr}NWrAx2d7hI0cEMeO z_X$2E_=w;Wf;=yn?^VG+37!=EgowGTS?~)YCIqEFK)&`ESfpt{g>t$Jt@H+<`wKll z(o=;_7dlsPs>EL@bdk^tgjP!>G7+&pJP(QJQiYtmNaHkA7%SLcFj-LbH~3P8&J-LY zc&XqtLC(*VdzIh{!IgrQf;S6p7gYU^e0zje`Zdsx3H_wt3xY2TzA5-Wf`1b{CisEi z$AT972Xd9Z4XBO-Fh=6J$inpV1qTZb7gTySr0czOYOM-%zNF6)EEZfMxLk0(pn9Jg z`KpB8D!5Z{w;<PnSRcKI?g^ov5`0nc6+tcyk^hLGdJ_;d-yvZ9zXV}LQqf#HVthBj z{({MZ7Ye2esy(6Lr)e<e)A|^_7qnF3R|u91t`p>2j?A}Ju=Sp|2PFO>!CwhJF4$Uc z^BwdOg9d#Ay~Low15#}Euiqn6z>^H8e2N199vPE%Oy4@Ov&=X-U^Oj#Zi0<YSg4!^ zi=VLcdE>N$Kwm?jvMSgfJqTZQ!s>buzNR6w%xLhIr8o3Mn)`x-@Kq;*h987?HS{VQ z*$`KT@4lu~!S?7u__`AzkR3AbUY{FZXmvOAF3W7Vq0DIX2APdsx4q}0y8Qk}Zbcnv z74$V_yOeb>%F<vn^j6qNJp_O22@Cd5tqV+)n}Kp}J>eb>d#J;2JrR_B2;M@uqagj( z6T#CC!QYB9x1I<I^A7T+aQ{V6!?|TX$SkUR4W*!@t|$qT&3Q({YP3d`gc4jApd?7P z{H;MrD8ZeLk{~%~n%#zTSyC8E3X_sx%hZb!%(B-`cwn#8bHQsTLLo17p4qSlHKzP9 z$PF8Q>xpp43wP8w0&*iz<93kOE{ruzgREOmM0Py{f9r|%Xi57Ef*QRc?nZBL+MqPF z$Q8k!@WCGHZ3lDfvtrUlrH{f+8COQ#<nB107vOjfuRCGlxR~?eeXfSJW%xpF&`8w7 zlVmn}%+g1$+go+Qz%eqiY2_7s(>zI@WHVK_uN$nt8aVa_j=hOvZ>kpB$K8eF?!s}m z=50bx!3Jy)^fgJYRE_{&gH`sIgKJM1WkEZh!!LG(nAj20P+0a0*gEAfRP&b_k8ZOg z<^You7H=S1JFLa4YnkbJ5J^~ozk@S|E93|%chHLf>o*K*d#6iCV5@?Hkad8-QoFip zxI@&WWqQ<H?s4;05KHa6vxU9Wn;|}kR};&&R~qcT6GVvl8GcR9u7-MBooIUQ0c}qG z8Nyz850%;GG?FiqoQNOua^4Ye*7b1eH1nBCC3q;WOy(6Nx!>b1Qqx%!XU;I*HrOQJ z%MN>;<ZMd12qeNkfW>q*(;C-Bv%cD;A%LYy!YFtct@KngkYy7Q)ePj@L{v2c1vU{~ z&A|0G5mU{;4K@*5&A<cj5KQ&O$M6Uect@@bV=}-!2Ow5dGtkQ>N~(E%U`-thsK{re z!DEe@U{`dpv!aWg6<zGC=wfF@7uyxR2|}<~8(YnQUD5G@ijHSR-Ksi^-PH{66$S9G zsAj;f=wfF@d28u+hgEAQ5ZDyk@@1e=6a0(_%mV|KmI<17qN4*iT>UN$p<shMa_!Dw zFTn6*Hj%xL@Flt!&4*~eOG8M<GrR^Kd2+uhj|V&s1Ci>CGp4Ys*gUcR$Y3AUkO^9r zX-q**<zn%RL^xG*6)LwQRG2?b`YuTO&s+Sqt+JqLZCLz1qRjgh<3<0Rv6%xBlg5ro z%o%Gf$+p_1CR)=Itd7~2=VT=@+V<A;Y^x(|0VX738$(=+q%nzD7jF@xnCA+!wZLoj z!wPz4T#l8OVD(E)24-9R60qb<LGd=34btl2O}x<h^{P}?FqWJH@5S>i`H{o(M~<5~ zW}^K&ed3(bWhD|bYGU5>oZ^}J6BjL8GHXu$=#e8^zF;4)7Ux*)^uIapScpD-H$k8d zEjAh0TNF-zonO6$uYOK{0bh0u%$$GKNHpgR+Z3Ee=+3YlOv^go+fIgWu#9hyD%BDC z`+-G^mXvyVP@IeVj?EE%(|tdrtpn<r)VZe2NkuzO9vP9*>w?z1Z?JB3QKA3+tR?fP z{=kjn{X4BCJ12R4DHw-CrH+>z%zZeP7dawya17Ezg@>>Wi$YmQ?1V7yD=ClHs@7}C zA7G)dEo;d)Kmfm|q@6Ce^;+`L4sBaY4i7O5uNDT>@|Gj)Y)35?wYbj0c6`-ZazDr; zpLSYaB_f>i>KyX;h^hOf8eyG>GDbk&eU1nnY`vCz6!O@wtlw9yB_9RTb6N;^8Ki$y zFbPO^4;s1H4ys?x#|ID`suG<PjrF||ngD9ie{_VIoCiKFo3tKRt=E#@bM#9`;bXfn zj99MUl|$Z9KNTpK<vWIl;l;s7Lu6;fYk7!L$HFPE00j&=gFHu+3hMTe_}^Gd?gqIl z{SDB)q>4uS)svY`;P>Bo4*}<pFWW<4Uktx=4*_R$du<sf49^W01~iX95yL~FT3bfq zxumhy8ZYq!1&0f!3uXy&9-|z!#tEDubdey>d!}C}xK40`;AX))1a}GU6>Po6S<85w zOMKR*+&ZCO6Z$Qo-x0b&=nsYdm(VT@Jm!xQ>`H`O^{zVN)fy*g^+Fuzp^}d5YK@K~ ziEDMLKY=_4NP7i)3-%LC6dWp;DwruaMvxCllyj-zbirAI^94%;uMt%Ji+rkoffW+} z6TvNlI|X+O{!H-af}FQl{u6>P3my<WB>0BlUj+XqsQMfEKM=ZE@C!i?`w{7CF9+~! zp}Pq766_<W*8IVjEVMcfpi_m;6dWTsLGV(+>4LKaTdz4&f6Mx;7SwwLej+rNN0@%M zAeU80|6Gu7T9W>?pk8bKozQOz{*U0_1?vSr5ag3L<#36GsJ^KP<N^Wd?t*;WBz=J( z*I-Cz2)15>o+9!2f`x)t3AWxV5X|F*3xpoeAeDSQ-qrbo^0<`Z0#l5n!>-cM<ZrNM zJb2iU0c~9~j%d$Xv|KaBdT|78D{b*Dth0*33)U576m2ZPd*y?lFEY*zK52%nId$Ks zR&n@@k;Usy-S^R&;+tlyJ#}BxbH&dzxvLQRV1L0gc>5YFzwTFNVC~m35;E(afXyAN z?!2NN1%*XDj}q@LDlFPwaHuJw{KixFojR|$$Be?_3P`@Yc>4^*G`(B=T$6h@LLWTW z6jAjYB)&Q0ym{V~9y1GzyaUaP?zVGR1#(xODfcHj_ggc(Da==kRn(l=f>AR@or6`> zadml3p0Vlhd9b=;4$secq|wNYemc&#=%g_++Lw-4WjP2drcXYyc`vwA!JSI(X~Nr4 z@siVCSB<00cyPs&i}Idp#G!v05;H7HjE7C2en{_UHF^x#1v-<YWLN5cAGB@k7*F5I zF{KWb+Z+otdGhea%6}K562W8!ui<z%J!<-N1x+L63gN}ZIuBt>+t*n@Vm5!YeVv}* z!IG+)2RtG5paM&(N0{agNd!M+t2Y}xZr%lBNfp1y=U$GqAP#c3ZB!@N{Q!s%H7(6^ znU{gE8u8;<RFZ7C=D8Sw@BkeSH7R_)ipLip+JiEAKV;i;A)4X0Ffx4~!e01rA0snJ zvQxshFm)v57Q;t$#gCau@<#Y}`0|wLW0lN_5uAI?u_S&6AHg4+JVEl0ev)%(1Se!W zwI509;LIH6%_f=WCyPjOCzYMby-^XIbZwHi#*v)+^>e0K{28L!Q#x;R+wVu<Z3Lpc z2%Pl}emHYUrg#L>;LTF1$W$hNvP)&+Ca0(ZB&tlQpd?cY0_E`L%DFnz<vLRtGwnvA z%5;m$)PdEz2)-8HT(3&GOJ}HMhNDPO84jro;QGFosIAE1;Uj|1ix|_<8*X;Q2!Z(_ z52I+ZC{&CODI)Ak>=)tN?@9=a9ARC_h-hiBs2xbkV=8V!BAuUuVtMWLH>0A$&B@4q zRti2PML8XuoahER4?I2trEoJ27Q$Y}Yd0GKEIIR3`p6Cvn&8zEHPG*D*70OzdaF05 zqgB)d0?RRt%MnrzZ7}S-`OM3kgdpQuV_?^620w>~%@{%_<g=inP*#<AjmO8D%xWYk z7m44#@K`t|TnX<AJUXds62~pR0YzYeNM`euV-}83<R(ZmFLcV`CfUe<M@<Nl9HY|k z!&dcyM>4@~4WGJ|V|h97D4JkrUL2v)@Wb+k!lP({op}mE$_>Y_UGhX-GD?v|btbZI zh$2Y6+|Fe7smn<6_tP0F$QmTUqk;sH(xQT`rI0Vj&O)%W1lp8>IMy6(B8WtGlX6UM zE%6L(=ZVawLJC2ousfAwa%(AH(nl_p!ENWmFNdIZl*dk40?#Ls8u)$i+I?NR?!A@B zP!7*2=qY&ZzAl}e!N#wHuYi9R{zZ80zAl~Jz-He9zZrf%d>y=YUzbikXMeOqXSob@ zPi{6xw!^wMFKajmhWRCnu%}xg`oA@b<gkG>Yth1a0sBX@7A={Bm%dY`FD+g$JD~{8 z3}_y(K*2Taz~_cA4Iv$~%yZB@v^ViWI^TWo)2mOnb1%jxdMe!f{?F&vPU#f~>R%SA z%9RPdK>Sk?n^q<;<$WuGUjbT~(5K&kI4d1*-N&8ZtM57IGOfbRw9l_iaLCxOk{<y2 zr^?k@L`tHS?X?DYtvqj>l>&c>m!Bp2=3-*cv(mlRf&}XwBRhTUh1NBt=ULax9XJ+m z=0AkrorMVO!n^#+Mv|>N_^vjM{tdqQQ@n6OGG0)}REeK~{7Gr4cli?K$r9VD)AZ@% zCr-{d!{CCXF)8>d9XJ36ko>xYfHZ0zl#&IEtic%8V9Y?#sWA0)?Mn;&MRDQ6IkUY= z1T`2=V@F?dPCE8CIL?6|lzQVd{f3vPqd3l3qfWPt^qq7Zx}$#7Z`hs8X`k*qc-|@L zHC$eioKExcO2=@pB$AKs=V_;}zhe;Lh*q`}?oe~B&8gEeL5Awg!1!pxC<0$6gt5$^ z*0ou$$?!T4g2oIKbcz9SI;{}24m0U;2%$}P?Q|N~)12jQMS&-!T$aan5P7$t^B`zs zAkxc#IGx5j3#YtwSWt~Z9NQ5FujN%Dtn(mf%!E9yL29Ri>k;9Uw-xfLkVttE@LC=p zuXP>-jU~u*)*0G+JJOu;dY@yrkMek1t>yg`VanspAKlt;ywB?>fI<3)1vTF#?ar*< z_%--2rb+<HJq^AQngD9i)LZB<lRpEWa}2V6bg#lY+Yyg;=zhk7k-r^W-_~OpK_dx` zJj{SNT^7;NUB><n;6-F-#3QKWQ9jFb%KHq3aZOh{El<-Lo$=p?euKv=;B>FpG5<gG z85oADzwHv);{n$en@GIVW}k3wZ>7)BQ}Y?@A?YO1W8j?OIY2!Hk26u}F@UV!SG^<8 zM-}p?3;F~n3i6?h>2n0<3oaF0Em$F_*4M#*o6vU(-YfVsL7oSc`!~T41v!Ut{q}P~ z7y5<oz^er*@OKcpGZA!8q1F00XpU9#50dnYh0YUNt*jwkt%HNVSkf0t`U;`dIylm~ z9z=N?CH*#`cL>b|BBnnm^gf{<7n+MiOjkAw(5^btm_y!@`1b@)NIX}M$gkhIR^Q_R ze>6@?#;bR)fxSp0UhNPDJ(x7)5105c!aqUqGKnt`TCaC6l6bBxQNH@_2(UupH%UAW zM~iiDHQrDj=K_}N73?k8Pmps0(+3Nx@dkRV(7A$_3QiL&7F-}$D!4+Bi-VNAL2$F6 z8h41lOX&Lq9}=t)d|dE1g1-~|gW#V9)e<V?oe-L9p)9va@H0WJzlq}UL%JHDz;lG= zA|&J0S}bs|(8C3}D#`Rq1i2zfda59oDM`;4Tq>ycZXjNbQ{V=P=X^qbHC};goC3AJ zrbg1$ctyM#r@-Gzyw=zJL1?aVQl8e=sBsGVl*Dsglj-V78mPuAFh=6J+{tup(}@e7 zq|*dP3yu}!k|)!r3vw-#G+&S;s__cEUTCg`GJdllS3pVMC#d%b)CgTG_`D#OM#=w% zAn)hC%7zi9T*cq|JizUmiuVfY^P#WM@q$T$yr#3<G{I4VS%Nu&d4iJ!3j}8i775N5 zED_Y_O}WtP1S<qL3RVej72GX&uiyiM4++)??h~vPd|vP+!To}Df`<f;3ce%wp5Xg} zCj~ze<VBMG<QCNDTQt+#JN0hEzR~wgh71~<)K<NlCkln(Hatavf3HUSH8sZO%^q{J zaq_luSK}AMZYZjH5B(e$dJ<M_8l&9zoa*q|J*PT<a?hzwAG}a>&#ALd<<_TW=GG4x zom)S^ms>wPd-Z#}ja#69i`Z>8Mnyaht=s&%$MD;$?hvd{6&BrJ^tYm@lzUFad?0c@ zhLnFIca|+>Fr*C1c)ZaJ-4Fi#P41HYO`d}NO%dJ*$n({0MSbosayLeKP?u&c<89QX z1Eh?=cP55p<kk;HeFpg;VK*drApue%pzDjOc>_AVH<~=&H$X#TP$*jIQ7t<M(z>34 z9Mw9=8q4<Rw%Ij`s(AtVe_7B_5QN%1cF^1zXV%8N9AnUek?X=b*cBSv6W$!2^r<T~ z+IM*db$8Z>?JajwPueI-&VOXdUU0>OE1o>=q-hy0q}!=x>N%8=kJNmox_nbIj1Suf zAvGU%j4luNMRkh<4*1j+-=*7x3~+qd-W3N6NPj)(5^F@;c;2hy>Nc+en&)Al@g}7L z=ycH4{gh+S^qh-m>vDuGZGUJL6I>xVOrV0@()Ncq8>`P^Sla%Ox(@nxLhz~)P}^A) z;|{rs;QtoJJ_v={j?X4g+wt}zh-2R^Mu&PZY#SZ2zl9N^u1rOyITI-sZ=Y-xUXhBh zRd{wdbP@}ir{bZ)I|hC;9Z|M{KcX4_2xv3oRfOZ=!{;(`BuPpSe~hW47`zWYVjzCZ zY?7P}pu$UrChlnj*{2`}2$^q_1BKjVlPN+T1R2?tqH>tmXnzntr0L8n|AgeIe;^p* zLg23m@Ku1bk`U<7j33?zNv6IC6u_I)Bgo54d@Vp_n$JuXh*6o!1DV*)8fGfsb~cr1 zi_Y{gGrgfRy%@;E(m7L^GnVU2f7Y2!FjHS7s*+6g@N`xl=!jD0SUVG0qY)c1oiY@8 zo?`l8tLtzfjSMLBV#9GvoH{vb@1nH!?krn-*FkIV*qiE!lj=G*!s@CFbsg~~^cv!M zaQ#jV7yH8tvk?g`9(86}>|Y~~kOL1b-pXo!76h^t>v)1Xbq#DNVPFzGHhV-=Gq4pN z`aQ~{kXEWbE@?m^MUZ+SWIGRl@v?h@LA#n02=~I{>ks*qn*kq;meSl1!@L)SPy!!Y zlpc_QHHvWP0oez<!N#W|2*csq87qyn=;$7C-rk+h?ODwzyGOWiq#R4PGhIfY%A_4k z+&VHbN}cHj)$z(P69+lIT|uz(tFK#RfNTeak|RH(ifm^5DyIvD9x{TNeemsIfWeWA zQS;zU^$r~Z_V+aGQYR4R!#nkyy+O18oGL$d2@e~(1i#8Lif6THTt+B?hZHwJIVQK3 zLO%8avM3(>Fp3RB4-iV>ok~?Ex0b>>vowbilTqqX2K|7ba*S)8RrisjGp<BSm%~Ft zC>hm&ycAJkM#=SCU1`13`t+zKO;)fG3R)$TUSh|U=`30t*Cbqu@OO?H)jFLA(F@Oh zXRpnNXZvd4_ra@YcgWW6oAT=eMVahh%6tso1)0GPxozd+F=6LY7CW7?o`k2YFo(Rh z@=+EK3}vl^r>tk;DJ#+;udRGM!6(4;I;@Y&^$1tN?}UE{p3iBI!ao7;bewIpdj{eE zFfFFG6!z`3n9tkB!{+yZ!f>mLddJtUOGS8(3YTABm6({AoY>PEmtb{Bn3veIr&Z`x z$y98XU%v*)*6akUdjbQB31+{FY!*thB_uI*mf|T)um&es+0<t0GMqwCk{2ae3%%Bb z*_TW`|J)4&#^Qf<VdlA+*jD9opSGb&^pMGepkynXvt-eC{>;ks>FE<jCma6;n#sWK zDSI^&7C)eEOrJQVP5Q~UssPmh5@jZoj>?SJX<Eza(<fw3w6&Gr=Ibo2)ssIYjU%r< z&iz!J)3xK=a>j45aN_+AHoE-B`^P<1s;l)B{#|sA&g+1_YL9_*Et>^>24bguVQ*1x zCMYcV`dwcr53<152{Bl5@#~OU>m0YCpi}-_fwEfb95+MAa{Ez6aqBwG0_7~X1WKj? z@UdJEJljEB4zKedXn2sx%YZnYwgj|OUL9^cq7X-UyzSQVDiPLs5H$KC(>6zh4z5R< zQ{GX?qfHXZ<9Vay?Lb)PLC~m0VJ|o$bntehIpvjfv)e~`U!`+gkHWY<s~v;%4-4`> zgDwj~7TZDftNFN$fo?6)NsohXgeHJm^zR*ECVvFJp$v#)d+GSx?`+2kv_tnZ9_Rf1 zLS2;Oup9AMr#p-|?R2?B$FMYBf{-LcVmN%I&ao-_N1da+>rD43`<q7~;Lh|NmRKaS z&pBtDQRjF@+g$!x8_%dXUN|v%4p0Zj<4kO;&XHq^{G4})IfCN_uN36`z;q5=;?;uJ z3vLwLBDh`fF2M%`e<{f42+FAyRJtC}p9#(Tcc!03#GKV)FAfHL4@p0t2s%ONp+a9M z^k|{EPC$8l6eIr}L9X{GU7H|R2T9*f1ixBeMEQG2W5I;?{7hFm8X%7w<GDh{_`^i- zAC>rjNPLr^vf~8)V4P&+=lc+<eMH3fmiYdH10;Tg&^{vMXG{E4iJvK0DDfpiUoG@X zBHD9<#BUH<?TbJ?=qQ)-3Q>(GAm<X&F@hYgq~isX1cwN6E@OIzAXgAbj}uhm3iM2& z=L#+mTr5~7sKyugzfQ+^pYZ=outrdgGvt3>=$8clDEKGA{}Fs!uwJl1@RZ=^f<bbe zLj^ksb`s?BD%-7fjRS-pEI3ARoM66SfncGaT6l&WK7&#o*PDo3pe5ca$Y)d1y9HZ} zTf{#l@y`mX@rwA@ggzqp7r~DN)wl&8S9U2sT(E;+C&4~~dhZvPe95o(fN_zR^mxJ6 zd%w8$%XBqvf#pK46Xbd?({C5tC3v?Wmw}o7sNjo&uLyGKm+40YxdKeOQIKoEq=N*x z`b#=SkjuZM`w5N^)Zc^g37suCP4G%VHBW~G&L<2bB~PDkytktK*5@5At9CsE_4(%& zy0@S{4^xCz^E%|E3Y{i6N-#?>M=(!tl3;=0Y{4SI`GO^arGhI2R|-}LZWOE%+$y+D zaHrsI!FvU31osI(CitXat>E*5FA44!d_(ZC;8DSM1m6>UU+|>hM}oX4^LS_-r$^`r zL28MZ9xWIn7%SLUFkX=NP~=Y$RM#mUAEDC(M+xQ$P7<6V*m|De{xixg5}YqsB3LT8 zLU5&Eh2Ta(-L7c1ANPha(hrFPhYc8HD`nO1*L??Q(0~C+Hv8Ax+e^ju4z7g`_vF6Y zJ=ERW`zALQ1QoZNaYOMfGiYnC4mQ+aKd%gS^2)C5zPG^_*4QvNY;VKpu8j?aUAy`4 zg_Ypdu!;A~2`g;%iJ-3BKl#jwkgjS6W&fhO?vL~->Rxbv(XN6%#ocGzU%YF^))OY| z&RG|1JrM+Zb0Nd`He_TsHq6Z4+c0ukW5cXzYfqTj&z-Qc*TR<FbFj{*_CH=YvhECW z=0Of@&jpo1PFd@^K~5Otgh5VM$m!Z=UiX>z&)YS#&sE)L-G9}tSzDF;yKvN_9qQ5k z4D|>_J;G6scBn`DX=y2G16>#X`rs<Fw#&<1Xv;3S&RrByz+Rf%y|KXuJ9f_?Ognb4 zU}wSVoZ)`tioIr07xI)fHjFNN4v5{B1I^Tqb*`eWj7NW_p`K>g>Y_V9Tj<wx)Ys}7 zl@vA5m3sW($tO!j8&9kET-2d+o0&lK7W_P`B3l0Ft&L{zog8MSN6mt+pqZpxA^pJ( z({vn)mQvT|U8!P1&LMb$Mv%=Dauy(<kX0w7J49ViO^>>adfa@ff+m(1#UQTW!Y1AM zh|)Iccv6@X@MG_lCYrVpx{17B@WOl2@MBIQIfdjem}T-r1b4uP^0Y87BgqLUl(U7I ze<yyMNdD7Lc1E^P-YeLtyxNC;;V1bbQ7F%3^9ttWxfyzepS*`8=M6jc4<!5hNxp=J zr0;vT;KdR4R=@Ki&N4fgX~DN=M4<I8HvsBQgR&ox^-#}<)C96tccYRBN2vt)*$srA zF9k^#u?<EZ!B(A>xT_i9C^&5w-`y(T_&~n#%*VTSX#d<wlTrWzDnEiPV(E$&Dnw4L zQ11{}GuzagR7-&yzoG$T&@S>a7RfwfKaIg9@D4>0*DWr9hZ=`a0Pj?N;R#)R`5oKX z@(`SDCwl<Ob--^bylG4(6u~36ztgzzkOmK37GXX-k~s}47mHsnJZ!_|5EI~ojDO~w z-=msAF1H{l4WOJCzrEoRH;y;}9_-`5&fs!8DIK63S^C0*{Sx9}c(7jrb_UhWxKWjX zpmJo%f(LspkvHjJ&jmX|%CWvK6>{4V_~p@XIwxI?G?$^S#%<m8^?$p`3ya?N{~5k~ zuO82B%O_}78;r}nhAm!^-t=BQm5WPE!N=@VQ&I+5QxmMX)WnqJ<YeePTBau;{Q_|I z>jO>3z!q6`k~JA$V{wSo@hXe8Fu{7=NKH$ec&>Hds%(U_2P7gi7omg!iF5p6D=fj~ zf;Pm~fB4P1sog+goK0;O@~3b^(HYFOeZP8+HYy$LqwuXhKL2gEYpJbO_(}Q7tyroz z)rKvw%BHM<!lRW!hGufU{T8hsvJS*Q0m}T59vQXHz23UPbtBHK@2dA;xBjU2P*bv= zn!k(Q<6bQU67{S<24P3E@dk)%9T7Un`^ZpL6Z<D07se_i^0J7>8zs*JY_0d0i0A9| zb{6%k<+av(ya7J0t!bzEW+B2^?)z9kO#>gxWqE7|aXGxsgP`#lD&=KBoKE9~*eQ>D z#(0gVJl;QOd6fw3JO~=GxHb0Q3pmo)HmAH)hdkV=`Q`C0)hRC?@_0k3oetiP2&cRp z$Yc9hKi<IT`u!AP=1GF5`#sh!xQ{|R2I(Ib)O-~X&NV#kG#~HKaR^Fu{HNd>p$VWC z-CFOFf=-Kf@ab{&D&m~&nB?e}j!4t(z~N-Mepdi_hy7HbT$b+`9>!<r3_i1WMtlc& z%H#22nNE4}h)hJBcDfy!*657?aj#VeWjTU<!h66o={@Z0c5AzF`o;>6cF6l(^d7^R zznx*E3uX!C3QiK7Ay_21Nbowrb%GlNHw)e&xJz)a;A2G09Zw3@5;3S=B%(fbLcb>T zTSC7hbc4_z3jHskUFb*VSL-;C$A@Op=MX`Ag}y*&wf6<-!-VEj7WuP;R_oHBFB4j= zJAs}nv|5)2y-a9SL$!<N3ERiHiO6$?=oRcO*iVq>4$}t<s^bEBq|l=UrwHZ?&JyH2 z&-@DoIscNrR&bTz4T3iba=s)VA6SU@3qB~=;`kt)^9}iaFZjCPn}UB6JSNyA_?e)^ zenS2bK`s=KR>ud}OXxm=T2C-s=ybtMK`tdQzuuEnAhcc&<k|w$R|u91t`q!;AT2(T zkJk#K)*Jj%XufRD_@@M)73As!<6js2AHlZ;)gC&eHwxWi4;|v$VX|d@t^ZQzGp;du zyrA1ux*kuT3XN&L#rh;~l$S1EQmTw>EK0=39tRH?GAJc!$PlkLNi9U$zsX5Sg9i`x zdIt?2G@z~PlPfrgar=>?z}{nG^o3=&kZX?AoGSlx`l-rKvHK!578cz)J^iyrSgSY{ zadKN)NJCWE6AeepGCzJRx^qL+1uuL&q;zuB+;fbEsCjRlNGdC6I4>->zQFUFhNE52 zYKWS)zB#Dy!}cTVM~B?l?1}qB`;7XL?&llRE?wUoocUM8X9n#t);3#(A0TD4yQ0~h z`8GnCK`%6>O<LRRwsTl_8jurrsQsw=k*<nnmmRX6YfPK4zS(8Vacwcmo2|II_G#eB zGiXt+T^;Hj8hZTC*W}h0TWP1P55fwJ|Hs~Uz*kjd|KI!WOWsQ%2_zID-~&R(kU%IR z5(p5Al+Xl`)er~-3=opgTc{$-x+sVU2(csba|Kz~wUDf9*VUldS^HWj5fBj)cKv_9 zbI-hY69UHe-*uPyyt&^wGiT1!cgs0<=K6Z<#=dEuoW9|Q4lEdQFk8hR|J-OAmj0dZ z=;ww}Fj%c=RQ=*MUjdr!acG3WuI!)#{D^bF45q2lx)ouobqctk4qQQS1;uhMxIFiO zvN+$YAsI|?2X$tScplR7Rg7mHdEgCew)#hVgI=d_uZQzHpd`#=q}%f%!SsHvSlsF_ z=XLY$F35BbHIG9~Fzs1JF!f7|gK;`D76o64<HF#>h*=Ok52^EmdCs0^c(^>%eU-Tv zl!vDkEb$n{@V#JlPc?bQ@eE|xRz7;&|3Xa2R2*CzTxMsWH5|#Iyq!VJWI&iwdu&u; zoVo|11oLuLF(j&WwnS6yyw;uKeDD#ELyDQqGln@dawnlD)5gF@p7E3HpU7fA$qQ2C zG(X8!L}s!)m6w-=NZtq9B+o99c_dTnAjs~Fa+|%Pz+aP=KC=%Ctl5+tspK#sp(4Qd zviW!41Ye7KOv>Y5$Q&Ha)7W3(V{}~YR8Ys^>3+0U(`|-@aceWKTnKK7UCUxIh92ja zu)UE+81$AHh<K=2D(;LHDr!!(8CsZgXS8tV&S?Fk9jeh9vYVRdLL(fSQruZa23A*a z$Fr!qcKy^oY&C26cU43GaNPyPjt;vj4DXHTRTnW~=!;tDmf988U8u7oS0kXayhw$% zHCFYU9Gb8bjWhx;I8=G2ZBv&XFeAYQU_LuRGAVc#M=*RZ??CVgug=aWUY4P;BxJyQ z@m_bXk=Q(zmsRC>A2kaeT2I0pcxVk%h?`XO@GXF16&y);Sp|m^K32gr!e=TtjCVg} zb}WIlcIan$ZLsr<B_sdeSaLuhqh-up?5`H<Zp$1^R$CBTp<ER$3)L+<-Kv5R=CO<` z*SQ&B7x)>st>d*zIZpZ}@G?X!*d7U8cExcCM>?;ArrJ3j0ej0smkRlX`cDrk8V3%r zc0AFz4B92C`dD@9xV-!dUnBmH+(FBKSs#y{FyyWC{?qdEbE~~|R<db@n^wLLTS?(H z@K@B9S$EBkw`#^GCUt3Vh4_ZF>M+EbG0}>QPn+3ga2LclpvB~r<xN^zIrzp$emnI- zI5eA`lJNR>*0}76M$ch`t{v2KM89hX_8Ht4&}Z1NA;S!%*PAsi+kl^$$&Nj%x7FTA zj@J1F4evju&$Wa44~NuV{qP2MuYqHX{LHC2*D9fjRlaauE!C^Pgb{s)kGM9qXa9jC zhxPgSK92FD)T;pnsK0i29e(7XK7&U{SI!!jn^>(E(5V{jf?<(&%V($$MdQ;Y8JW5M zZLxBO%*;13Cg<l}i-Aczmugm3%6|LYU#r1A2lpSGss<ua9q|-8D=_-QNA~K~XZUc( zW?B<-$BpL<IKRf$dFP7i1a{bBI0Y3=R9k)J<&Vpsndd03|KPqO2lgEHtNQx1eIMX_ zx80}DR6w4%dPT%8_pAtB8FamSm34z_wK|vmDE+SfWBuASyLdK4->@HorLXMg5Fr5e z1p)i6AWS}WV+^d!r}`4{E#P@6`aZt4;Hg}$^Wvf}LA`_zpj<a(;(K1&>2i}10TqPb zZGlqG2fjLp!6P89g?7EDd(n9iG;Tme8#*F%a9kz6dm(^(vuLOJeuD^SxliCWP?yVo z(B<YJtn*OD1L$xc1LAaAHfX231GVkzG~0ojKfk<X2<tou8ZSW}ujkt7w8e;U$~)|k z$9n)>zgrO2c_`yO$Q$K|(7~IK=9G5^^4Lz+F9Kf6y8~h7Nr0zo52iJh`j6>5;hlVY z(a0IhAdYGDe}u<Su^W|ZhdbKKGyx<J-3#zKOgbBU&bi9^(LDq2Y=;{SVE?fl7(#zL zFpd0k89}2E^4?@XoGy##Xr9pp!g?T*x?L@g@>wR@;dgUTKvO?evF?uO3T^s1bi1re zz`5-@>c*(n2U7ok?5o8-3e<^Iz*XlRca9sY&vS@h*Q-y{6?W9pk@h){dQ1Kf_$>^M zH4#%<;YCCwHY4JpudT#)5bPq@M{uCva6#U#Q(mUv6v5eo3j}WvTr0R$@E*aPf)5Km zCiuMID}wt4-xd5&@H4@~f?o@MCm4o4V?CAf0@zCE%LMxhDt#c*(@6*6?GwQ)BL26t z1=ah1;Jbk|aGk_&6#7n~l^zi3yM%s3(u;-Wni}SRRp|XfD?K2}`$TA^2LxRvG|$t_ zr}Tcn2BeX$-g`&A_1;7sB)$s~@!bUbNIbfyf_^YuXwFme`vl_z+X!lX^QA&xF4$YJ zpWqn5YXma|Cky5X<_oSATrEg-3+r*a;N61T1*v~w`cr~02>wm*4Z(K=KNS2_@Q~nF zf+q#}SWLMl`x~g=Qx6w9N>I%wq-*`NvI~G#>nMO7g-_WBK=%;3x8V2bpQ$op`D)$) z7YV&okV+z^Zxz&f=LdwQvWV%=3BDqz_09)`{!oyrB=VmW#3fSMhxB{^snXqo)QFHj zhBTP!Nqpe091Cx%p-=9V*s)V}^~pUj-{C6hlaKDnr8fEa{@pdZ-MjmbyXzb^J(ujB zP;y-(qs%(Ga(BXRTc7+z1%2}HsE_fD;i%Rh9BHfc$;Dp}F{n=-a`H?bbjkzn-W^l! zKK|6#ueQe)C&yEOPkqy4Sln(`x%<R~u%<nt%Po}}RqpbqHbAQN^_Vcqh<0)u?P2Fn zYp~k@$JOM>t>2?gx$Edsg#QI@&o1-wphIuu)Zg7FDy1yw_`2O;1=J&}H%7~X(2h;i z9~XS*J3;+%s#AabGCIX<jYBL3rLxnCW1gU_*1WBNMwfS3)}I*DaS&r%K}~sHaCz=x z!m~^mGLZ@HATB_#I`WV<N5yz<B6x#70~Zv>O8^1I@gzpNJ!&<C>HVi-ao1Ln?%^!L z>+TB(=#RM$!U!G!j>W;4PS76@#&MzAmq_c6A3;nd{c$Fuuw76Jz_XCyEc0rHy$}ce z@k0oU{`fissXtBt+WO--J7y{%tdLdP=wcZ9V;X(T?tcC8DaOLt`r~A(Y<=(%{4be3 zn(#O@vWSqvw4U&`8ds6)NoM#{?<1)c#wzs;DjJC>$(w@vrpVXH+$$8vY?3pW+MC*8 zlF%RbQTpRckkjl-uA1rrr=P7qKEcfWsXtx}Zlyowe;Ahr!%S29<MoJ-(Q!(DycaQa z7xc&9qd!(>J=wR1v!e3bx)>6JYGMGBE2<+Ap>Cgrp^2Y?q4(jT0On=spg(~j-q0Wi z;cSru5i2<nQjQng9C*AvOPB+%6~#BI=;4Ho@E)jQL(hCye;bKS@WF`4GwN?+nExES zpG$ZQejp}L!YqT^u!MXa7jmK*Lw5Fxp++J9(8+eNd2E2K(dErlft2_Je7~xt<{I^F zp%SQ4rcS%O8A97A?J0O@u?f$@d(akD8VTM;HZZGbLlseh`UXN(R)Nx4QE3)~KeM<h ziv77ukRf3Qy$g>qC)kq;$}!v30&tdMh7uA~P<>=12|+Jx72faa_l(`9r!V*db9V$- zl;do@7ar4@z%pvUsKI`-CshTDz%`1~Uj=4nlw}MH7zBb5;e+>J%2SN0z!%O4gq7p@ zk9EZaVI}!@a#%cKLAv1im(`B{Hm7saV{v-@?=TBg+KlFhnFWgRx^)fH%JW$@xPi>e z$}aH8{P;l$jhYW`7O)8<wn@39U7JA-+sDE%;16|^P_N0&X&%_SxSN$cz_t(kY<2<F zJii9IqFrEy+JWZ3+&bWI`}f)eoOkR-;F}i}-bSxvAn*$p)jrGzYBQQ2Wip_FMp*>Z z3-P29Z6lC+x!YgVk2Mq67K#5k`#?R%DU4^Zl%M?Gx2;>k&PKoTH@^R~>;lfKi@pZ+ z!g%3A<9BUARL3qbAsSajT+XO3<g*mzdEtk^JLS#AZGUy_0vjOUfIK2VgkMHwyTEcN z6?yN=a#@}(m-nI0a&wXBL!5RxEgKO~Rr=k2D3+r@Q68_~THZ2*bshwbn<0;U+Uc~) zc7abF^1{Ka<=uj?&O;e@LSDKfLI?T4>#W}i$YVQMKdL0OygLwP9^NL=Q7=~=yTFa8 z6d(4qqYU~#!lV1yjmmuozUtToy49%qo$qHL#o3NCj()+^@wcNU!j54#vLNqGRRjCL zy3rBsyC+3bIbAKgKo1nwRI(7XJV%rYI^%ySyTA#5p&Z44`!(4Gcn+y#7pS!BjsM)O zY@t8KE|7>asCVuz*h?@?aFpO!!O4Qt1m_Db6}&<4R>7@;_XzG3d{~f=jx7Iq!B+(L z3-aNG=^qMyCU{uzYrzY40rV5jOTUy|peOqo7oR?Y0|nJQLHrn@`AA2;OhGkYK<5cP zTX2z}nm0&aFZ4!1s?{jx0m0pZj|lD+d`j>I!M_Qrd4v4#3w=<qRPY<Y(}Gvvq{Q-u z2;MGuhv0TWzE(;;uK6K8Dfm84n4~`z{8I3UAoYSw=LwkT5eyToC0Iu=POzn5JHZZu zR4h`C-d|;q&_e~OT4Z{TAeD!tmkCm7NP45-J%aZOQiaI$rvzUR{F@-3)Va<iO8O6= z_<6QvzVq4<CQs9^rGM{x>75hWcd4!&;S4L|up`umC7a(Hf3`;9p=Z+!S3$YabjZP8 z;RWU4P5T@lIr=K&FF5u%Zg`fId;1to9{HwV@t&exsY6Z_%q>RjfRj7oo`SzQ^?}r< z%Z&#{oobbUx4wfthi(W7Ka?JN@1ZL^FCH4_URLgz^v)^wL+_mOCcJ|$io1^)sh6h) zAN#IryVN?VFP4W*`pcp4@ZE?r4rK?&q<ZT=TW<9!DEFF=r}j6<^Oy3FN!OQMf7Jde zch5K8JHv()wT`nEywf+h|Jo@b*S!AQ@HQ<Th@2kve62n)AJ^{o=&5>BmWIX7KYh`~ z&)nU#$u;3Eo3;FN^OlE_E^d<%S~GsoGvx`_AAi3?jPJ2d?f<^!(wxVx?DlB?y2+W1 zUg??kw|TukKOEb)L+w5NPkfL(@SZP<2Csj)!_cFxHxGa9?r%np_<PFeO>>uy`Ovp# z?CJ$?U6at|aQaU3ct-OZzL?-!^6JDTTkp-fd*jq8>8;|jV`{uOb+_x<>Ce9Oan6di zBXjp1`eR<7*WEKO|3lAN?+wnL9kYD-oLx;<&%HWm;k>QZZ|0Ylw_33Kl}{G*xOVlz zgX<bDIy3V2MNi)pviSF%G8XUYbl>6|uYY^-(#9tj<DDplq#4h2@{ynUn4fYek8&xW z<*+=K%ko(d)`#_C{a8=dm-S}-*$%dc?PB}bPPUirX8YL>><{(}`-lC+{$jte|JaZ0 zPxdSOm;KECX1}xlISw2Tjtj?!<HYgexN-bAjvP;pE611P%<<;9bNo3EmN$R&^q;2R za`Mi$`Cq^B_K2f5ebxEM<A)j^e7dja^9>76e6k|=vkx!3`oQ1s{Q9kT-%fq!t=cbr zxPR+6rTZT4>V9=@jm9q@{-DnbuQbVfc5R>g|Jv`7FN!yRl(_ey_A4I0DEgB}|90?- zN5Xx@4_{rk$FA%SFFzRd#)O^mSBLJ{+2qOlCazp~kMDyk?wYl%<sBu@MQ<DNuNt?% zJFD*I4;Llews_oCw~n23^DTvMzPIl1@s2kS{%7IZu1O7lKmYX|ziSsWV9n!g%UAz3 zZSM`ucCA}=c>etBha8x>@}9D#D>Ba9xxAM9&1HiVW0w^d&Rkk2`{O0<9T`iyO*>gI z<AvJ`2EH)5Aa_oSf<^C#6rlMENi&}5<Rd@xF+b%{9_3O#%VBvem*uk_tPktO`mvs@ zFYC?vvmI;?+r{>=oop}L&Gxe&*dOc{_7D4s{l$J`|FIw0pX^umFZ-GO&3<S9a~wDx z92brc$BE;`apU-L966pGSB@{ond9yJ|KG&x1}6h{T>34J(0Loi7o;mW*aOu&2d1|# zQegR0mSdM^4k&9Pu2R+zb***>Jw}4>FIZ_AWOaMSk%ty(Yj!?kyg_Q)8Ly`u;sQoM zTE@W5#S*YJ{*K^vf22gXlT<!8&C@|W5r*Ne4<Kj+BCrUAw*m6ak4wmz;Zkcv9N+xN zaPd~t{^o}r4pD3ECa8GqTTXMBxz6N`Bi$Yw409^FCv1*ku4jr5KD;Xq<_40iiCQG0 zD4$K?(;kW(0WzHCQ}b3<mFC78v&R|cM&|sJqB4XkR}|j2R%sU_PsHpALYYHrAk%Hk z#G8j2$fJmx$b~G5Fo#Eg-ws|CPUF70=_TZ#89)4HlA9@DHrj%RK}~WKxA2jEvKV9} z*N2!}$UJi7$l8(nnR+`({9%mnJd#^U@`fa89|AkzA7u3LntUn3998oZ1+{cSG+SqK za>Ov>B4n(+76Dws>x^M(eSeQAZUBeZZP?pC-md6effB4&pm@$+fx?Y;yj+x`R;V~v zpoE@d1qxTXgjHOF5?*o9Nez&csaL2tNc^W9D^%2y^NQ<GYAQP-*lOu@DA8&i3SweR zvwt*8uie<_AKebOK6M=Bf}w76#Ix_<)ZLNT8##etZ}c%<JFw%aIgP;NiuT4r#M}vw zB_lX0$Gb32;~<z_`o^hmfH0qz>s<OaLyY3Wfs9??VaOqjR>7+X<gaD(*9tYvIx&~D z#JETMbCM?=KG>LPB*tC5jo|`#d~SkpqY92B+^vG62o&n5CU5rFsHic7_3$uO^0jA= zznnru-V2W!5-1@WUoJ>&j;2U!V!OmO@$;vHAqO4?E<!%M%b2T>c`rJ<N$u^cY7?X? z$66J_o5t0IbLZly`E%+1z#^1%#IszipmA?wfD-FhS+EkZO_x|T4^>Z1wiV*YQLdVY zCx<56n9k}}%@d_~a8QmpHo#+A5tuE4RSfj0zf0>Ot&w6KPAF8tp*Si>u`%%IaKdU8 zq*_2Z-7UdJ_>LVrTB~WovOx1z&R<3>;#?{RyTo?nb2=wI9w(q*y=6|GcW^QAuh;p3 z-@0FKVwGNe^W=7kRy4lNfNw$gT6IXk_u)HU-^Q99Z`F>s^5e0Z$C?*!g~VfLB8Df$ zCt34+NrT#0p*{xUVmnwf;;krOa~Su&bq&DRA#PkK-;&4DjQn0RGtRd#$KMKm0-<+r z+n9qD8q==CnvSYIL(lad`NL<-9G9DE8=xxKo@f!OYCEL9gGc&$`I6#0$9M3xPe^Q^ z*gm1NuVrp#cILRe%vQduVL57-i6tx(CS*>yB<b4Dmt^FQn>Ienmzz0ja^B?W(|n1S zCUr>Y_!}dCTt;^0@SJhuCr_JX{HUck%7kV&yISjQrccXEncJ!2avSu|2v%fh2X1Cm z=~MK2-BiFlhZuXAjp{t)n2<TgZb@2xu466D`Pa}m&0@c%U5@O#A6^(t!?hqHyoTX( zoEUgssN!1>KWx7vOr-ey%sKh-&cTh(ojze){<vSi3GCmtHYNdMgR`5u(cq9J!8_06 z`fT48@gZaox2KM+L*liEJoLN}rodC4eXXz`dBU|2#OvT-;R7gl0twu^TRY028vySt z_i2>-1rk}V2VR#u24S5CLE{D_@||DpbTAtcI?S}asC2NpMYcJ0S{6uWxjRwdTGWr_ z@-|PGOFg>IgP`$rm|^%B5U10qKXuCM)*#S-ywq!X_I;VoZ5W?I9<SiqX?eViJLL^@ z$csRlmRE?d&V!(F0`mA$sCGKI1rbhpV<C^@$@a2*EpI2n%)@y@cXbV{-_b%qGf2<p zFehIpyj(d0aoTCVVnm?(b9DTD;JZu{K=RS;hu2}!pMsBbRXf&?t_0rMjtP!_sf{$< zj+zKNhTW)#Mt+1i?Q~g0$FwxUA%uGoUxau}MI{eeRhdqC3y|0u@!Dy5n%3xy|2b@G z=oW`_(*)>ne4Ge43;%lF*9Ba#aj_8m&zk<Tf*on8e_Vjus?gKyPyRCk&a$70{SlV3 z&iYC4v*!ZNLTy=}KrBZr;4HOT#!2hvGds@0eQp~B*{3{*1#EEsTOe$}6hE-%;9mWP z;A?+z6L=Tozsfr=Eb6(T9nK+LASVIHp5yu){#RX?Je)s7){w~gz<s8h5z&CQ65m0P zx()L66igKyE;w2+Q*eqP&l}9gM-bu~!F7V01n&^sF8BvQ_5<@33%(|JMv(6<GhMwC z9)xy~2J(Fbu0x_GiI^mO-Gv?~c(q`<q)!oA?bCyND}`P!_<w>_W>M}NM3n!5(4Puj zD)iSv|5Ioe{*2@gCnCREcLUld@$CdJmGl%M+LJ0+`P<)%g<pL?f+sxo3;21SAgb{O za!!)=3C0O>zA?U?U{Aq5f+Gag_=0b|(31qIy<+|)f~y48I3r$-Gw@c4=XsU<j|)C0 z_>!O+U!=b&^xp+P68u8&uwc31cY?vDEnm$G$f3@R<uw&-Ef_CI)fv-=3sUh!`WiuM zFiER<0bEWR{Y)(z<8Kk%B3LM><_GwwXd~ZCf_lBon?kF3gY-{?R`Ue;EE#Y8orlJx z5#K`M+X$-lSBSqt=pllWiHN6WkLAx7Tq?Ly@J_*d1^-WQmte8r(}FJvzA9MR{#YvU z#|71S2iI&p|1eCdK6-va*{A3j#z$9L_ruekz3k_E?R6cKF0J;upXd3ffbuFyK})DJ z>SCeW^3+F*jAM(#ha5aybI8HJMAzS4P~ULTj(2fc!Kr%X-V^_bZaU<F-Hi`^w4h$O zb<%TaVCaeH$g)+(Qcmt@v-F{Rch@TqEAM<dw)nBru7bx-yK^2p9b7o%;JA>EyBq9& z;hXRJ|Lv5!u;=lS!~S|GGc@Gb;t;IYd1PPjAqU5Y`RZTY;DJM*bqXo>o;DASH-pQ= zw{Rtpxw$0TEcZNTl^Zi&jK-I_vB9JMDz{O@>L0*~FwW{1d|k+z@CPEFuk~^5)Ahn* z>t7t#q-C=fEiZ0UGyY7%2OS>k^t($(b*r2FO3(Sd8}{APzsJDh!AV0m4?j7w*XX5V z_Kbb&n#1YGGrpWqGV#8wX;b2|Uz~dF^iOg|=01`aG&5yZ_UsjNR?l5H?>F;XFZgu9 z>V=IK-M%PvamM2N7QeF?7Bl#F5YAW}y7=}*jTWt5`00Yy3w|?y;k?yzSIo(toiZzE z<|BC{b3e(scKVA`<Fcnsxi70^;+GSSXB<v{>zX}dmyYQ*`sB#X!;^*<5AHE=PydE} z=l6c4XWisc-F|oJW1T+ea3-N<{Kairv~1Zdu1Woi!(&g^`?&V=wH}CE6MkJtzhLUt z4xD-P^erdzzaDwC%aKM0J)fWW<g*VC{Qd2B-+Ak!{a@{KzuNfa)EDxez5lOY7PsHK z;_*)&9r#G`!##Gr{9xwJ&>c_Sx9FaMceT1BW?RJVbvGy6cGayn-*RAGr<?Cs+wk`f z{BFRS^3{89Sifri^|MwkTXEO&H<!gOo3-@gCF7TzEZACbRY8k_&;kR#1;SekP8N(` z^6`>cOJkS4x$Lgx%T~-<Isf|gtM=YdzIwo#2Y%P^_jj!Abn}6AH{WvAtqHf)-5hay z%(hl{47_X6Jx|^jx+8Pv%MbS0Rs8V4M?QIU#pCVwep!6~U-O<#eWCHo?pMFs_tE}$ z-g^7p1AqVQ!xNu)K5ule%aM^s^S{33<fEtU@yGR!|2H+C-6pTO=5GA4_N$1B2iR-w z<$uofCL+O7``2|$K@g^!MNDvc_&%Pcj3D|O?L6CAtC*_4(S8MU_`lK4Rf_?8O#~U; zo{<F8JAvSJ|BV^kZYuadZ9-%a@69dwMmw)VR)uf0n>;m`GyR5_N5Mg7o<_VEhL>3n zgK7&O!i$+XhyRB`@S!uAaW1bYA(I)LN5=W^VUwo`6|TOtt`hjf5>ETed<wXSY_nlY zQB>G$=*}brJBl3w>jFv)1D72DlN|t$3WV?x##~6Q8mK`?vY+&UtkIseTEx_mwIhm| zwwOdg$aE$Yq#-;Sp~yQC*bX1UtC9I?27=ci6q<v8P2$ALoS_){nrjh2pVhjQ%>B5K z*S4KRv%`LV+ezR{1&$55XpqoG6ft;I`dUF~z)FEG3>ZT&2mykdb<u9Z4Z<KM78kI} zA4=B-ktl5ksj2NC_(ZzS$TyRs9d;1jox}E_?$T52u#d>Oh4_{LzvI&pl-C3%SG0+& zM9j_b!7zX<FdA)Rcq=^ix8i{9P(hBPay}dj;2{o2<v2mQ!3W`~vU9**G6zwV-2l;C zh+-cbB{xrOPI8u!jJ>?bz*&n8h^k_msUmaLv60OYx}$ZYCd`Ul%BACI*OxbV%C*Mv z8hF@G2<a*~oWQc8DwpLKrCZ|o>U$MkVD~%(p(C}^|LCgd49r=V+C8j#8)p3{etZBA zXX^e%>EEmI)q#^(1`}b<PPDSSSuyd6R#Z1D$Cqr?h_~|Mt&4rsv=5KB>ieu{Uni>C z!_;p={3TX~kLj`T)+j3A+mREAvk@C_&GcE>J}WA|Ln|Dpm~Viz#Gbf0G|h_oU#0q0 z%6NN8i(cyRBNgpcd~!g(u>7B>YR5u^3TA>p*HnlWRlEPW8`<<~m9w<$j!!55Y+Chm z?_cJhY`-eSJ8#9EdUsyRwd46=aD;EUcZFwV@by8f+&5UOUBAUE4Lnc(kiDqR*1fA` zCl_?@x~FaAZ30~jcrU!x2ing`OtGEjO906*F4oGC&v{PQXL@yyCOm{WwQTwI5GD^j z%j1=p@^I&(++iHK0AD*TZ!jWs9hi0kJbXUZPNxk(gj1JVfN~3w$a0|)^Osv$_g)*f z8>SWlJ{`<<gb_6Apy9k_)=mer5aBG>7h@PcB(hxGkoe2xEve3fps^m6@-ZMzr}2K? zDX#~Vc2S6<JpO-l|M5Dl^B`#KfjshQr_)v=!YMD!A&*ycEw2z^od-eVX~?5KL^~ba zf(WO)bjag)vVJUI%iD=C^KjnKwMHiD&$MHZo;o5Y-*@P=8JbDbm|hHzIhq4dE(dys z%QOKb58Zxv9VWdKd|en2r{`4(XlFaJ9Q}fzza3E5`TrXfK^w<M42aWZ5gpUgcpIHj z9o>6B%+pJ>Frb#_h*Cjk{4b?@-{UV-_mUk!-8-u3aKV1<b}$<K@6f%oKImd`9I=3_ zj_$oN*x9F#!dKM2JC?sVul#<EJ1!@1>?`Wrcs~3ox^~V@>WKRY4ip?J$lG(Kj~C=0 zfHcn&#6^N@1lI}vP+j}$lJ9*Y&WRrjeny1u`jp^5i73~D&SSjV+X!@B(!j<N-&L@m z;O`~<Hleo)y<KRfYe#;iO9ehF=_QiRa|i47j^O)}PWvkBaYX1a%tzLvE|DiD)))CW zqlr9+5;=E>^#s*33+T2&CkS>H<hh3Yg9L{PQh&nuae}-akj@t5d7t!RL7uls-z<2K z;QfLx3ceypl>+%|3i<@&1ltHI+XwhM39b4cG<6HiuXY~*Ql~(g+6SVVzc{Dq{y?!R zUia5mLgTVlrOuY$3c*%Q->b9jbZPq}pK{;-ZPK-@OeLM|wj+u2{#aTZnqKO`molTu z{)s*QhwXo~^z%^c!ZanJw7CAsQe(il(&qI)IMQm&6Qv)u-gczrlvAZowXRhfRX?%x z<F31~@?v`t)?LgPUut!2S{gT|Y3bbq#+Nn=eW<i|sD&?@HY;sfzrld?(z~WW@0<56 z<hc$lGxAHVd5NXt=jE3^Q$L|}V(U(&AC4(GVzkCLI=jv;ZH%x9{7dNCBd!5WN}Eh+ zR%(R?L55MfJhW3O6gYkBmagqOx{;@JZRow9FFW0&yI~acdSFNE?howPfiU(>34P%S z!EOoV{Vor>f5YXSo_zlDyxnD&FY8gK`<+qkx<B<y-|o?4)4RX?>YVQDZd}zpv2cC& ze{3r3zJI~a?yY-2+P(Hyf9?L_{8zgdeDQAgnH@gsesIjO?k#?Eru*evtmMt#c$43~ zG$OfmR&;Xl;(E#Z7B@`3ZBmouD?7DF9&o5l^5ZKKlD~F$OiszVH2L&{mnY}E-80$x zv`=!aef^VN+Xf|HK4@ri;(H^KhxZ$uTz~!5$w7OsN#6L(xa6yLOh|tFx=G3Rg-l7l zW?6Rf*0-l6dqZX<_l?O*4lBz~_B=K#`PA?^$+tf@H+gT&{N$FGElA$kbzyQ`^rGac zPs0yhoE*7padNN2i=i|tP)M5bOeY`tnUDD?hw><w@>vecW4SD!^<aHiFV>IsWPMq0 z)}QTQd)O|vkL_f8*>1L<{lNZUzp#JUPwX%D8~cy_$o^!%vVYmn>~Hov`=8^$@!+^{ zd^k=VFOD0>kK@Sk<hXKtInEq!jDNSV?cvQh4?sVO_$QJ6B>0{L|6b(Vi~M^bXD{UK zh1|W6zZd1~MR|Ks?p~C?7xmbS`s_u$_M(1!QO~`oZxQNUg!&hu9YttQ5!zLR_7$O> zMQCpk+FgY97oi`D&>uzUmm>5}5&EeJ{Z)j1D?<Mjp&yITpGD}`BJ^(&`nd@GU4(uw zLjM<G9Eva=MHrVNj8759sR-j$gmEjv_!VIsi!h!=7}p|<ZxP112;*IZ@h`&oD<sW$ zrjw8S%*XtcLwS@-`7DR!v0RqVdaypM7wgA*vc9Z0>(6$uJ!}`-$9A&4Y&YA_eqevF zU)VqFC-xWnjs3@dWPh?>*}v>(_BZ>T{m*gWcyL@eJ{%{G7srj`$8qF%a$GsS9A}O< z$9?N%zq^O?U?{I!JQ-ju#&8_XSvXPOr6MXGpkL?nh2;+7>wM7kKgct>>8*=MsQ0r; zxjejlxjQgC<QgWpgLnaU4`4VgN5y!W5xhaY$>sI%t_TYKukEzUNSWSYD$UKCe<<|t z1cgfn3fG_d-44QIevYGAVv?Xc0Pk98n3teE)Zy>b2_Zbco3E2hfDai3c{Vu#WGEH) zDsc`If5XK6><XHR!)RMI-^fG|%T%bzf0JUqfe)o7Us1GBgi@QYsM(5wwq8;9lR~z) znC(>($fbxwB#=oFcsI}pMIJ>&qX8j&N!Waww|z9rhN6z|uu@%_)`V&AQX)5L3*o(r zP0l6xxu3j?B=218)IX7AFWKZ9Bzga1zDH49-5IivsRu|#<LM`acUd;s0;Cbngzn(0 zp>F?7BZ4MxGn0m4+a3_f&i{UUKpZ~Q(LK!aVrt0p325RW<6LVg&awxD-kK6#J$ry- zd4&G#hiLu7wRXRT*6us(0MzbBI<))s(Jbsy)`Q54XRz_L^-YgfYEv&Y@f;uIU5fM# z@J)<w`nCEdwbhAMZeDsD#p0X1v3Z7mXaA(TRU^NqJ4N!Kj8p`b<GmwI1c>WNYyux{ zeABbl%|ncD6TWQ~yNxkfHb)9^5j++?ux9w6ZzDe+Yb>?wiJ)@ram<B>^xj1B;5~R8 zm7^#&BBrWF_^Uk+9BeMThREB^C<6`V_4S(ptzuD)CfgWGS@rxWs#6e?j7}j3A0Jo& z$hiWb9BUx5hY;_C_wvib-=v`Fq$G#GN#tT#;2P8l{S>Q87A~HHlw+M47m5YkdMxY| z`|HOxe-7_RmDBwAL^CGrS#caC<usqe62rS#HUrHXLb1<En0Nr*!OGlQ?c}}y<rv5I zAo~E_hnN6?&Z{sE>=AJKdxN>z>pF*;gB5bzYv<?(P>wlP!iW3E2_vXXLUy05?Pc>h z`zi3HXyz6^KD8(pi=#`0{M}jMlZ;GaM`+g_=ac^i(~SK|Lo}uurkQ<Q2Vuf`5}(v2 zv3X(}t6{t~G2UtrZ)L_?jpMD1c&pCNc8P71`dYd1R;2F=D+g;O;uBgYwr`6ZtvWF0 zbyojwc(+cz+^n{vs$gRA8yeKkRmuS2kKzTr3WJLOb^M>rr1GN{EKJPJnA?8N#N50Y zhO%_z%>ZqGE(2x>e%7}_L(XAap_EP)r1;-&q>)8+Sl;A$nfY^bWMPLA#I8|2_B}?H z^O{cV$>5i#0@4-EMGY|jOr1P!W}YgFZL}AApi*|j86{~QbfD9(?9(7hAL6vh_|Kj= z1E>?hPqMvmHe6WukfhJ1f;bH+*8)dg!FVf2cP>8OBr{Jinc&FhJW1<Qd0WIgb=uwP z*z&34A`d;wOM$06`$B*l1Q69(_rO;(M(Ru=18~;5hu$cc!dWiN2>x=%AgtStpfLl9 zuQDJ`r)7iIVJ7uJr7zQ+<Vedx*xBCRD6l%~9_B;e<wIWWbXnA?I_2%JZ|lX_4*oB6 z|MAkV^B`z!7X2B+bUJM{!cKXgI^;zlP0K5E<U!DQ0P<3_5J%b;gq`wEKpw}F_2VOz zmbVjOX6L-2JA%p|tt5}+pWvN*e@CTeFoQUz(HFy``*Q%w4aTd9)v>*-0iRFu5LiFD z5_o4j&N%wze72XJkoS?2U>{gFI-+A*8ilyctB&m@3iGtJl3*XSJV%rYI^%yS+spI* zLUk|M5$ChL{JYjY)I!xb-)#58Z7+?Gk$uW@SOwdQePOmgJgsdn749qy<89>mDR!5x z%n$oOPr-hILj*?%juV_Hm?t<-aEah5!QTsR5WHRRZo&HnpAdYGi1X=7f*%rL$M^>k z_4`Wb6GHz>Xk~8!pRxylkGc-#^ASNS{Xb}>%>gRS4KQ8!rV7pzyk1bRd7yfTa_*A& zhXns9@kK&EE%YlwbN_kf`@7H|3w>DVV?vh;%|9&p`8Ff#A1U-jLN^jxeUk*~$wI4l z-+9twf1v;PZz1yhOys;I#tQlb69jqfWcn~7<V+AcOK^_h0>R~iYMha8lhEqX5cIu5 z?+|=S@L9n(1>Y6?SnxAJYOYuxy+@cDPtbZTLksp7Xl>`|DYX6;HPuo~pCm|a7wP$e z%LLUpBmNel)i{HuK8*az{sw$pXf@8DsUl;#8egEYyP@BxEo1ywf>fB1)@vE4Gb63+ zaKOrI8K^g7I<;3sz2;?r(8C0;6r^H}d}^%&keW5p3k6pQ-XKU-8`JL-q^^zh<APMS zk$y*z+BVWh1iursaN%P-)o;YQg4Dl}ZX+1Xag_T2#?uk?{8ayE(6}_6Wk(x7J+In( zm38Wp(51R|v^4u9U1{wDS2>ueY45#SyYSF+=iIT(-mR>~!O!P%hce%gf5#qWLr#7- ztN8fh;3XGr|D64KVb87$dv;+OT-dYg!k&GLZ|C`$Ck5Ea*5YXP!r=(%N-nTxSu3Oj z_AFZnN`2GkJbRW+B+mp~+5CH!simS`PfNrF*1)K1w%c<xFM+0aCBf@f7kIb3Aq(}| zdzLjtSnpXjh!QI7Sr$spYVBE;$`bs0mf<GKFmLfMo#728oyjXC_bl^oOBQ}B^4YtK zbq9mmvrO$ZHq@rDXPF||GisAEwUyX<MZrj>DBgb8plMA}XA}i9nW7>gz6MNdn;u3q zO=8@pOcA_ksew$27(oJg6v5jTd#kd|yc6bKY4~q(uy-HZL=w?L@*X9e7EL?#C6E!x zWLA5VajUY3cbKa7Cc}Bc2<P3LxsCPtl6kqb$t>&xvL-qwavuVB!ru#TejUY2bUVaH zeIkLHIuW1o!V@`?;G!vQHNr8Rb}rMXO;fAcZ!@B7n_C_B{P)}3oQ5`czyNnno10qa z64WBRf3#11(_SyV`Gxl{)5~&jgA-YC!?Gy-;iH;*eGXRRBt~;RPqa4W#b{Gr?TQO^ z>Nre!;KyHip;B*g_MT(IGHNyUuww%YYc_)94Y^|*Fi`3l09?HLVJ3Su4?~ph$j+(N z1wIIG)AbFk%Sl7jXn4IF8N+knu^Sm-r3#KDY_Ver6z{0UERcM3FpV*UHSlNcMz#@= ze2l<uWH>6vC|eT$lgf?8kq;*@1roC1xd)kcEQq<V!I+K;2pO)U*h#kBt8|oZCH5F& z5tOU;7}M!|$hQ?;<W#N^j&bm)3c)VcU(q^<s&A(fma5=b9F^0>>AkyHCTmoA@2+sf zwTDM%6POJ(#8J6BakSepN@CQX*N*bnGZtz53v%eSdqM{UopdJtz`yqWzw|Q%&ayvt z|E~?o?q%irunSm<a~H6I*4)u8uB*HUSSM}*h8@5r$9HJZ0sDW2_!@NJ?qA9#7TEpk z_Y32#hZc9kvo>sD{vE(%doR9JD>av`zP@jduX7r90v(|2ITdVBzk+SRD%4lC;Ou5p zwe{CowlYL?O1c(SvgvvL-9iHLs<fYhmD{T+^Va{u4q!aTIBi}&$GL&$g<fZ`L;4A8 zko5e%U<ae_&FOHaQmzG#P$~T=JDBr4uFv*uA<JoB;7dD{Uk{!<^rTbZSqJ-C0UHUz z)v<$}fq*-7CXoR+%MRv6x%I%ua$)N7mpcYw-F5_x+mYDZ5ut<GmH7UON>^on%L1RX zy*?D!L(1h-g>En3Mc1+sH0nWL<zqmcPOEGOOULy*3OsBF|2tY<Wjk0G(SM0Je_5*$ z;jCYdL*Du9VErI(vaS?l=-`%0^00S@e|%X_UB8`@Cjm&e3zdIQ3jxg_ePuh?nrhm? z{t3S7*ulC)R<!N1esm@9&VE_t=$G@^!LlLmBPGE;=q3>z)6z(XvavdLuo4t@Nfq)O zQ7Wj*A@NJu!5;M&s(Z<f_;0j>HAd6er#y%G?O=iX7~2l!ypB6>mym~j^;2wN9hko+ z-eDE&DVQqA#|Ng57R(f!BFOUu(-#S@5nLy@N$?KA?Sg+0<kKAU7Yn{7ct%j|>xA^$ z=p^dWFA{7>giWZOU=k60>SZ;=50rSNkO9qe2>F$51h_)zb%KS0$_9dTzJp8t=LBCS zLcZF^3GqCKkp73H9~An8(5Hn~wh-`hT`T#OEd<zH=ma9tI|{9AT%h}rMtcVejuO6f z!OFIfT#5fJ5%O;(@&d<xgdF~ph&*=_d5$Fd1mgtT2(}ZXmWX^Qf<pyI3XT;_7gX~C z{L_S{B8mBz2(B00D0sJ^nit?x^8)y=#6KpuSMV9Z7X;rHJRnHD4a=jkfT-pXkbg4L zR8SG41*xMV&1*0562U~l%C<IYuE?j?R8e_F`Z_^nQw6<9Xf@A3-yk&AS>)d;sOB5! zT|z%9_=F%8T;zXMP|ZKk?+Q(w7SoRis(A-m?JEYPl8foJ1!Dz$f>d@fy@Mb%T%@%v zE|~o&*L23y5%qk6@=MXU%v5dDs_uSXT{>0Urgb;|A8=LJw3gU=dW8%*_@!e{uVuTV z%Ps8Y^#`Em<jLrZ%T}KG;8cD=SMKdKH?nNax86hj&4#<5Iy5QlfkT;L*x#!)_U#%G zT^{;%*f-x@vFo&}u*>O-*6up(F4%QCI4ZW>-68DQ;;<nHGs1Ts`lQ2a4HD{ym4}vF z<sp9#I+PI<Rqj3!RPNpQ3HI``mgs%F>~HR{;urSN`cK$H>)*YHnqjo!e~l9Y_6xoP zZg#?fC#=d2_KPR^KQq15f>|RO?wEogOc~0U@A9l*!f%+M_weGXT5B6)^d4S$%;Dd| zt22@U_6sf=!IB@P1~a|+q`YqRVuIVvduLEQMFq8HIkJaWJ%%gp;YIbJV-GLhebbpi zXs3S<FCSt;cw)5o@ajo&4N0|!*L09-{m(pJ1F(e`Y!WKCl;ms}7u2>~w<!wN1x58m zcD3Bc-m;4yO;MqH8ILS#m#$E7+W~0E>;U-e4ZP;Ee6@ksGYC2M^Lm@)Bot<+o&*^_ zn9Q=DSB)>2Ci{6UW<o(4VtCz-;LT4Ve8@D$|1AT-DTt5c#m^>jA!aM<AlAR1*9&Cs z%a<i&KQDIP&u>dOYyD2}4_&{5;ebZpv6zQDdTCn$t`W{1y>JPM&?W-MH&D=iZ6>Ix z%>?J!&r4m6#7w}sRoP5XpB-hS5tv+Y53dD?Sp^@AH70YhhZn=V9f5AS5OAjoa_p2# z!7&@&vCS3L;f$`dht~*1jfTGna=B?2H{V*ILizTdTurtyIY+VNoQs9YtYHIu|AbQP zqkku`Jhc-T8P>ojR?RfqS*k7ItewCpf;a0IVJ9<tld&AZ*jyvFDR#tHpARVjOKU$% zr7E$0vHol-57?DshZe%uKzmhZjI50|_*b~_{7?Yzu)y%7XAi@bI<q6SIZ}J83?m8b z>mYm#1tJ+_zeY*7+nvwC7|ULYs@zNdYH%dG!eej=6I9S|VJHMyX*R?n$^~!V_^5!I zVRq<jTHQ7pA0y${yPcVO3ROE{;Dl6-H8b{hX148Ma^j#tR*liz$gEK}YkIs@6C0C_ zzN8(tB1__TMDTGDY*;qN-nI-YX9iedKI9yX*j62yW4E$km<lSYtOJGv+dklb5XNR4 z&i%{IZyiwW@hjQ`%Sg`o`H(6W;r!?AYUXbcb^C#Cs}L=g18^jEf^7g;ae>V_b}z#$ z_{oL=zy5;zru6OAtE;ai*DsBjK0Q0nH*tEd58qnx^^tF__|kHxkI&4@o1UB3>gV`8 zOHcm8$7PPom~5B{2nO|<o{JB2Trw!h2(IB76ygdEuH}v~YnyegdgeuDeY1fTYc_H< zG2<}p)${VX>;$~T`~r3YbkYSoK@$4b={Od2E#STI774g>@o}V?hj$Eg<l}g0=axWQ zpi}=kBHET;5Ao!oXL-EtQ=WYhFpLiouFhU%Um$~8e+_;*-HrhWJIfu5a{27fay{_6 z+%b+k2pT_RuQI+DtDP=43lYw8W1#mflyXCnrpv8tCs>D0@M&Q{Ew8ej!1<k(aEH7b z9eF6`VaSVOK%ADh8nm;1Ps4sfKK2V#?f&`|BCPWuXwWXw#}T1}Taf0Iw;%Grs^n3w zZZGEs^KjnKdC?hfXd$2(q_1oz_&aWFXCPiX%~y;F%+VYjKNy`+9Xr7q@Hyu+>ql1t z?`+2bN55bQ{q2D2&;Q>*&?ttyj~Ecg`q2>`)5F+<jMcFd#9^LZq9oV{Ezc39g3kC~ z%1*G|U#RXSJK}tHf?xYyWt^uK?F5d!%AD6(=j{>lu&;g&J3$x9!CdJhI8bn?;5CBd z1^EXc|IckFcwOYaPsFq4$AX^`p&vXY_)j9r_h3RYKAZ@;j?mhEaJkS|2&y#|;HO@K z{2L^Gv(Wbly+i0dLjPIlX9ZsqJRs>G5mDcN2%gJsP#1qrmfMub6BgTne4Ob-{H+y6 z3Hk)%1S{+DdrEvC!J&d91;+}e3sMWia`FV13a%8S`i1GY3qB!OEck(-*2lZ0y<R~o zY?xp5H*kv3)RZuuiW{QpUm&$Iq^TSsQY}MN{R;d<=+6bIr(t@Tpvis&O<f8xN-##S zwP3uUw!5SWJxcIuLF#cRXPO}IGtQ?c|4RBJhKO@0uV>7!|E65_R?R)ss;wtq&&h!% z+t(BOyBGh>d#GL5LyUWft%<v^hnT&m*#F`lVx60gP<x17*h6q}vU4A`i8vABQeYU5 z@KDKNA2r_7p0$r!2SmcevXa*jmuEOAx4KWLu#ehWrs{pvdNPMMhz0`eqo$0^Zci{N zw}+1>FyW|OIK6IV=$3udnzHbU`>2&6%6#>l`>2)Zd*6_$kZQh0G66nhoS&QkQrS^d z;v6PUV&dy;$D8o>K5F|j5tMz@-k_Lo;MG2AifVu?%CMp+zC962<BFp0Ck5k*+Ek4O zY8qG+afk$rEQ;W=Q0}9q2(AeYS%?_(tvx7+Ru1l?_BJb}_EGD|w0BsMOtSJB%qF>_ z!rn(srE+nny^oqA|3s1(S)2I{l8-U3+LDbcJ3~HYs@hgf?W5M3sfw&(A2kd6sL=>! zj=!*v8lDr^_CVh$w-m<>@E7(`yReVig?-e{vI!lq|0VvTlUA>${TJAT>>WCAa;%0; zsN`qeNA0|(9lKg;gEhxiYkm_*_4io&Pu*IL_Bb^1$8N25mcb`rC!*CTU<!k2h#!L& z!;jV}{j{Ya7xq!(Dd9)&qo#-cf?dd|8)ui|G^E^5u?sm*($uffwS{-;+j~IY?nNB+ zHsqlvodVA~;09B<C=gLv2x4?_Fv7a7Wb{GzGg4=Aq*b;H^+UN7&T`LZ7n+I0SHYv5 zE-M=mI?S}*sC0GqQR{~S*GjqPvkNVT{liDW;&fS+?Lu$Y57=?2yVU(x*)FsV@@%!0 zEl|s=Y!~{%A@6*4p+7)giWUNqI=BU4=lGt2JUzZFU)OJ^<l(~=-7!@Ds1^d6LHf#e zp^s3h8Hm?T^A#h)X%`w6Sv|YZTJZU_Frc1SB?vp)f%k{}_OkQYg&u;uj~wz?KRTjg zS{iplVObr!Pz>g2YbC)xXnBq(6?De`Qg)%|{e|jYvLnuC7vg{R*Z2(^zEH(J<vFZ^ zUC6#2s<?i{sci=T+wecdCe)SrVWa3N*iUeX;0VESg4Dr~KTmL;;1a=Ag1;B!b0+zf zjRAPK(Dw^IA^02-=hK%2KP19-^A95OeI@h>q5mbcvIT*!COVLO)O9eQPv}lU_ZGac zj~e<1{mgSAk=J74Fd|N#6NJtZoFlkEaJirwXXM)?^j5)p1$PKOCHSo1n}Y8Oek}Nz zAT?L4&uKw5o}e%0_yJo8wh^qnwnN$cz(+M1`PEt>;C!K%30^O#UcE;8Z9-EY#(a8B z$Kyg53F<W*7xq!J`{|e1M=ft=Mz!}*>(a6M`>6T&%BaJZRu5l4<1T8e9&+Dwn>To! zwKnKx&rQbBI}6;K-P;;wS)1KkjavpbvW`WCU6#7`3wP9;r`!cAvi_2_H|tcEvCVzd z42kM+ec7GIgSK@|UsbmA_`LL$W%qpZWO_l_9mh_mdyYkg8f~YQ{P~y>*`(yXQ%2f* zr`*PSr@Y1QoeIynE$iK^bzk%_!yrAX1M;1G@st56h2Ok*%AK~PZ0FGzPkD`{W%qq+ zc6$;0p^(-OQo^HNKjmI)-n97(w+UG}uS4GZSq-xw$3RWZ6{rs+`EDrNt|YC21W3v; zx2-I@4`qa>Kb7_Rsi?KfvRpTt>)tyRRnRwU-zj(Tjah%oLK!Zzn}Hgc$D%AqKpmnC zNH{h$UFHAw)^yixE6VOTX?A-v-Gv%Gvd^>Gy4}4o=(hUi|JA#`-i`HwH(R&3Zw=ZI zebfq0D6wvHZyI{aec7;6?l7}^@KMuUP<GeRpv}ENM?5@m7t+FzcE$3t!lPz)#?~pR zks6aa5}^^N-1Dz5EBv-zs@c5<!b7rNLp@tHY|;=lIx#lgYG`)<VxRXRS(Q`t5^j3z z`yRKMgMl_L!=JVofro%jhqV}g<p0_Jo;s`pza!=Hj0Tf+EyDi2qzXyg%0pTP5@7*I zC3u5)KLzUmUqJ{g!1&H)QRg1hyGpUR|Ewb2%~U=&&o4o35S9(3g5BFec#_CF#bu5H zVI9N4J3S|nF^oXCy$*+5Le6XzZ-knqNH*<z;}?-YXX0)d$6kAIq(vkwm}GB~e4!)k zI+A@z9wf;{Ze}Wr8H!MNGE@7JWD9GQksQn_n#02R7-JKBLn54)UYmTGB(K0WSxOT3 zu!cE=IccU3_xZ^Ll6-uyQ+tubg<D7(3?d!_*%hA7obXSGi{yRI^+=0+8G$VbM0G)+ z=BGG30ZK9rKtPRrW_$87(*d37U(B=-F)EV}H}H}v4S`~KbK)eODFvyjqzMQ_eSt)k zX=NbOm57UCizl%%s-*2Y(~Hd11&J!tVU?*C+mwsAbY_~VGDNtglz0T9I9*hR!Jz2O znr5?z=rAjyLBz=5n&vPw3M1)~9j5STV*P*Gd8(#a%k060C73NihF%=P?V%iNMJj#$ zou7G$;5Jjk%#p#P!>&?0T}A4Rrf_;0iR?A?HdD2noOa{Y!x49rVrPICf9!=mBB!}! zEG$Ma;NlU)f`d)~&Km>?)8JjkO#{au%W`;z5#@KNBUpzLC;-W6#P;yP2nRQHZ)2Df z&8EHE7*6ri;oBHq3eUX6mHsrZ`o701KOMe})llaI@FLpp?8*-#aQ;Hn2qJk<><Cta zVa_<4_HJW1-A{*aV|Xn*^Rk$m?beP!l;71u2s=Iz*oR;pt<(5#WZ$7P2oJ&|c`PL@ zfJdpLgFDpU#_)~smNCoR#sG@};qtG^U>kCkps_eAmxLqhflajt?4%%!LvT|sLdvno zG4Lpz5a3|7l%rV6fegY!@D>LMs2oR$*-W*VL`89+?5HaV92XReG4&VA8DiHpjS%4Q z7n_1;4q%0{7?}!h8p8;ZJ}?+z<rp~_9_fTN@W?;HpU$DRD>j<IQL`&1|9X28%tEwj zj3o#Mdd$y3!HX2fFv5Cx$ly#tNVzl|?NU_pH-fCxd~$F=WL$*9IWA0{W^)j>z}uzx zyYNaxIl8dW=0GR=yO11?u@w%K;^$y0A1*NZguCJGQv4h|YtDklznZ|mP=yg;`W%%$ zoFM6e5n(3&{gI!*w*f1RNGytU!3PvF_CG{L;lPQ~13m>_4>^bRdH5IMUxR-WJ{E#~ z@Y?;@e4HU1VGcZp_Fee*;o~5?r9*yo`SeJ0h&hNK!+!=(<9UKZUUm8Om=;0?hwC8x zQTX<d#jBon)#cOU%YozI6vE#P{|NkJ@Y+?EkNo;(h~X6&T$gbYp{mw#rHsp)Jbuu) z{H)ZO)5hmdo<1$lfQicf^`ACAd**~pV|>@KIl0p(<&K-`n>aZ;Gtbv@PM1!t#!i|x z)0eN-zu*l(U#6^m8JiT}+1EZHvHgssu^E%6jfJS}$r<e?jUV3*Y?n-f8RZhLWN8P! z_zqH%U0%iX$x^z#bG|$i{e*qto;A#F_{@<t!)HZyOKH}?n&`6{_%7x*kuK_%kkGhs zW4Fs%<Mz2tYybRi2=7$klk?*dUhR%Y{I@E6gNi?;!mCvH^!#}1YM&JoKek=_cI_HB zz!#QO{%*)qRes9S`5FEK`D^;DtK%WU3iY)~Y|uWTouf8BC2c@8rJbJN&6*n@o7ni` z2JM=(Z)~Oetd{ZC=<^F<vrnmJ+fDicLRclX%F6ax?H=oaN}RUVg75kT@m<<0id9;+ zAU>{joFXUMiA@_SD&MAB<HJ)fH`c=C49^|k)8H`$_PLY}JS5@JvpqwJJm5=2+0)16 z8&z$emyvy4FTFI(ZxW^1uZrbuIlc4pjeoBZlyAk#lRVpq<*w7@t4ypjV%YwkN#|PX zmYbP3GdthF27|*#rtmL$_8*o1CtXDRcu1?X8m^*oG$VWZ`0IxAt53>IYIho|;{P6( z;%AHsRPiOLU-`PXIGzo~@dTN2_WpQ1BYJ@0huAo=3@<<4F%VeymYE$tAv0s<q-!Tn zn>gK&@VJbO+{{_dRE&p{9G5$8+N4aJeFOQ=j>(=pEmKR(%g;69$4{R+H4{S?kL8E) z<MQ)!CuhveuP6nJPN!z-c2@`0qbnHoJzG+xOt_vX*BD1$pVR{$ureRh=&EbiYztoI zahA(RYwh&i9dAS&(dzEyRb44ZT6ct<cBQ1cxVVC^4&tHW^xMaHv*DEYDhet?oOU`b z9T7Urv=<;`ny!>1Z7jmha`#_^r*iPIT;4k}3voKUQ(os#!|)+aJDo<2g;QSdMtEFC z9OWU4U*1xLbshwbQAjLyMCc&znVs@RKwgRT3-3C0{dn`G^B`!{MdA%r^z&MzIpxJQ zw%f=0MZjx$g$Pq#0z6$I693{TfI<2P1U26{G<F8!w9|Zh5P`XuqvKx#-({KrYSFw= z)nO(_LEXaVBkfo}x~Jit?P%LL(9h9G)9s+PM$dT!jedxDg8^~6ETW^kj1OVV<P9gL zA?#eXJXBeg>68}*1{CdgTAn{b0?znf!Vc*y&r<)GK#Zj<`A(WMI^ZldGo3VNR=`<m zW;p36)hFx^+p)(3&N3>~9EE_hkejw*_6g7JemkO8rjIvlJEHSc4PKsWX!l|5Xg8x& zB4rWr*Hvp`l=>1(Z6&^gARltb*HbW6aJb-TL7srgmo1nlxI}Q3pt2i+Z-davZV38r zq3;)bT<{-)UkmcQMf=Ua1YPJ07bdlr2syQct}Aq7p_>cM`AL4h!c6{Tp?eFh-U>(h zaG}!$rwHG4q2~&%_7H;{KHN~Q(lmpnPMP!;;a6*=KtCX~(ocit0}$)+wDA9pi1zIx zLeu-9#D6JB-7Dk2721VAAo;mwlJT{PkgLAS1XOF&5Z_kfI}871!aqRbhYOCD_;EsK z6CpoG;ulN&D#71L{05=#AcFrMiGN7q|17vy;-3>5!>IUq?qNPPPl5cOkgg}F9^ye? zB6Ol4&vWGKCfG}`uiy|tHDAFuUg$}J(*&vJpqzPvyoQioEqJ5gCc&+OI|LsTd_?du z!Dj_u6ns<gUBQEbrGnoGYWt|kaYj9Y1eF~ebZw#4{07}j=tMy^zY(w2LI8V8{7}J> zf@1~MJO^Kn(0s9;<!Za?VxhHN^|wN+c@DnKLi53%`L+wvl0f=NLEi6>E)jf7@I65_ zzma}i=+lBU5-?vB=M7M=32Y$r#exZfNrE&#kiW0sV8LO6v_~*~vY?vhp!0>kUQq4X zh<I89$j|#M;(dY-2tFZLEU4x=_>}DmctGMm6#QK9kRYuXl<UF;lNcgcL(nJ4FAOnV zf7hy=(6nqYK2>m#;7~ytJeZy(I9)JLkR}kOuNJ&X@D@QDL72W%@L|C}3DP#g^j8Gm z5PV0F))J;475rAPT#z;srq>d@NU)(GO({%oFL<fo<$^S>FnyTdRf4|}RM$gK;QWHW zo8m{ZLSE&Wzw&t~M&c`<k9-mzC)iR@T}L6O^7*NUr1uu=Cpb_rO>l(ZXhGg{vtH?f z69jVv^9AP!E)XmbTrQ}t!;rH^=#7F~1PcZ47ThklQ}7`{-kY*M#ez=@J}>yPV2R*< z!M6nu2!0`WSn#Oe3Bgl>X9Rfz#B$yGd>|MjSWl43TJre>;{;m@wiTp8lYHF-dkFRx z>?b%-FimiTV7lN0!7RaS!OG`TYOq<}0>M>+YXsK{t{2=WxJ9r~@NU6}1osGHQIwJ& z!~Tj4TtEG{g_ZaE?Bw$$_~`6oVnRZvPKfK&rArqT?Mn|`+-G2_X+p3#(`ab~D4Z)J zRDypEvwrX5+Joytmp^n{>UAZd$G+>}T4x;d2ARjaZr4^=|6hjnf6d5K-UWDPAS^m{ z#3^s~TV-{=?RCmK|3F#2Z(UpQuD}9sM{kn1gAw1S<Z--Xv9QFEFB>@)yjfPa966q- zoWtzy+R&!NTx;F5o^KkoMLFRyUlw>v%IdO&1+SGgI7-<lBOK|mQkS-rX?B0j=9<5+ ztlm*_*tIabzq8NWXx)~PI^^Jsp=rH`_8!*zBD@0;Ut)GoIPJPD@wDp-v?b{EvY4-% zoN`@O=ix!SbUfqC?u@Nl5|kRA+7bLor(N@3M@i9m`=RM6*ZhZ0yK=CLY4P^hJ7V7| zi#;)-U{kEw{qucpdH=y~jce<M&%5&)p}O2{E&-aH)cX*Ka*hgUya%xhQFsrc6Da#D zS{+lE;0n5d2`<lMCR~lMrT3)dOtaSUp!cNY+X8qcLQQF}rzVmDuSB>(;jIbvT9)Zu zPRi?6H#=^3Yn9J^4<du&5O&L+lnD%1*pm_whO3KdW&kZO`0dvtx~K^I^$0s0qW*_n zRXkpgxB~q0dc;`dqBFyA*NfL9Uc#|0d>H-&cs+t7+Y!bSquGb#Qj+tK%}iyv{|6u5 z8wax=Npghqg%5LZ5snSP7p@+_6xokteWng!Doq#R7yHSZLDt}aG>4}%uYDI$5nmz5 zKWxM&2$UcY*#&{9avaJ*Nv3WH<iMNhkuJ%^ov2l&Gz8Svlq%CoP<W(cNoDZG@a8nT z6rJI(%)pbZ%5X+y0N1bX)dS}n1ZFgPL%(YfX}@a_rQbEE>EuMa>D=)8U4u|N>?3l{ zAfEHl@8S`HCGj)BaXUx|1*c`?EZT;!-`T7~$$AnV7EVHhVx3Wum17EvF<JS<iXed( zE6d2O$V$x#?Dz!M&+%2Ia74{fsbeVUAbb#Z$%N&eK|Vp?6IBFW43!a|0lN?w@rQx1 zC5{1)a%=&oAim;6xDwulxu-c9){d;q2dg!cN5dmXuzPW4eq~M<lv)8vGjy_g7vmZw zX|zsu81`8XQ_q*%QDvL8>ME112RvFwm;&#DJzE#buy!0DsS{xuyvxYX*Q}2EkyHAC zU~|q?oagD29$=jakE#$@unTMR0`hroQmza~P9alS@BLB#LI|^hz_}QLxwziN%{#Y| zWClbnkSLW42hEL)Iw}?c`y{|Wh3z<i+BHXjL%{z8`;WcBj=K?l6Z~%Y$KaoUFNW9d z$L3>q_JC(UvRAgk-wXdVJntp7t1chAkIiO})Pkqpr!_nmcBa5<S6x2xJNt*L=Knj4 z&3f0+@zZlNuN^-%>Ds)>Qzyh{ad!9@jpCt<H;z+bKDSeF#!<7!g0b0(jJIaQ!`SSz zvIkffjZT13^aGRT-j$06AY5kB=6aV3|6RpzT14xwHEZ;50=#!Enh4%X>DM9ZBbCLO zeD|UOtpXYEQ-a@-LTHb+h1`uoW{tM8M_VmN4@T@OCat!+7sa=xN%s|#Cfx@YiAmR= zs7$)Am`jD#Y7`GGnrYhR$;3{|svEHF_H<fwog15;$FA$R1Gf#373`(|nKs_D?YaS* zYlZ56kNsB7HL>`@09*CurUB8k(f*H_b9rrd?qM3IPgHXLslt}qD}pEKA8*T5dzfCZ z<=P#sFAYD%mg~Gu=&NfA#B13sX)TBpbqcLmZg-G;aM5lR?s54|vO0)>y1;Ln8;r11 z-fK8TAJUl|Y3YtIlb=L|BEhSjmN6C)&T<R!jFSdFmg|LQJBZWabshwbm!U)RF(6K- zT?g7J?`S<+*G74v@LJwdgmoSSjl-1Zh|s}BNOQ`g!HQ2#l*j*!mbV^Zod-c99GSjy zMCjmJq&el4)VJG5c@gkhULnHF!@n3^IVw*bwss8CKOm_29z~@Bx4JeD`S!r0TXO)) zwSvv3I=0*i;NyLmcB~)W)9}uA=(W3S2d0j{9W@bl47;%f^4>t4cDhMK$Fww(YE{pc zI{}3?*1~{Vo+C;Ho$(iJxdB@)&lweMxsJU;owp3=#bEfKV$0<`qOGxy;6TBlg4YO+ z7vy9m|7=127fG)XTqn3m@D9Q4f`1U?+-JUG!Pf-O2=WPr>C`MyH>z!07m>y!zgW^+ z2^}wV7ojf~y06emM+A9S3QhGE<!1^#Md*B?=L)UX4x&7zlLi0HlCErApzjcR2NCsr zkQgSmE#!Mv(qEGJ{X&001pg-ze_Z0J^I`k=$D^DOB4};f;`yKP%7%-0ZNp8Jc<#le z@>2xl^V~q>d65_;h*PklV+Gp^@|;D!&VoE2kxmgz738xi<F6LX5S%DDRgmXB@+}oy zDfm0Vn*=usZV~)H!Ciun3O*tDlHjX?ZwkIE_z%G^1&<1z5LEliqFz*Cvwmv60r`wb znz}t=V?nA@Nw*bD5>)dI@l^gXUClF~dRG8AO5(2(%n-~K<csRer`{O=E)`nMGte7^ zzFknwH^ftA$b5SQpAak-<n@s0YQ6#A6I#!^&xKacu1Ht&4)~qKt9J)LhjKmvYYFm; zH>9b3B<lTF)%*k9QQ|Kb>?uekCHY4P>it-+6?&53b%MEqvjnNuWd7BHHwoS%c)Or} z*We+c_Xtww$^6>(`iju634SE_4?${0$<GTVF_`n_f{pOM)<*cfHnPrYn_pjIhfeJ~ zcT7s?d=48~Qs+yNLihbPvJ*Vd<5oUNK})>XQrm!iEd1!67A4`wzU%1DipUB&YI>e7 zt8*eI-5vMjuH;?4vj%5@KIx4!FTXN9q9pjL$g7?$t9!D}P<N7fc}42zz99$Kgs1l& z*E^#(R_0o5OV*w?a&9_pq?vKoG}@B#%pp%u@IiNQ(AS=z+BpqNuGzKrw3&X>X*10g z$JF2;ri{#qDv2278I>7Z)WGw#C%Dc?S5n_mgGX&idG?SeI2bWkjx61G^og9l%KoU@ z;x^X;P25KtAHtJxI@jf(tX6EdWd?7<DaSIs14y}oW|MMx)OBMh!^#GQEk0NC%(R3t z$_8b*J=Zda{arN6>uG}|u|Xj%nv8DGRRq(^v#sTIzrze}cW;%?O?y@l&-d<Y%vOjB zc0Y`xr$1s`Zz*e%bqW!-+3BqeJBUTODi}h=q3a#S;@aT@AvPyopy<pnK027aXbSSd zhsBWWO_I-bVZSBWhvZn2S(Kn`PV+#9k7lZ}Ic-L$#xsnAr!z;b1aHJg2uAR#7ugbr zsKYqC1rIt6hj3nJ%uH7ABz(wn9Bh(-DlI+Em8Di-#i?6@c;E{XmZ1K)!4Hl!!onEv z#GCf|&=%qSqkU@8C&om7UJPXiJ}!o?iZ=t_FRT6{Q>XpLZ|Ol(E7^I_HaSzvx5m`n zg0V14CCEXIgOSD_&WDFx=j_y>fz+YSR8DBvX_(4kLeQDYfrMI}z=HV|v*FvE47?c& z!Zs@Hn{8t-9-j6R0P`>fb{ht5;lmM9t{#rD@X-GgIN(l0#T?MQufnoE9F-#*u3&I1 z$aYWY0FaZ3Qho5P;S=Dyz;kM*!fRJuK0YQ)fzO6#b@*7Y3Vt>G&F~xG?}Wb>eh2(c z_&xAW=d7Q)T~b@x{@T}Xb;c?c{1<<`Mm^lL^5d;=9~9NAOfIfEvuI*#MW_{3i$=%A zDvFBrGm8c!f>>e-(VCy|x_EStjJTZkBjQHHZHgOVHS)P!xb3Z|S#>T``X0S48w6!+ z7krD#Ubo~|`l>ZHKV-#{e30uuVByk?&S;LmA=EYdpR+qxYqe6BvzH>-ALjZW*IDx< zqaFX?y&|HPyH{8%U3_Wu$Lpy(JC_Xo8kZb3KwqE-)N=*<Cy57#vrpc(9*uljUssur zX>={%af$w3zP8}0T(0xPt1lNlg%6<IQ5?7H!VuO$-pSdwv5L)bM;b-}66=8E;}hkv zUW4Fu9t4ezXyCgHh|_7;g4SUs<)YysjtCv3-oaV!a$Mu1kjQdbo-TJ9!a5Iv#(X6B z7!aq^c=2+|TVJzk9rY6M={yv%8S)Al5U0}?f_BQg8}gWu_2WfV*KeI2jic=@L#OfH zO*<X@Jt8W|gD#fsq&%mNn)e&b!wWjy?Rao~KnnrQAU!X_PQHE+#(Qq<G~dIBz!2o< z_&<a1GED%<NB11O4wF6sKF&4m*j~E7!aLis6YapzsdnII(%&!aE<NWFG<?Xkp8;{Y zETaCeFlkSX>glLIMW<aXvItt9BT5CG@jr)-nqw7kx>xL&{~zm(*sttw+p)(3t~%?D zV!+Nm;W?wCj+*xcm6jVpiHPa<gY?s_$&WEq`bQvdNJ;k*94I(ckT;%8A1}y>N_w^+ zZ$wG25#;}k^d`YO1h)%T)=xhx@ka#91Wj}T^+G{{JV#OQ8cBqFt*34zw9+3UU2Wxr z^v=THP0~|^zCviV76beh^oG#;^6{AZ-vZ%RYcD{rk$g&Dh<uxcMs-y^In!8Qo_mO# z14N%-oM0Qlc7m$^!IvU*f5Ab5V+GR%Ckb9B$R`<=qs9TaLg*U=`FP88p0|iRFA*OU z{G;HX1)mmtUXahc<bO}_W5Le^PYSAW1D~3Yo<RGNtn%yr!D&m;mHUbFp5^d<h8Qc@ zQn0OHf*`Nc<l{pXv72BI!QO&tf+GY+3s&ye2@;<rm@TO0JLKmJJx6eXV1eLr!L@?x z1znsc$R9%**KXZDef!JpNGp8b3fip%s<$e=8=iD&`y>@z4ZT-!C<?=0CrN>=_rjih z9=;oH9Nk_}a>N|4?}#~N?Ge|Qn~u2Vl^n6g>^ox3TYJP9aMKZE3f>$~N-9ka%`g2d zv}0-C`ZG&EuOFORBlV6{?9S(j>J-&+=@BFJsUv3S(j%_=PaU!9W7j%2cjU`2Nq+dD z)OM*Gj+m|AfZuS$)%6Yd4JhFa__tBQtw)S`xuqFXl1iT&(4lnvl$oWO^C)vU(w;`G zmLG9Vc^b8eO8WCLGvtbr4Jhjkc*v{|ne`zPvR#mELAKR3p)`HWjM8yqAd~XuLCzS+ zfp-m94mlf+xCh*F#64xhks!zkn)2q6;Lux+1lND_hzIgK^U%`PIi(X@CzSrB>x|OR zyS7VpAB*xXKjLov^pT*}%Z~(iefo%}YgA_+>f;ItKIRJ!I_3*{7`ngE7~`Uli)vj& z-Ctq}dJny5j2YVqEgH}?_2a{M?FoDHHGMdHmpj3oi1c~r-2t6TdrvVhbEih8-g(%Z zQXBcqP>u%b5E_&E>0vVz<5GVgJX$r+n}B|v=S^(V&~@3D`>-pYC#Ns<Q}#94ZQh76 zHap<(z8dU`2fT}Z7kN!@GbG>z^!cP*9yO`mK{tZ3Rxm1U6w}?FYY5(;5sdSCcuozx zb*?OhZjU;Fn%->1;#Q{zx0_dbyn}u#B7<h&fRFa@hAP;7JB}VR8Byi{9Pkc<I)d~r z17%)uKaM_lrDik-lH@7T%k!5xh$R21UZrjuJP^U_;X_zmGmYeSlHrs;l4bpiBwnWw zvKA6Tc!{u6o0DwnC%cjauVG%vyn{*B_meC#1ZRFpr6DOK%1=^TilizveF5d(58h6$ zO648ZeGy&5E`vIdTf#XMek7Gsr&rn8ja`g4tYalf!EPL(iKFG44XLD*vr~r#QhD|F zyFCa&6BkGwMiCp~@gDU8UReAtUCAC!U@gwp(DC#y8af7T(PJo@c|=3UAT`R+^%2&> zTSlI_jRCvZyukKo10ZG!JU+(L51<_5a^Vrz-;S|&08<xBV=a@FV_+#f_^z;HY(A04 z`K28BX2FAx*Jb4xw+J3_gY6hQ?@Bvvh>qhh$e&e5`TqnuLjNg9&p{(y#wQ3>Q#1GP z-AKvaWC=&TuajS~V{f#L*7)CSMK|!WCvUc@rw@6v^%cC?8WBIV9bNln?b@}ma^kJ1 zZdbHxmt;*sXn-|4-cG#Yl7x0`?06qItl0zXcpTf%SBS7ee0Ih*3{CP`b>rJvS@G6X zpOrkiM^0R7`$ci7ao#RgNIcelnx@(@=v;dV>1~AkS}diGJHIl|?t>qqvJ*w&zkjQt zvtL8~-tC1P_cTTxHU-L`o0I8K?p5)IY2d3g|Lt1`h2szHeAm<mT08#zQzBxQdsYOm z47%RE%DTa2UtWH+{tol**RH@r=QMyv*ZfE5@SLY;UTo=7;8{Pv!wJqFI6FqC@rFj- zX9(kdJO>mak-8;38u)cGypHHRWaWDB6%2^eY3ZPKm`RcUkG;2nucA2j$9K=3<ea<! z;YEoU@Bje;Aqfx=AwnSG@GcQhQBcFHK~W;0Qlt<NEWWfiDk>@!<a({OS^?2o%dOO^ zMT>20y;iICQniXvXn0XW<hK9sclJ9cn-BympZ?nZJD>CHcb;cvo|&DUGrK#_><kKY ze?VZpd~yoryAXpDyoWMh-hFu<#Caf_243ee6e>oKoDJhUXHZ`l!y9}eqP|p+)wcqE zn+9Iz73gC;>ueZrpFw?X0{ZxWvHI4)Z_`l6JJ7czAh6y_ga!3+Pj;Rk%hw!a^=*Kk zY4SjH7vg>OajOJ8y`&!qZM-OyUk#acHXfg1aH-0z{2PeZ)EM3lL<nT#Y(>0$n+VAA z(LE0eo=49>y}+9|4_r>>n{d04=y>LtR-iKSP>{AriQz$`F9XOtgZj7^N=L}73zpr= zh(Y;@7{f!h0cUH)7yUiP@K`6T58tuI>)r8;;hFajBw?S?U<}WH9B4R*$F3UAjGLgb zvfXohi`SM&U1q$Ch_{h0L`3Q-d0*i`;ZWg7p&3Vlo{Pj!6P5|D6>>bC`K%P)BD`IA zkMMrsX5rIB{2#Xow-eEjUL-<am3X#G^8YLTeerw69}xe4;?1}T;-{kysn5K9f<IM! zj0nDm_+0U4i61O}r1){-FA&dH4yK<i{tEHei03!T3^!j_^N+!D;XK(IiRL;1*-psE zgxSI~gt<cA0~n9@4B{x^I3fFL%1ecf$NA<<Zmt*N@imz7&2<8r>jb<@@`r_w3V$U0 ziSRk0xlTy;s(5pqz`reim+&Lu9^qevc6^I(+APn>LcVH~H`fQqcWv_Kx&ZmIO@4xq zZ`<U}^#Jk}lf1bOK;Bo$bIgKh{x9gaZT+J-MsBZ9jrcZ1bSMqR-OA?7UZ~wLjy2z` zf4}3OZ?@9!xEhbUwYYWDP4SdFqLnFYBe!>~S>`Q|wyybWDD}3q+nt)zmpk2ORYljQ z-v3T*)`o)iYj#JR3u-f_ytL2p7Iqj`=j|U}=Y3jO=hY0Y^Y(R(W3P$W1dhXnu^&YV zcC~P@4@E`>9`^=Tt=qh$?CG*YWlUdO=N$~DJgIb2X=UlFr5SzP!Ck7(ihr~0+}eyW zwPlERcw5<Xwa)gep_xOOqN?<~(lw=H%ZAMPwh7&d&^FsgpafYaJY(9O(_Whv>k}G` z{VXDHzMA^o=)IA9&TwDP{B>sZuE^af_hCFN;?z7<k+v@SU8iSZP5b3o@8OD<_Qk>n z+J1ju%<Wni-tX0=9B5aRT<6y0Rd;l{J)40Q9(FBGu1l$Phd7<*)nGB5yKk;ePQJO? zi@frYbD4ARrjK_oi#|In9$EWNZF&Xvt~lej@hHY4pT@Z3vZ^zmU>*nBLU$c>e-6$2 zq3yHL)sb}XuB_^g=>wi!6Zh^NU;W8qqkqKB)sf_FAHTbdIkb*vKHE3`^gcKG+c-22 zx}Yk&Ch5+2{L%Q-+VGSxQvK=rE_I=UX((TDbu^Sz=N{-=-O=mzZ0mRuWKW=M<E!6W zjB*bjU*mW;S0{y`Hwh&l?yO6?HxiFNTO1#OJvk<nh1dPIZ708!pN>YnP(oK{)WLg; zoi5N)9D-Kwj+?8)A?Wg;r?dB6=iVn(2g6F!_l463v=0sbZTuBnogciKt`#eyai{x` zgJ(Y;Sr@$rqZ(z+%6^SmjyIRR(RNJPp0?wwKfHbw%7~iz9JTb>EoGrQfpza-zms2< zji^ic6kP2sHBQmI>hv`4&UjtQ;n9%3QXAbgvM%L|S86l1C*Ao<ZRVzCWk|CLS7Lib zjZ-*lc=Xwy#K)C|*Z-#N_M-nD7JW7^zM{q%_U*Ey`+r?lTKb!!Cn1{<f2THcgR^#H z>03n?AVpF9`C4bwd!-q@GxCRZaBlzJtEu-y*GKM6b89nS&5Tw?ZhPYcT-7roYw>Rg ze*^!9@cy*8i)+rS?{r^THDWWuPjv?ktqbpi?9?F#uYbIIJQT+cC|AVm!k-NTURgH0 zF8t~6(q`>5Foyc)SLb|a=4P|Qz=}5PAKm3R90pAW1zo5sxWqilU$E<8zvcCaQ|?C3 zB7~Cer7RS=3S5Zy6>t1(285FyV+zjN^hy>PNyK#Xd{*|ZyP3ym(v=L3M$QD(&#q*z ziP@Di32yXG6D9nqQQ_~%9u5Bl!X$I|FLS3LB<XwzLRY%W;7<;}gk!`E?QU^hGF}+L z(M3+^371_wZ2srq=yIeNE28VE&rSU;c=x<NLe>k!f@1iyJB~M!{T|s17)oC%N0Z&j zSHjDprH#PBy@+go1f)I1&?$Tl-Una$oU3|cNe&jJ*_^BT$eW8dCa`_Q#=#<DzS!oi zsIio3n)6vz-mH3W$Aq`Vhw!r6=-g*B4$0T#0PWYEikWoeR7^8xGvz3=FH;*1;HK5j z*yI3iI@qW`yo=hXXBgJJJ}Tbxg3~l{>*I19{%QJ;B~9$?!7GcMJ@^z>@9_AKXZ8|8 zB?#M6l<WuIh}xsS99Ll}h<;)obYPv0LO`+co=3PG#284JaD351Oae3J@b9?|#)r-5 zx-)@b9$2tx1#@Oofv&NEoX0X}W{EkOgsc4Q#v*pY@!pOxVgg^Ia7qL!fwD<Ne&ql$ zfd!%%F^pp?@>88l;CWyr4}wZInI)?QnGHfPag_diloabp5Q;$_?8WQp;Tc4n+qNxB z?(D7)oW|sC$hHRv3z;liPftDwqv-^m7nevOo^Qk#5V%~N=UnZsr-$cl3xNEMYlC9} z2<J{<cF9<iB&DKVB5MS(0(sIl$Rx#qZvy2YfA0!DFBwPid{Cn;Em%!Z1eb`J=q@Pb zUoHp48sW1x`#(O*ah!o;5*tAefi{7*fT}^5AL-<P27m^GxE^LHXgVn9SbFQ`AuQzY zT<Ct$ycq^-U1&lTIBt_eu>Y7F4kR8t&79T1U^vb$F-&gGS_9*6aDRc+0`J;br~aMK z=nNa3>*W?;yn5u|DH!keuHnqpKHfJ9a&!B7<*}h1J9f(D2zkej9kanBVpewV_IVxh zI(EqG(DAg>+Gpo==+*nQo}K()?p%=LJ-aOCedw&X95Y)#ccYV$#Z61OwgWavGyeOh za}|fr&Ds#FmKJb<2gKv-*qfFvOoSlK05D&eXg4j5^_c3%<Ju25Eq%skeC3l3<13$i zuSvuSwM>N5F(>(-%O)omBzw&Z+I!7o9I(%bd5dD+;#g<$^K-iA;oQJ>^%m#!_pala z=0n`8bARvJ9IsgpYNmf@Z&A+qd4u~PVRm8PTvTFqm$SW@IoP40LuYScftQ}sxub`j z3@$J5K6WaX7WOFY0h_>2PRlaZfCao-!{#hpz^SMG^D%*S-Zcw*^U#NfzC3WNfxuMV zV=YBtumABaM$u%$_7~{4iZCbWA7FNG!X&~W{`!f}4aD3LfODF!?%x+jFlICQW19)) z&MCjtq?yMzwqx%z!2J;XGBmN-0Ie%nm$ay8V5}Q=a+qXx8ks$Behf?9$A)XSkyy$6 zc{64$STJw?g6=W1qlnpiq<5dZGh^MblK!k|3ubkXO+k`eyFp2>{7cX5H63f~&nSyw zN&Yztu<1#x_gVRU^ZH%v3>}D`Y8v+^aojw3lZxie#}fa&#^pQ7%_8GcLaE8E!fo7> z+_qk(dy3o6J=M!{JA^v9*{&I92-*!ezF-~u$0pXO7}}h7vA@lBVB6I{z4hQ!Q~_@g zc!n~L_xPZ*x0;R+GM>HFG>*qGy3;`zo;zl|o`};p-{5`B-bITQ4`AF56yRf<86?(Q z2!D!+;UCuF=Dz`fe8$2sh`B4XT)gBq4ZO}12z;L&IU6<|y!A8aZdB04wlV@?m%ty) zw*VP#LOkZn{CFP3t3g41oR=Dd%sLy!*MgwFPcr?n8|n+%4pxG<X{e(O5|z;-XTvJM z2lX9>zD<x(UpmN^?=JXl8hD*0NVK4d^W{TdP~W4c_~%D`d{DOfHo{MR{2$Z(8Dp|< z1TvtP^qA1b`$e;+Z3j;w9xi=3z_<a(jPFd=S=BE?VEqi^`kw=ABp}O2_aZ2G9$Rr9 z8IbWj__AQngX7D#&BN<F3Vpw$N6zL&3{;m>3?;{7J6MQz+QljZT73bL@do85!gj#( zs&}?le97O#c7W41_3h_qU(am*jroS9<$eR^Jr~j0XVlvcY`5HS3b2(@JNvn>vQ9-0 z#z&hxQ^*$y@}q<$!V82`g>!^g39lDc2)`{{Bjo>udcQB+B>a)^r@|M6Z0C&sx^TBJ z84ZQ<W<>OD#vTAXi98y-u?rx)i+H}5Fh1W)7;fwW;ETi?y8w7I{)}*A7XUv^;bt5f ze7X3Wh4%=*Pek}u;j_Y*g>Mp3zITY|Bk0JpMH1OZ5&7RFqWdyv-nYQ_65m_M|2pHD z>jW$oKT>F}7vz(~o9hL>RQzSaD};R2qrPi}HwteS-X^?L_&wndgj<B{zo^GtN8oef z&2<F-EAi%fg8#kvKMMaO<OmY=aNLH-4ui;HD`J|^%>M@8R=m0H;5&)$D&!Cp(^<R2 zQ1QcsV}&Kc$wFfnLb@{XbA=0p*9j|xD}}cSIabGf8?Ptvh~z&MJ}LaM@MppogtQ$} zPnEDidxD%}Y7GBWcv#5Jn(}mEhOn*BuHDa(H^%EL<j@;=zKau0e-f#0pSU7MZrd+z z^M-HSj@kb)edG2VlRR74O~~gZ#?KSx3mdohLdhGq`>~Qw7TR{dU%V+#)Ak>;D)+JM zKWFvM%a0j%?DYnAw?d|PEaN%wD`Kt59f8d!+@|KQEyh>h5p`;wtf0lhsaaKVa(olk z3&0xGC1ZzijCw>}`14;>Cyj_>J?<#hC)ji-ynWN5<nr&w$JT`pGZd>8r0%SajNq!? zajw<fpR=x~R6T=ZhpHzJIoqGaiq<wZV?x)GCu_so|Gc_I-K~d*Y_Va{etxL0!%aDh zsW&k7XyuhieJBihGUatF!^=i%cuCT}X!?_fq6kYZIWx}kBgSVhRwoS~Q5&7I?NGRM z+o9wQoHNalc&1KAsb8#49UIvfO@=LG=L?uay(3y!Jj|?~(4`8sQQ{67g}QJ*&5C2? z?kQf4>+Re;h--QeaSMuIDdBqF8HgDwX^lMHPqB*klvP>bJe0hI{CQZxJ5;b&Go}5S z-dJ&xt?9x@o%?yX&OI18&>}f}phe`x>PYeM+UOY6cRuPHS0lOf!8l{Vg7g_;MUoj4 zyVC!nIysJd4-c;m&yDumh8n-x>_VSiwG~%!>!DC-<5Wm70=k!cZ}kVOhivJ;rEz#P zpE|8RH+|GGHElf<uH1?erqsEISiY14EmBy%m!v1WFB;i;D2h0#g*kDaCt`kz^9&~) zXp!_nb$B@1!;~K#3K#z9P;%wAeW7&4IfOV#)P`#lexW*LM6z1glMSxXkb@H+cL(8W zOv!@X%l(wshnjh<oSs7t7C%1GtiO&yD_kq@Knsu8YskR?k0-@ja|QM*SZbCS*X;^i z#nOkfAo-L!{3}=eYR3<M$3DpXhr;egpnDPy{6jzOOHB|DAw0A?A-m4SW_E$J&xEXf zW(0%Jr=%bI2bgNuUBgtfgaMZ@VEok#2q)c5<~ux;++ZY;%L$3qFU~~J5%w8AB)~qi zj1UcfY|@2?nsojO?0k~<X1L=3vdOsT!LOw(^7R1jM*kZc+@k+MPz)4}kuB~H@BL&s zIo%!3P~KIe*Dy4`2;NNiQf4r8Bw0Soq-<d5C}zALzEop&8UGU4Gf;V8VR|v_7_jEK zWGz$8W2*I3!UclT{{tSMO}{JQb<)^r|2h%<hm6j>iBe2-!`@HUBrz;k19H;Jap1aH zelD|_>`!3*Tny{yV!UZ<5!{}^rc8dY$-sCW4E-K8%t<Rn;FF20u3=V($QlKP%|xa9 z*%>zNVWe2oFa@IoQgFK$rx|agevwSNK=>$zJIxj)l-$B>FH0yf>|zt?C?5=b4SUqz z@WDW8LlaXP7y00#AGAa1GXtECzw`&s%ztK;afxlV@y7)d5C>Kk0<8!zbtJE+XRa^j zBN?ZCAj(nzpOE1t{03xV)APRMsKz%yh(h=bgeXhb)5Arhz%=~kfKT`^IuWSeH#*VB zq9Oo=NI1Ww6Y5Jefd!icGE2hO7jMGL$f_CyQw<>uT}P}M-vjAjXd&2~C$6WDi(est zz(O?q41!Pm8$np#oaJC@efL0b9}tofhJnm?5A^VP)pHiQ>*?_eyf9=k>uNHa%mOn* z>7w^F6EczTF39Mjr`9mgMUQ`G&}A!$<zr<gV{C^eCHfbEBH&M>fM>|lvJq@TRxU3& zR<IAhj5xfY^fwWn90=!=rg2PP0SYd?!e+D(1e+Uy-C@YNmR{o+%-#j7yb$gMr6b2R zW|j7ZPS?aqF``0~j|^pLptnKJY?7aVU=$>DGQsr=?lX9|3FaHJaTIkxwE7ZU?PMoP z5C~NXf}tNE9WD@Z<Nd)nUMY$pBC!2KvsR#ITKkWHREy9DHD*BxlE5V{fVpZ$ghK~V zK8RPW0`v%oFL8eW9Ryid08cR}4muxnGl*R@Ym=AbIS^m&YC$Ngd0|TjW`KBqvTil} z4}#b#Sg;(>Xb^3)l_2XF?^aMHXbp(Br*$BvV}<i#)PN3xYC+V~2h<<Ln+y$#!$8z~ zEvN#t62#5(ZUtG_R300kuc<uva@ABGap-HDht>Zm(rg9MGW;u06mhdaY$0q+=YTE; z@!qozv;o8c)~%pzAnT}Slk_|T{3+-;5cQ>k_@c*qQyWkwh<Yc0&Iertx&$;G#C+C* zn9qZthe3~mtYaQ5Z!i!3FPh4O=h0Livms|5JfC15JTL2BgZ~ZC??G(W`$3<9YC-%5 zL_w*bbP#XESs>cYgO0tAb^Kp_CByJP<o8nkP@df$AYlqdodk@;=B#BH=3W4;U;rJR zZ_K3yIo@N-Mtko#F|Wn@-euStAm*)Ew!-_w$?-0O1$nlY`b=&%L<=Fpr%;n=RBrJM z1kWH*j@M#`Nt6S@Xg|?xD2#c3aYkctqj(?KMYDH#`8g)DkCqmAvqpQ_$SWH2%0_#c zF>l;6Uf&$A45n#hwI9~yarb+D5jfdPK}P$%ar?b&WD{*=;^%ndR+#v+y;(8u1!wfs z9@$IJ>5)CXPqc@zz1ABMX;PHw@R;^cQ-8s&0TLES^R1ToKFQWJ7P~+Gt>3kfl7T+| z2o~3R+jQa-SyA=X)<A4+uZYt0HUM9FDW!igD=TlUNB=&H-OUO7J}c1z1G}>SGcK|8 z5A}Uk@Rnd7c=*_YyF<d2;X1y>+l-tID+CV{K)uWKhZ;_X1VfYN0gB_DB_NvyUZ)6; zuAAwRvtiT0TR(#iBHoV!w=5fW3BrQ;KHbi7wj&<%Wqv#lB8Mn!8hD+@QXMBokDLv= z5`0kKq7MG}E%hNuLf=aGZ5nu;R}i?PiF&C(SWw?;=&M1YsE_{_TfV#C59+%Uc9GWu z0_(lKk-kNp{qv(fj^tQ<8>KG~NOwgG|B=V%{Jg01F%Z$l>(%o3Y^?Vq-tpL2-$pz> zB3sAu(Y*)?)=LG>BLgy?2Q7T|Jdm7EGzpi{>iBG|4?|HNPOL3bB8kyQ{me6{?+rxg z0-1HTo-J=NDF3&Owcf_cKBKXXwed~>iOw38iNEm)wXvQ<efY0T5whPPZ)|nIh2p;< zyixdV;Tj?TFHHA+;U?j+Y^?7n-upy!bi0M05YgE7EBvr{7Y&K|7+V~|GsL$ef<H}s zckwym2Z%pg{0Q-8WESaWiNBnPF-c=<Lq6Y>d<7Bww}tm8-bV3!MPmMD02uK&HJ<!- zh4VFrd=(M;-<144$@$7h{Rf19AtGJ`eIn(pgnV_Q-0TeiIbS^)K1lLn@q7hierA7n zgmZokdA^1*{*^@N;j1HgzM?XGmH7V<Z`NK%yn7}8FX7_~e~O6kpGf|K<S&cos}}Xr z@%pk~BC_u$vQHt#gxSI~gt@{2LJkEmev!}&uY))37&t-l3xyX8XA3VEUM;*vxI|bX zyh-?NA%_{5??&PGg^vkMJ4g6W#J?>3m5?LnO#h~Em(aHRJ>vHXIh4WprriTQwg+HD z$YBlgS;Ef3(}lf-{e%U=Lg56VY5&l3vG{4ixx#XxwK?;93+B60$e|MQw+ZhQ-Y+!& z4}_b!3PAHY3h-%#ZxjApXg+X3xcQ#|Uz7YD;U9(jg`WvSY-fm{ENm^bHfLHM7;bIO zXNouf8^~#jVEB091;Qypnj{!LPe_{s`5S~c3%?`0U${~Dec@w5yGOw<#s5k8k&qSx z>i<6>he*kDXoARL2_lCkh>iDyr$K=7aYC8`$X_9(Er9$|;VR)x!uy2lh339<0&Vf# z)V_NP^MriXVYwRr-wP!#7LF8-6_yAm2`3Au3QL7Eh2=tXe?xwY#5e8-R!Y8Fc&l)& zaGh|2@Im3j!bgRhgj<DA3%3ck3!fM65bhLK311VwA$(i7OZdKUx9}5Tjqsq553Q`{ zurMl27n=Jj!rO>%+~33`&lYwQ_7vs`^M&UA3w@3Ip<;!P6pj^^2qy_kg)@a^!p8m7 zLdh2imk29_D}}3tw+btTYlLfs>x2&r9~EvAZWTT)wEfw3@y`o)2zLsrgs%zT5WX$k zC467FTlk5vMtD$ID?BX3c%*-Qg&dyc_2v*QF;mE4RPr%lwy>M9r!Y^LFB~8&5Ecr} z^9S^e6hBs2BAg_gESxGV70wiv3FitI36}^fge!%sg|`YTg=>Ush3kYHgbxZI7CtI` zTDVPUo<muG{QuxxFjN1dz<8e7J>*z(dCu(He}I|Fa~x|ZzKwj~j+o1{b4$3UB5BVS z%;iaUdKK3Exq>r6IIE`;vp~4NN9CbVVdWvOgtI-cpGV}cTR7)|^DMZRNBBS_%$XDY z&8{HX;StqIvxZln9B&&BA6yphTEu-xFgNB3%-(2^**-~Oti<iqtf;v7i8Yv?v*wUn zx#m!)Vh!}C*12`52O_DL*4>ORc-=$MI=43EKqMu4AQEK`<EvBVaDS2U)zOjo&KS9z zGCX>4S#)@HGzmFO4d;i?bO%qW`YL*<llrKix&wMshgYW>y=xAIQO+ckGr6Km`!$Cm zg<n=u)(f?=Ui^}VXBJnVQp}R#OY1PdneN#>d}e6yBWAai>BXgHwoq<WIR5j@Hiz6P z+lw+Y*9~KdXcuCsLbdLc)2c>pMrhi)VGh1AcMe1xNYmO>b%|%hPdemk9!ew`Hl!<1 ztEF=_4~6@&49i+KtXeaOrVSgl#lOP0@e1SWdc4XdyvlK0*AckNpKTeA+>3E0@#@Iz zUdh?vw()rNNxY&-IlQ9zyrNzC)jqCgxV@7zyXqufPt=jeYg)o<IttftG_L8TbvGTN zzLB_|y^=>&r<xicRee$uS8o`v-Vs`;fvKsDnxNzIUdgE4Q7Nd^(a>bu0IyFN=_4EN zG_5MBFjxwn5%pvTO3|i?E3>V^l^Jqy`s3mFi@Y|2wij{ER$k`TVW|B<xIP|d5EYp# zQ;6$g<Y_|=j(R+X`9T@Dnk=t}>o;@JEWcK>&MUttYwNJRulE12Ir{_iw+y>i0Nsyp z;6pz<{Ge+%FK9ixWzOG8%nRy*$e0Yo_fAX(8Ve57%W$yYZLcD;ga`ZG_CTgcOa_V} zsD3g~YjF5jn{Tz43{*;phWV_4t#D2=>FT$_@$6POKSe;qHB(%e5HEpv*rRi!KLGEJ z<V#Ep6g`Q;QQdL8n=D_Z+|dmEC0V{hxMQw__Z0Y2`Z9DJ*@<L1p~*dmV_lqA>Q4rm z$gKT|K$GOf_t)pii*K*brx&l4z8yBbggUOG%JfTd@V!pjNced}K3X^*x_HAqT6iYH zctbr(c(X+aOEGybXWpmb!l#)04co_W2TiZP`TuM>4XW)cO{U>BZ#<cX51W|#!e^wl zAb1J)g2I^U5L-_lAAB$wWZ8OpXs`vdbUhzO_zHw1ouHVbTksMFg8bC<jRu+2$SL9W zA~7b*PzPV@;3d==?<4}t+GsY-LZrt07#5W+0bT-))0ir=%#??ZB#^T_g+{>y!eo$% zlE@yOTaAi|1eU^|G(%rklW-!T0(9hT8o$b>vK&mU88GzT4#I32!lR&M?ihqGx$A3c zDoaMqN<?oT5U1b(ia|D!Eo%lmJwVAApW}2G`dNE+It=~HAi}TT8G#HR0c`^Mc_N7j zR`bJ%Nb`PR63ByKDTau!6_kz(zs4PzJ+dpOdr-m_0O`_tsnwVT4|0PGn<Ed*+PV(# zbOPmo`h%8&R)D?(x(oC===Y!xKzl)U!qNcbSO~I?I=X@efC@l`pkfep-3YRiMK;3! z5QsYe0OFI~XP`RJUqC&eGY3=*8VMQ;Vjo}~^I&}k^I%8BJZ=Wv53+eY0zdP37Zl7R z1bxh-4=9+&q(DB*gLOjPygi%);^-FhVBJvn4?$Z%Pl1@n2cT5wXa&j#v5u&7GH5EO z6lC3Z;I9O+Ve${X4#exb3B)V<6o@T>Z!j-{c7R?3y#e|F6m-GsX=bsS@BaRo7mxMF z+_~QYPW3qELi`eQAzJ2i_7)eI$q<EJ>S*u6=<D9;G4I-i9=~xP4N<6DTYl`GniI-t zduphYVP@ob{YMvi@t8L-7SCRaX%5q~qdmO0Fe75~vVz`Jv>8uK-mfud;@V2D`Dkx` z3^OO9zsINM&=mcBmhb(^vK&mK7=4bn9&;w%bz;-H3?DkYOZPq<7jzudv3rPX%hsFb z12Y=x&G`R1TRk0fmIg2Ek^4c_*U!<WE;RgL{1{Ufg71b7=RcU#CKJ~G|F7mRu!lI| z^B4Z<cZmAOT3<HUi`#p35xV(cXTKHKk+vx7Y}gP8QmhbIgMpGN1fC2(hE2@9KMEWV zvT5LTUPR#I^vKz;Y2dA&LDwY1uHHnxwC@D--Hr@5ARhB&emoCiIVh;_0|;Y~S!cuM zLJ-tfdWvtOqP|p+)prB@HVwQ^G7|B8t+U=`5Crv=Lti24kowX=R^OfQ+cfYxuOqYj z0s`y34PiljoA7wW^P|4zAgk{I_?adTM0YhZ<DK0)dP$E8ZM=?9Lfe>iHr|sEpxCTN z<8DVhzSCJ}Rlfv*^)svr@$zjXAj?Ph0w{PMPvbl?Ame#_+583WJ@z`{SZDJh2AYSn z4odPM#PozOoxiXX?X<I12DJJDBI6CpPsIEMo@>3cwc<<u9^Z(wj_b=nuHGHb`m(%E ztP}Pbjpr}$<{DUFR*zDA`M@<oV`aOi&AFwJqYLEm*D$tZ4vlbq*&dRgDdZD3<BbxQ z2rm#$70wY}CA?m^LU@yqV;R)PCwAh03HhHO|Ag=v;j_Zu2;U^4|1xtJfPW;9M*X3} zjV%}aXX0653{N6Le+%(##CH&HY`F;UC7y2yj9(!BN+JeFu9bYb(9BJM{4Vhi2#w7Z zaz4>h&(DOf5FvkE{2zsTB;POoFcIZ;@dso2G$MF9o<CbJ(Og#`+m*2?2(yJ}2y=z~ zg#(4f!jZyrh35$`5l$D*5nd_eSOD`~BsA9>Jm1VHH`g0@pZJZ!hlGy_Hw&K@ZWI1e z_-i5iA?oFuB=L{JKMD5=`G(2xL&E<PhFPzWM}-_cBi~+_E$k*V?EvBZ#h)!4D&%k* z(_bLGL^xe|rSNLuBH<F@Y9U80sOJu$d7}frQT#(f)2<*lb6$WP-($L$g#ROaQ}`$0 zN5ap9bwX>q=X8JS;dmR-%yj{Bz>R!=;n~8WLNnI|;nsF<`kNDIvySPy+4kH`d{1GX zkoP;5lOqvC({BN7yB;gK>AxVKB!05c^ka~hik~Sg6V4Tu3mdolC6ZSNR|;1PZxvPw z*9g}N*9kWW9~5p9ZWT8Ee{7fhdEpM>PGObsHQ^h=w}rce?+bSeKM~dl4+?9AhlQqp zMZGotU!|*kWeT%|F=6BXSx?FN{J`s%FB~8={V?Q(;){hNg&Z+rx)R|e;bh@dVX1JY zuuM2t*!cfhA^A#S<Ns@=<ZFa$h3kYHgbxZI7CtK6B-|?8E__~S?q@i!Hmq+vhh?hX z&3o>QX>(^C?|Rl}<>$wYJN9}8t22-ZZkhQ866+bXxP6n`=k+bkE8DHjxGTA)B6Lgq z{4L9)E$(P}8*P<;s&KkHHQ%pzr*=SP#&_SWb@E!Sf2X#fB4uAVeA3SGb*t)L-shx7 z_WiY>;)^u*hA+}CTlq!W@D*RAbsk!m3VT}W{-V0neZ}~?KlQ+6Slu{ldwPxQ?5K5O zJ8HwFJFwdIj@tCRlzkZ~8Tn4`>?-Gpdv>>QpzE?%J_=v<4pu{kuFIDDx;sN(O=SIs z(y`DB{rjN%K&R4arSFwy<S*?&Es?v5tM1ud?7UeUEm?(B(BiT*Z(^lw#QrqtzAmNU z-5a45+CGQuGiti5^pB+vl|q9ve8-!$StanLBi>EW(HS~G&Q0!pN#Bj!%kxC)n~=(+ z9);96yPDId&7Stav|ZC;`FD3nXG!jU=2h0!fJ)TY_CapS$6hr3V=v{E+5r`>)D~2> zyZ_`GFFCKO`Pz(iC0Olv))sg0++jG=&ModKstX_J7axkXtCMTo<WD!Bvl%hY;CV2_ zJ)0q|t0M8{@eANXts6PkkQh{0hVmJ1OzD93z1xS*{`l2aT1}c)^2Umcb-aEk*Y?P5 z<Lg!)`hEOutUdmAZ8+oY+GyU}sHat5q@u=Chu`o8MnG?K)(wuY_#(CQY4La4Vx{RX z-foLEvJYVG=uOc(-oc8`5pXGYti&qQ;dNM18>>pEZ>niqT$ge%bq!aO_K;s})Apj| zO2}$b)}>dDK`i=TsqML`S!L?FWUK+6vFW3>4-LzF_J;T+@ig$UP2M`RlG5ch&d`^N zR$;yA3(XqT&UfFb&D)f+7Of}aH$@j?-RVy8W{4S$y;bx*#PZ^7Pm%TC<TXf-V(-7m zo!@!2<vq>s<5fs?@6SyCID~b<o8N_NfZ_bMxCTQGUiEl$l(Mj564nRj6(3)>dfyvu zvFgF6?cz9xB6lz>nV-K^)MD*3$m5K7KeMhluZ`1d$ieZCKeQPsum2WOwu`^j7Hfci zb^}tqRRo*z=S<Nz?w-w+$cG#}>+#d$$-HXjYAW_&#vTjX>{~^{a7HuYypl7^@K3V( z4l)KTxQE{=nvNKq<3*(f?elPTfA=cZN`96vZ1};2-CJ;UZ$U@%mJu{OgjRx2tg-Ol zhy^2OA!IP1(tHU6>Sc`47yi)rDGUfFtswIa9!mIt2<xbMj)d0<UB}mQ*iws`I2t(_ zP;X03p)ed7L~x_*tYJ&#JqxzfUM5|bPdrI{Z1u|A5<pTf#=6p72!C?;r#MF3X%M+Z zIAD+iFG+N6l#fPkF&`YMDT<e4tg68Hd`F2!$;P|m_&C|cWJfSE@0=+Un0DlJctf{2 zseKtZnsGz7O-|+cxMRpgZ=1ZvrO7Al9LmNrK3^=brZudx%!-d?=~y>Z>_I;eD`Ok0 zY#dhvaj`^$VQ2a*th0u_&SznvH7sX6rt!7SHD=r&k?oLRe@(V?g8h(ew*>oFut<2K z7so!X#RvjxVPl+yixJqp4Z$9LX>6{BZCn^fu9{3VPnpg)V?<tPEOpHXmwIO|vcJ@| zd2_^q)k{$4KLl~{>b*eo<O@Hs283_PIofg#h*9cwIZit;uz?a7+jXW87~93l2LP4< z(qJ0XuAB)3hSGXDVSO+H@2)U65>&)VEaEo5h?4?EoW$H}O~#X%TRAAWq&2U8nbCGG zq1<>cAV~34zCAn*f;o}EJUr(bcl~eRdDoW{-uLB8*V99L6XFNTG4=h#S)VryWta;> z3<8T}G_HeZyDuj^=gXI_r^l})_6WwkhzwpcnM@??0)?FG_#kZ@XYaoON_G~&;@Wln z?a;6m)B^kxELpwC$?4`gC%0Zt;YLtf2*-C#$EvBzPHzywYGhSU0-@FkrN+yF4C5$T z1PU0tr-GenL=$l|t`Nt`AS_Q#U<`ld>~wlBHzA2Lq^J~>jBT?Q2UaDZoLSLy+*r>1 zc*>3CRGsWxW8}ZWb$AWLswju<g|;dv<OkMmA+%M|AOZt-flhIjM3d`dfJx|JF#ivT zvM3m3QGFB?#kedS5fhGoO5gD!2VIai6S%+1V&4Cd-)twIx{udRxx;bK?nQefjEGpy zdR~s#GS<Pn8lO?eI++iv2Nn$RTF=Jbmuq_U?$x(fZ!A#lT@&-J%<)d1oST>1JJ*{Y z8`is|N3Xnm@2VUR-*{ge%kAB}W9MuHc<p1}jGR8X`6GMx?wyU0p80vXeLA1!&5fOn zjW3}kZ)ARF4@+sM#Pa%3T}Q40ZWDTs!-l!mef^wk`gHKVk;4r$uN#eM)}NEz<<#7~ zyzU+I@=opIVZrST|6}k2OGhV<PF{t*H+y3}@{<a@Ias2*O@TKr=C$EYo4s<O3RHm8 z>N@<iv%Rz&r0xmm-JWW2iVLxbIoI+Y-enBqT^s8@Y)Jmuz}{WYKJAQb@50Gm4{Y3d zUXC{_$6M(>Gxfsp?R$0^l-tQ$I2!AP<9JT)(DuCt^)_pIgU{*H&07?stQR6Jn%vR) zMtAcT#zHj5!U$-V^fne)X92HeeEzf<O|S9o8)vVW$k9~iOV;={=EVl)OMhF-1|qXw zx3NE>3|tYL3sD!Hh;^p5;C0c!sYT-^j+*EnFP%7R;Wg!w6i+O<bnKkzQzy>5X8w#> zQ?U@X)1YieF~WY;HM`5E&G)x~wSN)k%eMFY5`(c#k3nryw10^uz0Fwd(bn{4H`(Nx z-n^s#ZEJelO8obl-nt**VZyl6apZHEy;JeIAn0too)FS+pFkOp>vdaaZ+gWL*fb0~ zj5uNczG$M_utIPtM&chfoaE2&IT<X5c+5?d<>IraO#`nfz!u~24>oK%SnFrV78LaE zK>2LgB?t@VTh`VwHYDcD{CFP3t3fsmyv`^*n8)amvtfL-3+mgA2jdLLs1HdJ`c}ek z)4=P@K_KH<XTvHW2<od1=u1bKE#F=6+cfYx-+(@T3uc`SyB&g{zUZm``LTR_F|hhJ z!p}5$AiBfI>{nI^czQ{X32nTWp@em1osIVt1gQRUD<6dMx<Qr!TGcNH{0v@%cmwE> zWBKS_1O?9{1Lwi|<9X0}XwRc1{DI5vaR1KVL1vxJml&unXJnh>v)3*{JMChX0j<7( z$asVD6Jf9AdDS~xE579KVXsB?1llWWyxtv;y%v8nQ&;TU>+Q9+TW)BuwNmE5{^sl0 zYzH%aGshV&94kCm$o_!gGlXog<gXE~5Z)xbTX?_lzl4tppAbGHv^HB~yMx|8Nd6~c zlH+_N+(SeIKcH}9qXQp8Lt=T-iQrp`KUI88JZFtEJXgH2%^}?w@s|qcDBg9#8-?b( zS)_kZ{1d|Ggufs{->c%S&32b~V_QS`pT%=jhw=X+9;ao_mo1m)&AyDt|1dFAXkMeh z_Y&V*$UcGb3WUYNk-`$;Bq3ib8NXC$#^=CaA^v(H2d)_JM&ZrE+k|%t*9kWWIY7pA z=6VCo^#=Y_@+#qL!ncI~EBsLSvCv$1r2ky}Uxgm)4|2|`B{mltTRr$r;=2nu5XgA> z!U4iUAx9h;ZrTNKy!gq&slpk;%Y@~^g+lus_A>F`5^@NY`fnHBCH$`N0pTOU9}1ro z{#a<*9rSQug!(vWNVK+G)9%1?bcS-%-hj2@`OHCiRLIp-$#)dSg`<V%3eOXA+>!C^ zcYqvtB!9iI@%PqdodSg4qwoiX_Pag~O)|Y%rvS*YN%FrHa&VIT$3l)ylK0SG5?csc z3%dz>3LAfK&4DPUGvi}G(~m~#|8HnsCfxoX_lsvge-ztV!?nWu#bSBJhUOo8=jHY9 z-#->R^Q`{)MtmIK7moFBYDd`CqU)a9*CKRj^_sLx>sHh`H6K(Y#k1n)VMTE4bUAl? zb=$G0)qU&G__~|-XMN!wKIIGdP};t~o;kky<dI|RLWiH)GO8|gDE&Z-bgt#h6~9~H zTd<d^)5jLqMt_<4g<E?vQVouetP9mLe)K?#C}X#%TV2c85$vtGvpPC9+OHVvb4T-O z&wHTaaqO)cy%qT{8DE__$}hv}+Gn>gcj{zG+J51F&b+Cac~diU7*QAcoH=kMZ9kWr z4h`9|qdH|I^Fyg_{Y7>92$SEEXudmmPSxL*7tiy^{CNJWYyJF~5A(yBEkPc)oQ~aA zGe_a9P@}64;~Z*vKI5y~jOBSexFvWd)J{z-H&!>lg=gI63-@5OUuZCMEymukJWH;$ z&GX9M@;vICYiZ-lznypD`?z1GO)j2N9KE+yjTi1$m524R-2z<2x|6<eKkY<Y=co8O z(5%wU70o+6F?BQIjBy7tm*TqAgVc<WF>R~Z9{OSRY+T9D;;=<>HSKKhg{w0p!J67w zPy6DE|I7*v{E*)<wkRKgK@U4b=RSgV?qbZ;e0EU=47$+S;1c#8-s)h8xtOx~>|Xkf zgBPYB_FXXjtYpAq2H0=sen#eL9_+Vs^O+*??c5Xu)!Tc{0S9}}3W6KGj}Q&>egJ#V zXp_z?C7Z-so;SlC21vSqet*+bYwy{Bgf6>xjKrezpmU?|QaJo|_+y|H{=3~c?@{i# zzVT;7cQ9i>(f^`!B%{s+rQF7pqppOP)*jwoeftg{fKquEb;mOBNl=<uVEbIEg%_3^ z<Ao6iRvY8x&CB1@)UfTrrk+L>6ZrU_M>adb@{W|sQn?cu%8E_RNwD7|+c&}PBg<z4 zKk|h*@n+zRX`(srMQ%Au*_@^Jy-u@k@b^GOKm2Njr<!o%<$^L!GoCM%r5YXAGR|8} zV&WOL`TdZ&#_KezgnxM=`h263B{R_t`)8x}X~g479KPBGjAtst#53$zv{9$oE*v=a z?8m!~@xFrqU!P$gBWsFaSTnNRg6-K)vWiLmH<84!`>7gb{s*&o;m$;hS7P^9F2OB^ z_AavsH<#vaxDD)4mgZ*5hhZ#c&QymEJZYzde}%nl5soo=aT2*%R67_o)kK{@pr#`Y zRf|o8iPV*f2(X?IvW>0<OV?Xnt>7_j)|o)y!wIcpatsBJ-xMm^4Z`-OPBOmAqaQOp z5HyLvRb}v*qPw1+Hint+uBXS(a(?}i)z?DjQlob=)zh)CD?qg7$+0d58qoy=HXtld zjic{60ocu8!Dqs)ppbLT65>YRzYt(t9~_5)pqx+!%D^_PYs|9KS38B-g<U%&>Z3nG z`K0bT7ZMa1>+v$0jZ7*3AgxiL1|jnU=AxNOj+Mh1|0+;2>_?13KWhO&1Qxorb4_Bg zXj3A_W56~)@Y<v1L*?wWlZ}0?>w5ZGpEzBDlqc4lZlHWSA|U65U#fhkiS#9B%53(6 zLNIJu_jOLW3QAC_qn~o1(waW6w|xyqKd+k+;SmrjiBJa$ISUs8jN=)t07X!ztguA$ zU;x{01Xr>_0FAvd5G<<*Hje)U{&&$z8eZC<kuVEU5eF`8jy%|#BK;#lV?jGWyb$^L zuklTZZ!LUdv5s+gD_|VfALA4#4!>Enj&b-O+XdPUss{1eF>WDf5@<5$A`oZAGVMbk z>)5(j{cnI+%OerSS$sbQJr{__IIJwj*#%;p62;-0l68!8D=1i&{op?X9R@K?<1$T$ zj5=Nm=&6Rk7E}k~wczRltbF!Bye?fq-9WsZ4g?JbodXKGV7;4}y#FFb&#$mh^-JdC zOzuUTkpmMTk8^Uob~(9yb4TTl>2pSQU+!GIB9~UcmN7{Ccr%|Fm)ke5S6+K#RP3AE z9n#)oPRoTUapvsa4TAb&w_m2|m1}}8%Nf=^e^g#jYe7P5B}|jv*`v=XHy?T~&5lm; z+UFQ!V7=MPd>d%Yd;yc-oU4ZR#v0T^&9=Ws+W<JY?DPq;6#m1lI(<w_ooN@xV^Kss ze}$E(1Cv~P_xn;?;=hcQFdf}U&_);wbSvytny?XmJ^LUk_TTGK`;}~O0;hwGg8X*} z+$jUsfL<G~r~DjeqGP;Z&u{O;e5|x-7_So7G1)2)gcZV%;pl{$c{1+6hzs~q``}#| z>v|=Tsy?sjkOlLdfr7TRg$jgS0)H^ye9SH^LHU?3>w!s#SA&B37N<H+3^MC%7~jK! z`c`K7V{p`mBnf>h;kRkvb?!yrqJY49D-agcw+8ykRWJO%*z(;4zfA+L(+YtcTCmP~ zZ-*eLkE`|Y{8+x`Agga9{7jPvqI;|vzB98*z|%{5Olae+f&GnjWu1-p6a=W&aw|{D zI6nJeA>swwAj?PhA}Dws={OHWJ*ItdAtL>b3gql55mBuPmwwXm*$25tbeBY`hSwk< zZg6e>Z6B;(kD7f(WBXv^^{9ROAUbO}_BSWOK3GIOXwxOa3xrdJbA)`!WISU(0<I8$ zlaM1h3^#AqK#r=Be^mH{@EPH=!e0viAp8>%ec4CCJw!C{0}5|!A8dgJ%Y05DBA&4i zf#;AAd1Ds>KS=S0OFmjW-v$`ZOjrl57XNL9n<sb3*NNxb3*-Mlys_Vae@6WC3g?7# zmg~3T-w@A%52j}y!f!y$Xb0kbq3{UW0p%G)$WIk^AtK!w3eT6EZyuBviRW7g(_KV_ zo*ClJy3>d^U;Gjx_!WwGi{!?h1Npt;A5^?Y6z>Vi|6B6s#P1aUs(6kXQvV-`D8~nq z*GT@Ucw_HDJUU)?_G?7;=|uKr#F#K!c!n@n*k3qMI7T>Lc)su=;ap+4@H(NjkFF4Z zqtLW(l;b|}8-))EIUdY%{#dwO_`I-6_?ob>U39nPp9nt{+HY4mluZ52g&aO5-%*$+ z%om#Wj&QTK9nji4Cn}t8<V?@Ea^h^^<-*0nrNULhn}i&XW;*jf0B#ZglyIl8O2`3g z#{X1!Sjd|V<>^Ax?!mVeZ`wb2)82stB<CPC(_Ji_BQ)(C@`d6T32zfxd!<=F9r2!# z+_YEl|0|y3*3^GM*w`+~ZK@dFTzI<Bt_RKWY=-k0ia1AjrI5qglygQq@gCuKg&gOm ze6x@P-Q-^ua*Uh&dqNI!lmAR;?hjvL_vEt<<2U}FwUInih{r4wubZ%^Fi*&566zTs zED#n7i-je^Ny5oObDu%_Qt>l|Wx~e)(}j{R5-t%|2v-U#g=>Ush3kYHgbxZI7CtI` zTDVQPUHH6khj6E`@&9|5<nIf23qKLo2oDNtg@=WFP-4A?g;AlI4+D9I_%^~!VV2O` z{}8XI_{ROi0LeL0$9xKfG^CLqDdb2S`4XYIUxJ@3eyXrcI9JF~H>PVt#Itaw_`vIY z!*zT6HEmb#-)F#p<F%{j<Gu&iq+LCdu&WnU!S;HvL;H*4q4@2!PUY>jZpH1jq3yq0 zG#-}T6xxAd?HvzG?lM@^!-t}<4s&hYbl80(uyp$?tcGDj55tBYfek&9&b_g*#%dYY z{2dSL@2Kj?Wh1IHN2DKImOi37J;MFE!+pa2-LqeSJ@}Ti=sH@2+u&=$v#LVz8&7F< z$Q`r2h&JK8%rLCJTZYl@%ZCH5jf*u=$8@Qhycx05@Ssq$WmqA;AmzHY2uaJVY7@_l zW5v}eNw6o=n#^@@F?=~?!lLuGP&PdaQdp5A;i=_CSzCsEAiMAd?AuJ0h249B?$x+> z9KzjYg!P9|A^Zuu@K(fv!FCd4Fa}>nE)+5M&7r*b_B+7!WXv=e`yJpMrbrlrdmy~t z7|i<_jKQM_Zj@uPFb2QJ1mW-qlP>%)gh_1YiG@`8P#JOY#$!MDW9z4Lqub$gi}{op z1NjEv;f!w$z~*zmyC8_(N$Chi{ShdIExZ16zi|vSpZmQFU+Q$SV|e5r)HeW^P;K02 zVFWfTZ>I=_A=t1t`Yb-*GwefP(|b~fVbgopd-)tA>7azywCh)xXaWxI4CbHu9R?fk zix4`^VmR<F>&KZ{AIErke|4IT#Nj0P{5Z4f;~4J*6Q>+;&Pv3YT_4AI*D_9X_NJ+( zEQ=Wa2SzvLF)Sqi*v3}cS7~l-xZ|-gwjRa4YP!{??W-Mdx}A8+Sn3qe6@>Lo-SzbJ z@R^WVDD+mJ@r)sk_aUSpJZiipge@R{u~zzaf{s`!m4hcpNMNCkG}W>^u+LI6Z&L6Q z-UVS3;AQK9#<jxHk2-;{3Dn3`>xWJuu;{Qw5h_9W%5T|vdi=9E&*o*Vxd<_i^}#gU z)tAV4@Gk)v$0|~|8wmfVf=%@)JQN6DYQuZhr}qNk%WODhY^tr1r(GbGi>G$rw}cE} zf$+&-!@$K3<J4Q}0$RTLWm*~reu<XEVy#V;rD5QgXh|#t+mx1ufsM8BA?6PtSle+l zE(^zy@r8W>j<z?YfK{Cj8Vni+ii1XjtYg>!kPYMe7T>il0Zj*4$2bKbracD~j58a& zb&SKj7-s^AVV8rh0?h|mw-KHPK@WkR0zD1l>For)26`RDQ+*%w0f^6GwV=ZwzDosN z@O<M43;oltrs|Iv9sg>o_)3vRI)0XjBlc{~@h&g$PAPyfE_+OFZu{I`_^i-doC9-Q zZl4}~ybGW4PDYd*ulM9aZ%oYV7h4JgTbwJYPCrfqTkwI<!PoIev#~Y!4liM5GJCuJ z4ZFFT5ApuretdV_%b>zu_LY`DJ*K6O?cvB}QU7JkXXc&uX!bMy$4|KZ?BDC5Cc07E zrG6dzS+F0rccUWYV0AMyzK>1`C9+L~4S`6*i6(F)o^xV|cQQD<jwb9n92X4g`vJyH zwnJu}4Vwmm^)u`W6lh-)`Er(QFyFE^j@jFf`9?uJ4<etggZidoj3x$|bvA4+1VMef zp(q0~>f^JY)prB@HVwSa66mX;N6v=vnJB2Q7K$nmNPX!btIxa)DJ@|x3eB-{>#X-S z2!i?+Wj1X;djRp6CQk~ULZTO}5`~d{RDK)pdW;!2Wk2IMD=t+zz_?Ekk1tBrS=BE= zVEqj1hLhwoigi3+x)(sf^QgdiWI)DxX$`XV(h`2;n{ZR1@AU*%pBM8CG!N$>lyU5( zE#!RUratOto<V)t2<!}*b-}V*88Ik75%x2drQX?E@g;wc@9S8{^<^Me?~dpDI$kH% z3Hyx3_OnLoq3U7i#M#b<BVXED#tP3B@|l<N8Nza*u`xitRQ#>NJA@pAVY&x|4+|d` zZWaDQ_$wkhwciNY9%*xVTj6}(CI7K_KKD|82z5nygb2Q+_>;wF3v(nl%R3?7+2YNZ zAkt3}Z)^tOtqslC3=rSg3V>^*&x{Cxe}p{#x8_?r@IO`f4(a=q!ha{Zv6&&>Cko%M zaBDM5#vhL7VQdE|$I0ZO|1`-tOON5khJbL+*kkw*$wv_(x3)7>lc`t!8(6>is~coH zB_9)J3(pYd3i}HO3X6mzgeAgBLi2?M^iC6BCY&o=AT;d<@s^8UCA>>$+7H4X5dU97 z4r{U8KM_7Bd{Ov{@KxcvLeqYbZjbnVLjK{X&yG!}i8r=b$W1!}wv)WG@N}VRR|xMT z-n?sp=Y58HIj}@LUpQN6+8N~Y#a}DDQFycPcHv#ZhlHlxA^jHdPYGWXzASuC_@S_| zZK^xl3-t67a@@?6S2$0&K*%vOhOZMoDg3dJ!)FYCSD4It#(mtjH?St$wnsikFn;59 ziAN42j|px2>?XdaFi*()A@vLp@|~OfNa0vv<MujP@~Og7;Y?w<aG`LKaEY)&xKg-U zc&l)&aGh|2@Im3j!bgRhgj<DA3%3ck3!fM65bhLK311WL6233A?Y<59CT$tB`}WD1 zmz&sw@F=#7{Qk%Pji7nRYh=r~wEFh+nyuGYRqk;vuiE2YUb!c<xN48L7`x2%oxRsd z?Yg(3-DP{7cBk*H=y6)y!;W)5+vB7z-{ZFX*`83l<$Jsy&c2MWyD!6Yawk>2v-!0> zPLDNv+<~v{2@PDc#~VF;Z^h`&d!37B?5(&cd#`i(&-XZ^Z`k8r^z%KTi*DHCU7nGj z(bpYvW);$<zJ~nQAph5pe+>D~2A#gw>2VqIzG08k?&rw$hCQJkKS!=>_Jol~67onM z{o0;LgFI&Ltw;^;%SiV2WhC9OCwx&X|L1#>kXtfxkEF)>hKBqC7L)YW+cRIv#P@Td zE>%@Hdv_qtb#d$X1E08yLp5&bx0{D;4(B0cG0wf6I|%Z28Hnk&>xlBC#y5WA_NYQ> zI_<3(7|lbC3~bpxG-&UuHQUNZIM34PfWIDG*rk2JWdm<+1Pu?NaQJ(WgxutGCUK*t zXALF&k-|{K*y_VczXj*LOi_t>5(r1k4c|9>grbp31j+Cb3P%bUF&tU$D|~@mH2kp- zw5=pP2ERAo?G8u|e;3Dyy8v?cLLB@)lITX?=JBHM;}`>_Ok<oWj325jjE(}jQyDlI z6y>Ozd+|gZ=YUf8lf9Je%^=^ZF@s6j^ZTE+8TK^j^sO3(J=bSp)iCT0V4<hU&#bbs zJKEqh50&Z#cW4Vs9>sY|o;0d8PP7H$(-X;YzvjY%=u88jStV8yG<_^q5+s`YjPuP5 z7T>xR@ZgIn-s(;U(Hn5=xwuALBJ^BF;(g6?u3nNDV`mE9Br#r3U_M8V*M|`)31gal zx~!+yS3Q-gnT8?wK8jwpIcOsA&T5Pd^z<;y0(U(<ei;_je;<_&ojkLUQ#qCx14W%r z$9Dg;uzQE~<R*a-Iuu|WW0|hbsT@bl1|h{bKLt7dKagS=z&OUr1VMHVu^R{}&hb-_ zo9c)1Nys?H>Ip)u@qR3Fr6A}i1{jxxqyCtZ)Hir5V5M4jIsBo2jn6E2M>*cL<=(-l zZMtigQj2xT&Ckc;ZTb1R9G1=G$SszRo0c=w$a5giqo|`dE!MYz2+<RK|6Hv6mVYY7 zcoB_j)&1NVT{tN_-e*#FkL+DuN=_(r#I9CfW92b37(0FLyct(aoU?eAbFA@8ziP~I zWnvtd-)_`@$<aXU4;-7L8AeS6nz3VZIC3zweB%5WfsYNe_Lv5mn^@S5Yr4&v88g~W z*s!ws45h*1XZ3^Ss03_ewRqOTZ<Nn+94zKGFxHJ3PntJx?t<9tdGljKCya{?zjFGl znKMzalKJyy%v!Ku-uwmKPsD0-L-}emZPv8ua~wAh-lU>=^B2xq)N5S6liVyaE+v$j z+$!A0J;`nBWxA)h?c7tnEVo0blbg+dE4!rN_$)gE>-cvrYM!w&yvn;V^er%7W8E{> z(Z9cI@N1U!PIo#8ciY6+Q$B?OXXCLurl0pmI>zJ72<yV~u&)k?%_f}V0s`wTM7$KG zA!On?dH@1BeuGzz#5h|C{5B1|&YS4}|C1g$8#W!h^)qORhcC<n0_)`ySTNrb+}$=I zkomG6cpk*7K{gG%P8Sp^Mvt5gyApg*9|!e#!=%38xa>;sHVwSaaOh(^>ugvB1VMcn z0e$?R*z)lm-==}rIS=}-3J9$Cc7z4>#h{Pp$MW%!*6P~`Khxxa=zfk1eX~^po?a54 zK7;YbK^g1HIvejP2yh9?t^B8m*VMQypNpBsy2FUawq_m6NB1HqcplvX^@8WZ#Cf!Y zANeL+HzfL9f~(JqdHRnMe&F_I$2TrpgG%etguZ~tc!TG3BF1HTUiHq_iZA(ljLY(o zuD-pp#_QejjLWt~bk+&`^G4&c{{1_!QI#2(WoHe?{^qNE*TA;JvAw=RGv)?<sQ8h> z@xn>MX~Ht$JmEKmD}}cR`M+X5_XzJ7ZWcaG#D8*|a61ugk?ol2s>J_~`1gc+B;POo zuy_~s#&l+E4SLL28n8R%K=z%)5ke$0`Lm@oH8vEJJX_d!%#yE#OgBt8N;pnv>J8zO z#m^C5DO@OAB;<=E^?gTZ>J7YkAq74t`NP5|gm$d*r{bRz{!;jB;TyuYg{B^%cb|AW zZ~p(pHy)$pt1QcB$0pAZpDQ$D-3T{R8i9P*W&HDm7Yq5CP5C?_U(Lz$WthlE7~-A6 zdxWN)f5{l1X%9%p|6y><DYx%g`De!J-Lb};&dl#~-1BE2#XTABi21WCHr*b-H@Y_N z-hNqC2z$3w?q2PzEPJjDd$S~CL@8-`-3<p`#$GSW>%IjH16Lk=xi(t3yl%z*m$5@g zDn^~Q?Q>cbS3R^jx9qC2N6J1f%jk#LpPyd3yza)&X5+YG|3(~dIPf0!X4$sSP0y*i zb9Z5gF_ZRXL>Q|p!f$F6_kXYx$nR>ymCuxYQN~dujD!_LOo?v!{Pbyve{iAEy~XIJ z-UFq{C{5L??z&EHMdt0rnOKvlOO;!I9a9Ej6{Zl&FdF{1HxD$ssGwvA+yY8kVW#c` z?D(;~Zq=s~<8DC_{KLyK+JoQk7T~;N-o9|^C$EO={wdFX8#f&Po)dPbp<>-naA1c~ ziAE4~7*P5S+l3qL1aT;oWJbJ05&j9i-U#u=moOllL=%fw&O^y`BZ-_zh$anV`e>vz zpnh!WfBh(DG0cr#WTJ#0F~Tq(2r$yL0>UJ|XNSw&;eg~Y|B(^4gwXVmdjZhne=0h! zyf-Bjz~9IEQ#j}lnrY-_u|Aw8%K|HlIf6~Q7e2RWD`f1g(=R1k%xv6q)20%JGmPhx zR>H72GvR|mvpgK!kz{v+nw#~O#+j58TbLD>48w0-z+$qkVR@clF)U?RR$0a~NY1X0 z&b{PWh+47-Sxe7Oczys+t8VbLejkUI!6^|-%({0kJ!lhsWD^}`B6fHt(W&NAo1F3? z+rUJnG!>YfXmc_-jf1Dv4x4CheWC)$YM5x+B{mU1$})-WXQE7GXL91VSLhjdPE1e; zxjWC~^0rOD&sSP;PQFRNk5uSR_}HA8L7Q}X`l!~iG)|wz7^hjo$PD78Xojs}Qjao_ zmloI(1bt$9fK5dMsGp?EkvlGhWS2-nXo~=g(2VwO@75FC^O7g0U1&<vGRf)Pn(12k zvwB<Si*FN*=~s<;ploVu5jJDU*)|d`6F!UxvO!Joy}JPXcSB0xqYoBYYB&;z5<_lg z?y^;3wk?5e#d8*i*VDr`04BPgo{>J2zMh^^5K`;{)cRgRs>x?@!+iYGO(d}JSQZFA z<I-`=0-+Ryg&^!9GIbY_%{~ds&|s{Q-rXR~4kaX;Jg^oMJ${A@>xUPai(dy95GH}J zuu>RcTss^GfS`+TJ_x~4fN?BEAqWK`u%HNLF^yvd?*aw3<DjUU5ltjq1PU(XL|L96 zOyJvJ$gCU#4;(9e7bu{RqQD81f(@PkwXj&2MopM$f)^*wj~8thD4>uco~urIHoU=8 zX3$7Km~goXUK|A&#|ys;6i`S}p%Ix%nhS5Rk{HB#MI92#K{(|^9oB&FW17La@EJ$Z zK@iS~0Hnet^wLqr@n!@Y9tU10^eAwNGf(vIl-jGp%fULo5p*-?DG<9U>y9@bFG?P$ z6f_fbE2t8*4YVC(-SNh=*Mt{?HGVtjF3@wJ7eUq?Z#;WR<|1J^Xf0?RXa{H~$hzZ= zXRin^1*^Ul=wwhQP*;$3#~Y9F`QNv0A}(IY-v`zGqIok6-ntA0xlN9?atzl0jk;>a z+-qjea{k}$!eVxmm^XX&f>{gAUWaC6e8#-_vo4)+W&WiL=3F^5r;H7B!NQps35Fjx zJdTxKIc;H?AA;V^G+^&V$1U)h$2{!A(mXakx1%?^ARO`@4CjPhulD*J_*WbMA>;p+ z@z)vujmH1Q^*P?O9ItiGIUPG9am*x+1rpP56Vq=K({B>Tyw<Uy%-ByG%=lPov9XCs z=yl5RE@4?4mix<+{~6`RPAk)pJGW->x{p2w=|A^IqgXdB$?4I@a4gKKB{>-8H*A3) z*tvZoDg)zQ`&cN9M#L(vzbek9m!3PcxY+Rr+)v0>DJN_w{p)X!(&Yanw_y#yVsjKD z#Qa$cu9>?K3rRJa%3U9w7L0luh%qGiRU0rv9BHR8>HigWMoC9^6toHOPGKE;vL@zi z4{hEJG5#j|K%mk7lN$(5MHR3sPgZ5|4i<E#(}SQRi1G9$&|oes<1>uzbPyj#junr0 z-p2VBSS1JxgXs8984x>scjdSE*4eP35MVef;ht}Urzpfb84?VGB*uIB5M$FYvWtxP zVq~55&S01o0#AXGj`pMiVVA-m%=a)dY--)3`GGX>Is;KjF?!@|URQw+>KltE;0(xk z9((~{y|8{)fovLhor@sc5D-}Ja)br-O@+Qn)eHYKR^Q$5+cfYxbx6eTd#$r!cR&!- z_eqvt9+od?ANWu3Op^zqI~SR)w@Sd%OZuVE#;d`Y<<*c`XXE_{0#tvwl}|%vP1y%H z&KJnWS%-LhWVX(>t6xA6JdcAo4_nW85lz%fOZWqq-FXfA-muDmHZNkJx}3RCk_Ta1 z$XkJ!FZDCepuTlzr~J=YXZ2a$Vo-h}>;o)Qy|cCAOa31Afs>FG>%(`f@p^YW_JK@9 zXPvNbPuK_QyJg%->kS0;;uCKlh@%V~XP+pXESx2rD_kI4E?h1A58+)xGrkRd4~qZ3 z@F&7Q2@eSCi0GUCA`GEEIIbThLJ!{r$hQ?w13KlL=R<j4@pf)D$KDt|R^c22V!Z2w zHwyoQh<xuLreT?5$vF_l_?smEvH0hSh;Qa-BfgoNjd;5h{wKxXEB=t;|DWV$4lUyI z>vQUFM}(fv;&X`5-$(MHl8+XSmwd8#v#t^1Unco{$*&hyNPd%eRIky`e+u(q-$`T} zCbB&e(Ty1FDa;d|DP*6;c*BII{eT}Qp7$q)PZ!P>UMXbX$nZtNCBjw0n}mErWjxca zfSglCp8YcM5h43u^87A__+#PA!e0rE-3j4uir+2#L}=P0!ao=PSD~lt*j#AZBjTMR zzP*rdsm$LD2Ll_gKNMH^XyHXdyZ+ED@pFV6wWYpq3RenO3psMj@O47dZoxk;{wd)z z!k2}=68?|yO(6$$sc(;vW4q*eQy@l!X+n<nQf|I60Cp8`zBK@EzA*p}mYl=BjDN1s zv}5pG_l<H61QW}J*9lE~hTN>r1^f@mIU>yX8-?E&J|;Blb0Pd^;&%vl3OQ2D^t*%{ zFeZOMSSS38(5`dT3O7H-<MZa%nWNtL|JF_It?~bk&$G;LfUrPVC@dD12qy_A3#ST8 zg)@a^!nwl6|Hlf+R|-vkk8<29zEZeGxIy@!@L}Pj!cD@h!l#AXggb;gg;m1Wgl`Dn z7VZ+hFRT$B6xIq43wg6;J#uu3XznjSGm#m{XK2c^gfXGH-$33?d`}^tjTk>)SSTzO zjue{v5aN}HpCp_toGL66&J~sm7YY{%mk29_wqK|ezeboWY{T=1H7ir~0Q+hGe)Z<l z*s<)V{qyn%_|Z#Kmkl2q_Xp0P49>+!G_py!H`0x_f&KK->O0bVeN^N9tlBLus!EQ- zLOI}k?C5h+JX+(X++Ek^^Wosm$NE6NKfEN`KicnUSWCNA{TRpOD#TV;bV=)7K`xkz zI-}5ibd7Fw7iQA$OfM~-UfjCI^RlblL3xKlWB8rGn!1(;J7I^J-)=s8GiBu6L6o$_ zo-_Rpg|4`(uH|QK;_e{sHnZtasPy41NIpHUVq=y&Xb;yD^2$dHV_#vaAnYyyy4Rw1 z*q|HK5?I(fxAXeA(OhsIpJBXy!x#`sG7TUUIiCT%iFjr`A(%cNBJ*7y%z8qYGhfUU ziD~sGA*kN;Y1(%<QUoEgF?WS%_yr~ihdY~e;jIWuY7c*ShRfS~Qg`~x+!8=?_z4^% zrgcVLcRCo4Dt$9()ChbtsP9iP|BF;3hmiy?8P*K?3A}V}+D@c*i}->Q1Euqh=N2;? zm_e^23}@IFP}&5B#hL51pk`;|;4evZH(5S2y5pY3aSy0@6bH7v$B?MmSf-rBlniSz z2M3>Z&uvj2V4RFs!SUZg=T7|t(zX1v@#CTMJ$PCVz@g0-^dSsSN=(odWYk>TI-~@B zn34&G!_&GF9+QZthsQl8dI+=$<X&NN;9u4xxSI*sv6%$_Z4w~XiT;4#?`@<^!x^-4 zX%3}<Qfmx_@bdp921;5gQ5n9SvW>M<p45b$l2@B{N+R19{OsEjt^<6qLh`wBqwgg= z1v+Ba7hX(QjTn`Wk?<0>gYa!Z{iZJ9oqIvB2N9SlzhaokN794~!<U2|JM<Mp30Ulg zLDfvd5Lg!Jz2AgPB=FvcHHqBy^lbN;ddni4w0{PZ;4_ZrMwNkeYdV4LVMG@YMuWK8 zkaax8Mky%D2Zfw#mk?Q0_!k0<%fT@Ya-9nZe(*Jk;D;dlzyzO*qj3~H0z$C}Pk~Yr zyL2RK$p!@qpM{tq5G&L=R<Ct!;NivPdGkbvfp~^2(_~N?XfCK6lqewle`~s~NXyfo z3YrO81gZd8cdT$*5v+k{Ks!J?LB9w60c73r#$)_oeXs}pmoa16eKh`NGnUuV<+mBl za{73e)0FhGOLNUrOKBJK{Z+=l$)#22)up3{BVemL8%)*G(eQ6F39Mg{Ab;E>c+Dh! z)c6}K;;KMhi~;EZmj<N&UFsW<9x$=rS}Ft51119m8UxY;?qo%6dTF4bEd`5Q2RGID zy2hk`xZN}~q*Xp`#uc+>I*CtGzT`8OdJEF=ZJyy9<9hdZ{Hy|2q+?sM{H;8!vC7z( zSTbXj`j@dd`43G&n-jZZ>)7oSH_u#|yejF&@VC6xp_|b6d@WnkKmR5hr=m{ykd~}U z$`@MjZ)JZUTT}41U~itoQ4l>unj*!(#BHGhVMF1^5M;vDw8Vow;++f$URBM_n2%Sy z!3Xut#@NTH0fF_-XcTWb3RGnS17VlKAI!HFk9>^Be3>85gUH91Kyv3?RCo+B>ulIn z5Crw@z@U5vWYm`mvierRZ_~i*Tn&900fF@{M_5qb8_>52fz+1{vikTEV$;CuERQsO zzIPxjsBd*!|NN-0Imqh!Pxz@X4@7q^61^J8fL_uMg*IL%j2~YOnRPbak08LM;!}}v zcOV|eZLPDa`MPEO468ystD8K_N7vZaREhJ*fSl)nOPDwhG$ZC)?@(!P)N_fvm}j6_ zI%}aU4??K@Qd`pwWbkiW6KlNQeHB|1`-~%OO^r6$u(l@dvcZ1t>wJqcfa#mz#aK92 z$bN<LON8t{$g{x`uM;j6-YVq(iQ#;WB0eB|SopYbt8lyUH^Re0PU2+xmPB-T962GL zLd1X1d>euA?&6KD3A`E82l7Rq@yu90_(|d~B!ZtI-i+yipD*6nppeh?<bh`F4&mQX zxUofn-#{L?Me)p7KK`38DBiD$;NKAcp5lEd`Dc><RT##fjq#fiQSMA4^3Rg|bjkCC z{UkSI_lQr&`0Nje_*)xfA3#1P%od&@<lq*=`wIsO#|Xy@&lg@KoF<$lyjHkaxLmkO zc(?FA;X^{caWenS!Y75>h0hCrDg3pNeHPQbE!-pACuB#)@UW2ML*&~EI|w;@k#dd@ z5&H{G`vE^neB*CxW=TFrST0;Bv}3-j#d92q`tK7OTPApp7*fuWCF0M7=6{WQmc4#B zEfa39FYm#O-}pN7wVLuwA)gn?$AtF!cN5=JX!-%fD-d5OEEbLwjun;&CkZDDrwU7j zGliz#fc}Nz7YUaLD}*bBmBKZ`wZe764Z;V7n}l11PYbsRw+o*a?hx)2z9D>DxJ&rH zaJTRiVU6&huyK1k+Sq>Z1(KT!9BXX9U+?~T$2+#an-5fYJj^%H4Q=2g2jlvY^yFV} zIlZV^ctmxZ;-rJik~rRfZ@joVDUw&^akRhx#M<zEZQ{u_ZuF+Qwui6-{eA7*hx7Mt z<`{npcDxT4+)&rH7V=xe{lfX~;EV<`gx}&0UhT)Y#gDNU<M_9F+cSTY*$(6QXJGyR z46OeDdgho@yaN$0T<Z?{P0^Ojt;4V~j+a$cv>Bmi+>(i~5W>#bI;<5+)+yc^X~vzx zy#hkmE1>d_>qIlTr$A}>q^!wVTZetZQT+(l$KmHP=n~<=?l*CCIVk)Jhz%p?LVP0f zy0eZvH<{z>Sj)dVxlq!r<U)}WaG^`-KVqK%e_S7%01Re|#6AH-5xIV!fP5wmM=m3{ z(F#H|{2~*C!yL;8_b`M>xj2L`ahZ8iXB--i>+|U|^pHQS&tA+Q)_-U^#Qvzh@tUmw zLJw1lG5vWs>eHqVD1md+wj*(1On((wJEng*W0*1h$?&Do7~+rV-v+kXnG79C-H(Hs zcVws;(|0du@hT);L3Hla2N0COKKNr$%Xe{TH2{a!wK)6*1il=H6n2yD<<!`WPZYkF z_joc*4yp&2;_>R2=sBKMdHiwhBftDHtM=G0e++ZNzWfP{q_+qTjB}(t!+z>xzWG6o zH2UJlR6-a>`-u-PA^ce;K!-dahR#<2zT<ldkAg6CzHB``d<8jT9GzDKgXc`gE)-tE z{h%=3<znmUdkTad&Fk0S|Nq$g7WgWvYyFusCpjk%AdsjLF&rQu&%AjEs7ZhW1d#9$ z5mCd_fC%BCB1PWfi`yFn6c7a!y(&HctySw)RI1{mH?-E`tCzO6#b78D+XiCW|M#s~ z`<%%kNPOJ3_V50keZIZcUVH65duH~`ti5LqX#H%!sJ2M5Js$u2EkW{BfeoE%S0cvY zJr>x|ndIUSSmOyCqcsO93ET`8f&trQxc2U$!n(w;Sdd#2k4LC$thNy|HG9M-LhLR# zuyH1IK%z4uYvGCBACangjF%x!Ml46<(LWQ>gs)oeRw&?Zs6@O2@gc;Wh|eKDkN5&2 zFF0=@zKi%i;)jUd;BCK5Aj>;czHk4l5bD|etDBIUWUUk%a!75+2Q1o<*Dt0G*-h_U zoD~M~Q;T-qor_Ccg2rMH$oPrH^be%On^s8pSz$UK$}A~##`bkOhAPHa<bB?-&DXV3 z_Ts|$URTWfhF%>|Yp=K!s&`Ld{d3<ZIJM!G`?J1jxY1tXqxRuOIEz2QCj4*wX2FSe z-jHW(;LuRqwAG5hjqxkvZt~yk+~T`cE}37;*8A;u2>-8S>*e!-3Fe~2%Z__%<L0yi z@rs9Gl7-0DM|f8-#eMyrcdB?~irDT-kv3&0_`kRY+8%~UPK=S;@3?jnmSHe*mm}@1 zx3alw<72%+L~aLhHlitmq?Mr0lT0(1ysMG+>f6;KYJ*Hfp3%3$QwB+^6ZGw3LW9w_ z1hiLQ74#J%lkH1JH2Us9+LS@k`ULuxco-(hdl9d`lr(qysIMua(RUxxEW_IehG}>X zr(MScCK(@7G;&!`&b~6i$UO}Pc0q;VZ-!h$w%#`&;Hk#?5OVIboLiFZV|W$OyB+D+ zjuh~?9baVYO~Fq11!PPxbrId$DL3aKbVg%rz5AMd=Xc2ManqyR&#|^&oK&9Rz`A<| zX!beB^%HEp^&P?+Q!k&yzO=2kGs@6L$->0*6$dEtmYDnziX0C}^T~|J1D1H5;v&UW zieJ~(EB<ewUu-47Cg>E}s_NN%aiDWPi|uGn1l?Kbo{D_>CO@onsnQoI%}06WPgeRG zBI>!Gm=yh<^6g5CjSli+qeK3GX*sd=q8-nZMm_`e@Vq2)Od|4JB!(0-6}u>AEA~+A zt=La-pduevsAs&Q>=)2iD$Pe1=Fd@FsJKLNwc<L(O^RC-`B2aDPb%_ph4c%GdlcVR zd`EGgBA@js{|CjtDf-wy;Md!apyQeU|FKQ;X6+w2f6yP5O0QL1uNb?Zwkm(S;!}!o z+RqsNW7iM&$`3`usceh|J$mN3(x+ZO9OQ)@w<QGvu8r}Fe12aGpWi*;@A^9U{O<V` zeSXh@&+mNr{GJP+-vwX7=XVb3y%w=2e12co2%q2HI_7;vpWoQl27P{G+w1xK&aLP3 zJMWY}zeCv9_H=YQZ&YFf)(bxb9+oVp@sO~CFOu@beIgLB$@Is401D5@NE@HuGQdO@ zCv&)nE>7-CL4QEzG3=lW0YU#!GJ}3jdc<+2CdTJC2UdFs{#>7WL_7E~|A#(|{}5ur z<0OaOjsJ+x?+clEKBDXMd-y0Mry(XD$Dcid<gJLV&+joTTH})VlBtlbQKjqiTgVYE z3Fk^eE|&&1Y+Z~R6u<NNZI6r?*wDRlRr@FT{BFBBga(X8Y^=}kwwrm8mE*aQKEK;; z?uZiO-8y*Xm5`2qxhz|i!--{x#{c&h+aJfsQ3i1hUV1k2d~&<jNPFHt*OQ6sRR#aX zv5MEViR&2?8x-+lf=35hu+)!<!|jig#|h_u;PktR?aajj(5Tbz0%r=Hei!8C!s++e zsMGI)E@ZWF#zvif3(J`har#{V?;zPug?#hzx=?Oz9)z8lS<c(mKhX8JEH13K8uFAj zGq=yA;EAPOS0rlf`Zl@%_pY||m@?@s_1o$FoA>eFHJ2O|OyFt#^?iTqV;#HbJKrAC zwPy4@2NZ2iGK>J-`%Dp$KO@%_6w`dBV4xh|*E7Mdi2*<l`=q|Viy)U^3Ijv1ZS+Dx z+Ej3>9<dGaS=p=aWWK*A#mJq^_jl}i%dvQP+^+SqAGjSvKI3`oJ(=%sJ`;MkBiJHp z8{)0I(RX8vzLWX>UK*n>-J_4^iP3jwj6VAQ=Ix*fCb<R-Z~N#oo7=~J=B=&KcR$iB zlY_|c26ps+7$u;YWR%-Uppm;6%4UN$!N~m(3>;GxhW|R`8nVH?2?0+vmLHAfv1S6> z$M71WcRO-C{gR42vmMQmHpeoORt^g7V?u+ei|Dn%y$(A=V{CA>=ro=)CK!E&Ht6Ml z=i8%&tdC-!a6FH-!MT^~6MTDcwEogII37E+UF9o^tp{|G(t{L-E6PnT@+T-=t~g7P zYg?#qh2m|Bw<~T?yhm||;xj~?lg}&eBH~zll?Z)RO8;Ex-ze57|Bp(4uCzQ;qFfUC zk9t}vn)R3*JINoYh+<Md&kMGLV+S!sF{GHO7;7uz<00jX6$dL0Q<Q!~{#d1_DPFBO zPjS8?A1JBs`-;+Upyk07xK;TNDL$#lafIbwP<&aD;|BTvqsVid^oNSlkD!kzEw)e4 ze^pxg5p*;57m$y%#CD2Z6uD-I{PPrx6#2|czSum0mnl6#ahBp-MLrZ${wBr$RFw6t z;GfD?cU0S*M#Qx;UFqzCoSfWHG@Q!z)HA17jw{^=+Y{<RsAqe^`nG1vwpWI44=xSg z3h&=j#ryY)@|Vgh5A;X(!T-0F0B_sNj^7VI-&Sa)pg*+Y`2Fht`~D;F|D9s|e-~G+ z-_h~xtn$F?DcvCpkKRj;-+#CazPax|a&H;6{93(#cdN=i`_2OaD@(k8pG=nCzt1~+ z9pt>ezi&H!KYV{fv-tkL|L}HdK0@E$N5uE{-o5r+E$!9)#rJoxPt_&0{v^IRUtGNX zh&4R;;;h3~aX-GDZ^P?%mrI|Vu!9nAZrY2@!^khP`ylVEv#SP&M}|k#`iDG)lDl#E zN$J93$iG+XxB835v=>7xQ|RjhAK{jL#Gl}UpYUIK{Djj_mwSEk+vBhkY#!i`AeQ35 z@dg;7<e0L9oSuadO04g`08iZde!}OVq(f6u#7{Uc;!!{0$;gV@qyEl3f8bm&qkh79 zmjL4zj|-TkxMn-<G^FeM376@D=_mLJpYFRDqV7^UH=Q6S+YI507h!B>5p-JCRQU&) z#Ph>0ehNH}>`52l&vhF<izGY4?oYkHKun%C6RYVsg4m;)bRrC7mIEPV<-#f^c_ZO7 z9F{C0cnb>>Zo-A+YIKmWWC?i($Y!+CXCN}z<N00M6z+>3F!2@=?;z2fJ=x-8{2c(L zg~%&Iv@bhq3ejVX6ryXG<~Ji#3eho)7V3q>c0~K~j+A9hmzYBHS%@4dv`Px4vU!Dw zyo<80kOJFGfj3y7BQm7GFQova8eI4HH7s-A{LAznC*HV;{sNER7&gCP-JiSQuZ4Ma zKGnNIkX?r0M>OmIWDK@$2oZS%g5QxcCh#c0T0ru~O7fEZfND2M;68Ay>la2o%_jsi zOlXM&+&B|>cwluOfjeFNeKS$$62Z+(40Va*%}h*0RDa)Gpo%0RB$dYa`$n%JU;K*m zsD!_70ynDu#|DGoQdSL*OuAb+l5j2JNt}TnLKd_VLehZwcEp;=qHYI`AuL4nS=Y0t zB`~`$B3^nYXf|6Sf!X~L(M^PLh$x*5kig#WiioWvj7LN^{@uXr{fIs*C(<6u6(FJr zp$yUM0GxZk(_Hqlx4F#TFTAKms$s*RkuX)VqaMJy8$DZCF4@;dwvgHTg%{OG@u7(5 z6v7P2j=BIZ1nudRnUWpt6lU)iUR0y$JoF>mI7hOhKEPWEjXyaBi0<*>rrg6I6NKq+ zc61ry&4{ZIpGJHR(FE?cFC))xWjC_B*?+t-<W2SSh`SI?Xsn#+b9Od+Z!O|_#Fr6Y zMKqzYa)l_sE@W4;?=~XdgZMh)TZkqUBGDK*%A3m?)A>FgW^!Bl?Ok&^bIO$y=1uv! z?zeY)+;3-<w#mQ>(mTYj_RqdYKirQ=`jGhBzFpGrTMhndNgtMUrKFF*pY_Bnr$yF? zv)egW(*MC@ey%<>Wtlc*nKosama-wIMX2AouIq&Q)t;*8{7|lQWnZUFmU9K$*7(A| zb$xJ8MAcc&%#f2;QaEHnQK!7@S?xPzwrQRHpGb7e?&Ktfe8#<YwAa6(#j&y~_Fr`! zY;<d}5Vqbtz)1}Jy6P3nh(}#i{3YFMyPxr-V}pO=)vw7Ie!TW}4%;Shi27#zYDf3q zceZ!8m(j%%&cS~W(Q(NyWqU6-N>J9X<p%&gZ1ID6DK0Z6n7kq|U@D4)P#ThuJC*JI zFKB3jQGy~SImwe2rLbrGwSjtLZSNsem;;@xm;J!)Ao6l+bR%i~HDFmGCN!8lUYfnz zQF<nxn82ex-pLw$HzIAyAZeYAO3FM8lU#~Cuf8(q<NLqV$8*N$yAx?s21)A%6zbW) z_O3ymS6?Nb(7Aoo*A&s{yB}%l<E;+EQ%UYus9eg;WB%iaUb&&L2hKJ^n#cSfBBEO> zOxAxxt}(XvTFCMC$ON{J;Wb3>cC5#Cn0`)0p4pD(NTc3J$iYVLi%`)%V;eo)Wxd`4 z+YaU#;3FyesGoIu_0cy5&lwY_r=j5Ge`kA-+TJ;~M{MuWVY!}P?I`=3x4nn4vuOJs zr8rh`iXv~@nLk%?sp8Fw|EYL~qS%fhzg6jn6@R4oTg9V_$B7u5{-!AFCUKAj(J!pG z1rfBovktnA(j7FP$0+k@qoO=lUXi|1@mfW`@kze;FhG5`lg2rHuks&Jd_wupDE$f% z@~<iXUFH9;;>XGtf5gyVOB(W@D?bS*73)n?Jd=oe+bZ3S2>D#)_f`G?Me(Z*dHFIB z^e|AK=QojKAd%-LF<ns}B|&#pI!CdmBF7%e7c0tf0D73xBNX|VO1aAwY2qU-#{+PI z(u)*tRJ=v;c11ZpAWs7T%X54sKB~A~k>e!!a=ZXvR+^8C<o`nP1I1q}{!Xz*5k_8- zr=gMM0*Z1xfj(X7vlZpI0$=8CfZdeeOR=wFKgEHHG*(joc*RMIa{Pf$>m~DPmnAM! zT&YNNCixo`<#+`BfYLN+GXGh{U5dLEY3XGCK1JF(Nq?$HGbic4D8~B7Zo%UW`KK$= z2uk{7{;}n_ME+ovqal>?mnlwGELWs`l=%x4X(1(Dsd%U2U5d1mGXDw1rxl-5r1_Nj zKT)IsmGrL_KT)hvjOTHHdlhqjfE0Oi{_xt*^0DWU+<t){dp?C!K2y=0S2UYYPcOy3 ziiL{(6$dE}RV-B;tyrcwS+QJkhGK={JjMBn3l(KtMmtt1U8%TMalPUu#Vv|k6}Kxs zrTC2E^NPC^cPqZG_?F^6#SaztD}JnaK=D(>!-}6N@@9(tNGmE)t^+_$gpf{AltsRv z)0J+e*io^oqFf&!SD<w4`CO>{{)(lFqZQ*7)7U=Dv7~D|F~^sCZYUZ~HOJSzdrmJ` z`qXoLyD{D)#Ln?KcLs;S%X0wV&b_EMcvW&(X7++VJAV2xc%PU7{B!@>;9bG)@KG@% zh_CZn&GM?~y@H-9g3Pb=$gL8o?v%5WGa8Wa&w#d7QWI*7DScbC7CU+W-oIPB&fIfm zi^GoZys8{{qn=WnxpPcL+Mlf9@TU>KZD;o?yLX#fU!Sb1J9Z$y&-miAcBUeC>(1bd z1ONQ@d6GNCzc*vQE7vljg*vvmQgf-nXt#U2IltSB@^jr%AFF?Ba$fzt^`cyxr7QjR zG@$)^{5^}f3(*@eUw0?>vmNXVw!`_gh@WejH1-8}J#>ngVf<WkBzLaopYd~j0c0?B zHxfL2;+JpiM5peWlIaf&CfLF0BI4i40)Bsnl!N~e>~kJp#?N&o)AjsZgJJo4o#5xX zmpu^~?lhj8PRL^oy@iiyJl;6iJj7imKSVp}e$cMpixB9f$z+D##Q*su%a}Wmdgmb~ z_rjk&nB+RdCY;qPd6K+Qn47~iUg?V<K0$OR@`U^xr1;3-gB62aCNp$@KvEn_H$xq+ zkBmC7+DZG;_9Dq1;Yeps5>M1UkRt`gu)um`q_O~ek>T4hd1l;V;EKe_Gtc*Ny`RfP zZo`vj=mFz9Bi3ogsXmh{$3l$z=^XZ(Rk{`6KEjr=Crxm3M=<wQL`+u@K1Ot`*^4%F zaHUf4!HR^3kizT>fw}c(wJ3#+GqYMujzNU;3)aFiobQ6;3?^TeoRI`p3^&)dr_zyN zN#J3^gVnY!aT%^V7MDfs5?bP)b$F+rSTc<0DM?mW5xZs~k=Z^g>QI{bv1&SiSBz*| zV+a=_`mA|8VI;J|zfV$rmw|uwj0rq1_;AgO3)P>G$ZE?F%Ms@zE<{{{xB}6HuUd|E zu*s~0ZDk!i&8T}7q6v+aqr7)}Zo#(w+svPQJ-^SdVcCC5mNSDB54GZ6`DXFcTx)aI z;*mv_=Q|agNcmX&JU_B%S&madHys~~?4yg8bp`RRxM_Y$3ce-jM;1+GL1#u^r*GfF z?AzL7QsRd8or*eTX5XEid-jC(oRsM4bMQ{QYioyNVXj9vCWLR;U-P$OlEU?pJag`} zD`!rb9O68}7f(_^qG8v~(PC5*^AXVhFJ>v+=Ophu1&25jI206rBfgkDhwFFm21xgs zs|IbYK}2JNEij$0XykYWV>({>l;bmy3Fd+{01OY?DhELuf(a&B1P1RSP53ay691p4 zgMr5@u}868ydf}Ukd$w9@|n#9lbi&GNi(ku4dUg)1e1)NpIC_NWhF9MFYDuW5a%G8 zGDwR5ix3kUOx{e;-t9=nwHKRm%K3=|bYzuL1~mGX#^`GWeSC&w`;rk&`|k9VLDG5; z`eN7elfMSh+rAlC%g61bK3?{XKHAUNh8#qOsStX|Gzv76jE^fCxu%$enGK!^Mvitj z98(pBzZi0T3;{H%`Fvo~%zhqnMmK4;kKr{$?{>_?cBFvE?MOv5+tD0pb1WlieF}a1 zn9yMABD%LyZpI2ci|2rO21wpQQ6KfQPOrYFz~nh&g3)JagI@l3^Apke36AL}%umGl zV)l&IGTwaWi&?+>iTMbzH{qa^wd$b7ZVY-T`jzt)e4^$2fV>_HT%xqxN@HN<7~!3# zcu;AXr+~cqZX(yfQf`ly|Ec0Hl)qnT9`9mbQ{)&Wb~VM2Vy0pj#cV}BqEMcXJH)|? z!xTp=j#ZqXI7M-uA|Gv7ezD?mMOqig-=Mfv@gc=06lr^)+zW~?EACNzTk&1R_Z2@; ztWl(mf_gZp5owYjrYh1XLHZm;nj%Q|RxDNwE6V;v{y3$@(gS*?($^@`a6~=4KL20Z zr#tHQ@qU2y^-}DsSg6=vaggFr#ZtxC{W@OxWr~v(D->h*^Frk>QCy*Tv*Ien^@^Jm zw<yLQ2ahU$yW&%dyA*dTp3HvheJHBugSa3Ra$m-9|8sM4di3ZK3Kg8&c>C=_T*DCR z*>C9|1n+6sxmA988}2^3*8jbvu+<?B{)S&Ye#T$=f%X^p^J~=KF!C2Fe<Atyc_~$1 z9?RS3c}v>o8Gplj(rJn9S(O40!~c=~lYZlNjxT=v%q4lW#r|ezR+SC@!^P)Rt=vJ0 zamAPJT$2A&*jN|0MNXH8i~W1g-0#YqCOTMtN#1WL(T;qS>N2``<WBOc_qx1?i$9_D z)vg%0xZO`+d)><8Te`t-PXXGa!1w_YJV3m`mj){0i+C-h;4ioXdA_*8q<jGxTWC&= z_#&3GXA3!EdxfK6-mAbDF)dS0Fz_c(QD4LdnCB1hREBPvLE(LvH%9P9%zG+OH-j1X z8<tXE#382Z*<NKt_=Q+h9Y4ffU&Ozd2tn8KD#?UoWcl7BxBlxBhsZ&&lOBeIUCbM) z5Mojp{#@6@99xq(u-N@so~KZ90dvD7IWmgfb)ai^O`rkV9!k4w)3YcgUWr|UYYJ!v zd%QR#7H7mqKt~-C({Bz#!?x3ZDTl+JkMm))Y`}J^mep3c{MECa;*QY$Uf)SAr_u#M z{PT4KBKH!~JSHQd6;d#j&IItPg=8cPiA-UQU~Z+GBs_u$Q|aQ()ksijjH%RpTp3AV z?un*SKe!&xBYj21c-F!)YAR)Nk>rddu;O@p@oyxosHR>+BTE7|hFZMF&=6=j&a8_G za*6OfB(R7Nk<B!LjW!_#33eE7?L&w;h}6*^k(ZHD#L<Xj5V@Sdgs)nT<-PUaghSc) zZTA#x?xDYyr(i7Fc2aCQ2chYaYppkmIq`R5LELE5^tg2KSm%0L8du5};g&8gF(my1 zgGAQf#aHkO@fG~J_zGUSI16GhXF6H%7JOlrlb^MrF#86siC&t0gOixm)8~WDvEEfx z7ed2UMwh`0rp%dTeckWF{R`L|zpSHQ*9<G3lj}SDZKO5v<c?Lmhm0trRdU+Q3QSoD zIeFGK6K70;{}Hi!%17ZM3#cnb&6$LUk$(MphdOeR`RG}*X3Pyuoi!&^G;&yIz||9{ zOr8v5Y3ZC<lcvm_J8RC|PN9OVo>}>!yqw&;+`OEgp^kH=%$PD^?vzfUOHm|yiWccs za7E8<6X#5rIjKA}XUetH=1!Y6Gn9L7L4Hp6%dDc_ICUpXnJ{shWpk8>>o;r8yead$ z4J)wXn*@d>_!8q=_|xpu>@%En`%Jr~eU{V8&hWLhGwo!EpUm#a!JvQBv=wnT`d2zP z`FJh=ir;{Xbm_N!S+pg01n34nUodcB_Xc-B$9g(2*<Z$H5-TTtiTtl)cRcK=*jz$* zaWJ}>oe3h=enoyEA|7)iVJ+-F6_Dk{29GmxN#cc@7a6a<x3GbK2hRkPHxUezX5O3F zaMo>tNnQ?yw_ZOCRkSy;Ue?F$Akvm*${=a|0U04CG?=`rL3{NTw20cn__$#7tw7q8 zp^9eM$R$i@FnLQrd-e5)zAEt8KAtN^-yKMsGDuqg34L5>V1h~B4u)4>8jN(@KI&_V zX!PBOG|TYxWoQ|IjnOCp%_QSvibjsUM%Y&-7`dmxz%HmT{BzNWhU|`SK)_RtH4kz| zH_Nhp46h=3x1$xdBLzHe2a=KP!0wD3^GI4-QHW~`O)zy4aVSMXUvx%e?2a>VoVJgY zs^4}GyWTc^6Lv>#S2UPjaXF5hG0|Md!p`#qNA`G>;mC@8FU=z>8XP$?ymX4(2(rK3 zz#fl=FXI=OWBLhp$NGMOqk}bMInI3<yJKg{H~IJV3oPs1a7=S86SuP;5%eIXM=Cu@ zX|Yd1ZV_pW5wcDd4^+3SoUD%my+vtspUCkDqdbocBKJKpq?oDLMe*BeM|@A)|B>Pm zMOqrTy~Yo1g7#mEVw$2HpE%u2|Dc$ZGyTW0wxNFHgBAJdit;elK>v2s{H}`f5C=Iv zII?`9Vt>U!ibEAk75Sh>`LT-Q70VPSD^@7ZQ#9Kz?Zwz<+KY9P`8}h{BtwyPk|(pX z^~gaE!>QLvzU2<|C)n8zKlQz;${K5WRgFEpvc|Wds>WFWn_K>lL*c|Dho&a(I5e>3 zkwaIuY#nwE+i^>4ti+dU?8K!tzLqc5I4!LsDSrD%ieqJuuKH-l+8V3#J2iIawKcxp z@6<TGcN{7%IdW)X$&N$)#vM5{Y25M}tK^j$yJUHdZ`><2&bX9<lzjVwo>eF}9p$E@ z+yaza5L-9OC8As+%C$tfmTPPLs3#8f#G{_T3H8u(iUS`m%WM24p@LUx;!tBeY7C4E z<@+vp4PP2dPTiI6TK?M0*4w?0912fQ4gcy>dpf=_=KI->;vN1R^veRf&yhm|7l6MY z1(J4RV))3R!o**HYA2$%&@)Bo2fJl3r}^2wK8N-mexBbJ>%-$1`x;kJyCc%}2T1b9 zAU0q<<5KXjq*9jT+Ckodzy%5~;67hK&JTaw^Prq3$!gdS?{s8E7f;GT><`?)HrT<N zSS;v&#|2KD#H~U)#t-jSu<R0Kx^pZ<JNRS%4|y2>A;jQdlH!(^rZ?B~?yylvPD4!a zp|CBEcy9%nD9ao#;`=Q%E(w1nLUKEi3x6d-@(mP_@K++_0+7)2P5bw_AlZD`tGV66 zE{wmk7$Y#;7`xoTsXh!ip3i%cO!TZe<Z#3w!xC&?M47s<Zr}(N3Cob8!3!I4I%16N zYlY;Fh~|!<P!=L=Ukf)!v>-u?Db@xOSkEa9Uaso#RLwGK@M4k%R%jx$M3l9GOmq-p zuDzKFw+(ZBkv5=r+%d_j9LljNgcxW2X=ta`o0*hh&#Ej$QUW_chA^vg7%>wO;=>@$ z<XA+nTV9TODt;l6qcOxUggBEjh<beTQlzUZG8`gImLWo8KY)Z*_}BAIInm?TFxZhM zOh)=!?_O8$=v?1(*q}zZ*L@o%uco#$C(CIXYL}gzJq*#A2k*Fjk$`jE=~)*@f&^k4 z_z{qVGM(93CY1oUy4PnFoRyu;Z*0+}ZXeg9Zm-O6=6)w3ODtUVtv3C7kMB2Z)ZkI> z{}rRA%)6#SIsHeKUNLmq#POqMT{CCWl<_dN)PGT4Oj*%2WTLk*Z_>N2a>bf+GDo={ zD;)jxMT|ZXBav8tq6^m4P;^R5le=Fg&bX={3{7tFdM)-^n!Yj51@%o?3#QDwzGBL^ z%5vr28+&b6JpE1JIsEl~=|)eSZ@b~@U&(GY)-%5Pb%zZAdf4Ji_W}>YB#R*D-k!Pz zKUTW|?GU)Vj@ThaAZ^M}@=Xl-Ynjks@+N^cX=YskqsiSKhDlz5Ja4^exRPy0ChH9% zayy7~5KS2*t<RAWVnTz-n+e*hZ#N7Kyf{){BBIfEBhsb}l2$8hr1wt3<Sj*>SKm7x zeY{2+eX;B1x<X&MQ35%WToa@3W9Z}dv3<NfHTv#Hnq_hj8P?*CXQfdBnn}jT6^&d= zD4PwQ2}Uk<z1(e(YshZ(CJK70v1kY5_1*-wkKr{$Z@+x%=@(j2%yxiX&u;Y@^z8%B z1XCB$y?=0XR^i#cF?Orx(P`%xWk93P!;++z|4rDfV7~MO(<?6PA7QsT4OK;tSN3=` zG{$blF`a$F@jTXU<z6?VzH~jK^_R9=@z|j~Ctr~>Dx`}P2PqC$lp9;*Pf)sCahBo@ ziYpXvQ=~nZdSx9J@E)aiC_Y2PImrh(;w~Z%;8%%|uTuKwO8>9YA1i%G>7z>jRcTp1 z0X<yqL4BPS#g3&X2<zh!Lgbi1<h~<@6f+gSuH9*x>bY8Rp5lB(J{q##?<<;hYkW>5 zf2-m{icczX{9yhIiZ3g2ydeL76nSow{!p<-@rdFvMd?4t1=(Lf=|`Y>FE*rnKD#r& zt71<@dA<i<{3ig1EB|7}OBJUonsse_yrn*4H@rn@S+@v2A1uFUeVgom$ngov`+hC& zVXwYs#ys(3HY@v-cB3BMdt#MVBke{Q;}GiEjp##n<#u1?`k;S}v)aBruF^XE&Jy2U zAJqmcKPpcSFA1+XaHI8Lc|!T5@{h}x)Y<k;b@5j^_XLh+1m;`zW_YIUyR6P>cVnG@ zfPFN>=N!#&;*Ms-jkGR>H38mt6ZePVhu0r}Bqiyl1IgAiWyi__M^X~_macVp?UJoe zzF%vFKB%=yKdAK=zF+IldA~MjeNY=rSymT!<@>eCWvD6cgWBW@*1f#W->%$39sZSd zSl6WWO|UM)qbA<x4eqJMO3+$=%AVR_>7Lr;LU{H~OhV0QN5W-QL&F2Y8xO3o?m95Y z;#Qz0YG-W~RwcIrTCYT1A=Hp;-Gkb5me=|0xfZ2Rn_X-TuO1p0WbH3Ir)*N$ePtii zrc}INo09T=Z5p;AE$4&U^fJ_$fI5Rq>jE})zK8AE2c0ON_#V6sLwC{=)IPj=h_e*h z*^|SoOMK|fqZ#qDt+o>;P1rKwR}(@7zVq0BLA3w=y>Xj-o1J^?yV~0So&I$C=5*gW z=MMYMk7`qvq#t&Y%d32woDHG!CfGx91AgD^&=~`(2RQKR+aLD7zALLSYP5g);BOY& z_XJ-|4__J1DZ@Uo{T;0AAyv=raMt@a7L|`GUydFgUR^c+Cua<*E=qp-;HQgG()p;? zza;qLq;Rl<eZlM4KY3NphCixJ-sRi;%q8P%<5QT=eS?w<N~(*T;nlzT-j7h%ZK##C z4XTZ|;*a=)!5$aa21Daz&)W7Y%^<g+Uv*K^a|aJDzO~x#vo{1^92b7}h%ccCa#efd zw_{yhGuq%5;ezg7)BW~?IDqY3oNNvv2U~A|@$CT5LpxXoHjHn(NcjS^oH@6$(C|`b z_~U*?;tl?lP83ey8p`klajuiGF7j!tt05IN$?-ae_nBpSzz+V1RM7vqsPN0RC+PnW z%s4Li@s-;H0C6iQb+yeQHQvvGFtClBowiNF;UytB-|{_azlapPTKxh4b}>Zo8A5lv zEjy7ncJ6XHUJ4UwC$al;)Z`s<;=LpXko<v=C~Su>Mv~)U(oE(KBH0CGG8NdvShro8 zoEs%ZCUI88&7sXPi8r1uxf!V@7g6*=mP;y$Bwu1q+X&f&H&L!olO9YCr+gFMB5JZQ zl1yUnxZHivBBK-ibTqk(^-gDdn{1?rB(0_$kv=DqUmneuq}7!Bc3>obS~OphR?{WO zzY6(DqW>!9vtG9jA>CDk+=0Aq**B;B2Ef!eZN~g!H^o_YgJ#ae`ex3g`U?cHWHMp| z#S;qG3+e(vbS=FP+o>m8Cn(z}cNQ>-66NY;x!#9)2x4hqFA>r|=*L}ODWL-*{PN0a zv{KVtlwXZVK3I{^87V9XBxFf)G+`nlBu5ixAjTsd-!6GG)4$d{k4E0vMFMMwQIt@D z2sJ~Ae9D8Gq4Dj4o0*;;p_4Z={X0a;Qf;l<(4k;OLON2mHHzSF{_3$NFBu89)>6V` zMED*iR3k#ExaEEoO3{F^@mP|`^v8&{HJ-rw9BX0JMKRU%6*U(V?m&z~$D!>=NuUH9 zU|Savq7rP31oj>K3I)-3+=UX@g|{N2pv%CG5fVNjB2tl2hU{L5Y{v75yAV5|xt$R? zF}f1b1ojtq?tO?4BA$*snxm&9a#Ga<%5axGh4>7a$nzuehAk0s4&t?lCQz0exeIYO zBI~AAdlh1(M~)|HHDU%frY+()#LE#^BHoJV4Q3Q2?~f?slUMp1`8_t62i>3fBHBOq z18wg2*32E^BzC9VGftRSo>k608!;X`+}t?n3g=b2wQUx1W`|%QE_BL6&RJP~@-o`A zZJXm<mgRH|<!3n8_07r2&dF)h))^Uc(y)-SuhTUvyNyeY&&%$dotK-N)3sYS*o0ek z$%9e3jWadmoIy*nGcwCbn_85YpPkn^&sh+Hq5@}rXk@GGyo}r~5S$f4@oWbkaodzY zKBsGLXQ*-JXXWMQw8}rb4MbRL2+KE}G%UCbIWJj{UWPT9kNfVy`pmsI%p08<F4_jh z6Fx53+<QaT=*-TS^~ql9WnEKGpjTdJ<Epm4`5FrbdlX=8ChfsR@=xEa#rgazz+X`= z|MIL^*UZc1pQQ4cVs3F>WQAvO?$=)0dBqh)BM0VM-|Pxc?^A@OX6`R%vp=~}Jo0oB z;{g{v#ttkhIN59FvwP&D&(Zhw*MnYh#mE7pyl<7ym{xIx$j;(JkR>MnsL}pJtA2e; zd34d|w`rNDJkNV=<(WKrHE{T9&<`%h>a4lfUp;TaMBuzRE|!}VmYB}7vL;WMH^Iu9 zICrj<MMhS=W8+)?mO1Pt=mHFZxY)X(K+%A?60`w>axy3aW968~z}r_|cTXkP6*95) zZZ(x5&s<#lsT@GUKFBQd$eDUCK(3m3L~cKB)_OsXxBV~^h(5N9&lsi*lGZq6?q@=S z$(sP$q?y$l9dyvcFv-i1=dCv#6{cvttdHA4<Xx31gQV3S%n%bAOdjtzy!tw(yEZCr zM<Sxpw;X9x21#oG^zCOtgUMSA+N-Y@^pzo#`jQcizI8~OGDuqOQRvSehDokQo>$-c zGu`c@K0bgLefJ{GGC7D0XC+zIXGRHVCK(@9G;+;g1Dp+>2}X{OWjLnTjS`kXt|7bm z9^`tev7Uz<PjeI4K87D7dbeW>wj%{RZU+vX$aXYG+8oPBTAxARyG&>>brC&>rL_u% z=|<VjQCT~q3~2OuSd#SezX`iJw>26}ueh9lgx#Ed9Bl)sXlRVxocoD=!m&MKH}_mx zqgHdnbjP?av(}Si)EC*z!<s)zajfDL#p#OIDK1i6rMO0s&*-e@Ud4wLpHSqjKl67f z{#5a>;$Mgu$LbXSPQ<}2YwJ)>-c1HAYYIVUpyQa|jtIJ&(s@evRk}!NvF$>>RO!nU z`KZG3^N7fow<|#}SNdknU!%x*N6Ou&^ka(8D88cj6UFxwe?vq$u}xtdIimc}6@xei z_zrt>BI<9Y$geJuFFta?7azI6LCPPYa`dZC{bfp55YeCWh$zoMnr8`-<0g^EFA+nk zpgbCamg5GPqx_zV9Iq(fPjR54*uB7);|MrO`By5=ROFL5%U`c}gW`>fw<vNfr`!g` zdles0<XFo5XBBrT?pAzDu}bkn#r=w(D$4N(J+(@Iu4v{EQn-JRe}>{&iZr5;pRL$K zvA3cecgP>0^f1K{igNrRe}d99vr*p+McUp-FIAM|5Hufw$)_=nc)#K!ijOP4r1)b+ z8o4OXM`j}LmA+_hg4cV>#U3YV%8xx>Ix0W*xZyn(_4HEgt5~SmU$IniwBlIB@rq@N zlNHMqXDC)E&Qn~XxI*z}#Z`)xifa|uD{fMJNbym{?TSw+KBM@&;x0vV{8cIaj^Yu; zG-9*p`4+tshhvdO%=Prj?~xOdaOyR}oJU7EVXkM{_BG*+!S!MHi;2CftRumA`$#Y@ z=}6FjMi^dCTftXq-!Zkp<T17Wt|@i7pQqH>hg;O;A8AqN{Bcj}@aj+VccnT<n<f7= z)jrzHv5q#gnjK$t#CNor&)V5y=dNnI@T{Hipql)0wNn)A0dK3Vg7CI#Ur@Ez-dJTV z?Z2%5^8T2!2==aew$@5{uGY%o*A1IPdr|mjsrarT=69O;eC@W?TA`vR%Xd6m>$9G# z_2r~MqAJzj&OR4)`nJ{jLT6U>u607^);d;7o$v6j)Qzp!nl7MwL;hTpLmBYbwDPeG za(vcrJ>NBKiW?&gkqCa9`yMae!4VG<`w_hX^9t|t+_8fk>YVGC?p}-}rWhU|;R{^L zjKxeFKdC(Xoaf0gep2Q5jm#^QAuBqsKsN!HSCDsl?cf$tLH}=Ah2MWZA?W92C64Ek zGs)(V95<NhdhhDnPe8`*hd<oS%0I{;?`z$;g04sfc^JBL1tlc!Bq{z+d0qwYpa$`O zx*B8xpATIBr~ggzLgrq`d5TU*CA4I&kfTZVkC5z%g!~A}5h|fINtq7#Imyfj$?IZ5 zrwCa`l7?YdxG8o~!tcOyeXX`3c|nBy0m=RmvLAM7!r%z`3y^&3utZ5E`BzN@DOK=t z<C0VSNG7J^uLsqM+%(EbLq$%=*F-J{8MHLIa?>d%TOe{mPQjpOB@V(Lt&y(W49ZC} zL{7-pM6L`O{~eK=O}S1Oq7y_;$U!7IYS}_g-~gVu1b?4Kip^oM1;|K}VnQZK5lLFf zTafRzWHm+qBT|EqTc{HHzv+372InzOv0U5CRe0t%a4mAbpD3;5UZCRwBHN90h{z)z z>5fQ7LR+LTQ*kSR!@S4uDMj4e5z$;u+eHG8984|{T)|5zxKp^7;y)7H+);$@BVs;) zz~*qh_o&TAFo*=T#o;podF?kx6^~@m`G{}}OW>WhV_mm+GZU;7d;-<RK@hVFOv<2T zjV17!<5->#A7+8k8xb2%n1|@Iu7yF!Rfu#2k`nUpUyO)tC8+2^k0_H8sFlMJW^o7$ z5aBC!K9TK1x}H{^0+>f3sOUnEXhiFUS{ySZNJ$ur|A~m6mG@*#7Zz_cC6wWRG9p?o zH2%0Ty%AgBS-Y@(VP;{6*@_vP$!D`$Sl2FC>#LtfJ{uIxyGCU)Hj~eGBX5pdE}Cby z$CIZr8Ik>N+SHV7a$AJ|XnRtyV<PR598YUB=NiR&t*Ow~MDjeXF?ovhTf_a_0@Gw} z56zAA5c%9&*qTMI?r0wQ^XlbYqcZi{bgi~2lILlY$x}SBO-<P*^Z;s=elYDp4=s$e zM{+!^(VS}(>$PT{wkDG2X^qKKjJAeH8n-kp(hHF-y`V$nXh5#n9<!~;HTpfdW^0iP zr4q~$k#^!y3aQ?Z;Sm5GvBxQL&9M>9jU0{0WxLr1<i;MW$n~_&<eH-uxhN)q=c8_a z?9o@h26K#=<F9@VCf6K+o*Fzo9J}}G*I@RM*?;wGFu7(AdTJ=gHmpFz077s_r+Jal zsSG@hF9AIzBiqgl3J2hUn#W)yV~%EcJlgO9;blb6@UtG|eTXTr0(uT)G>qJ>h%NB^ z>mHPPkRy-$7ZB4Tc?(n~!?Q`PAmKygWyJ(@mq)r7u|Hxd;%Gz@$m8i!g2-KKg5gn? zJH!OaPzML&GDH(7V|Wvho{Y$DHo?o|Ks^(Yhmi@DNNhp85Akutrx9O8d>!#E#GfMm z4zU{XIO6As=-Yb1yS-xP`7%?v|J<3|6DE>9Q@O_Jc4YF_S8rMux3ReJgNKVeJZwj& za_O1o%z2n`&BD}cc6NS7cI({M-MV+m$JaVrXFF5oQQ!@0Y~iBJMR|+xLQfEH^*9M3 z`F>~pNzwX~p+#RX`#C$eJ0|kn7jiHi`i;$j%2a6HH#`;ke=_?iy9<WdJPUvM{L^XU zdhZ2A`?nq&GoTIo**;+=w0y!Gecy;KZoKA#23~GD*)%8`m;3E{aVQyw`N`%&dHy#z z7upepzQ$ZA9K?S&7s~Mk!3??QARR=M(Fa(7=nY1WRt2W{48}k?+D=U{SF3(tc-R&n z+gljrp1cc?PB4XuXINGUa-1{a6EpR(T{Omc^^HLWuPr8+ya`~KH1ld9_ql1TCogs` zG}IEAsF3xtK2tAmBE0%OgN;1|o(U#z1{mJ$2(@-??$novX!I>d+LS@kO2Y|om<bIg zZ!u`Ez8vT)Lnig{oH6=h=R*CMGbl7lAZL<d-~nwyWysx5>T8N<^xcaz%j6(3^hajw zTr%??MfA#Lr!;OZbPMDfGZ#wV>%G_j4QwC7j}g87vOW~;=TzjG?P!j)=Ww&qz<3ut z6WDeJqFif*!gih3xVg{|(Q)mx48iF0uq5f_e>WG}LXKbd3CH%BxzHGo+qjnD%?OOu zuH6SGC69Z~nQ`9|v8x2nB;vemPlQlc<<llfIUYyE0g6KvM<`ydI7zWW@jAstimMdY zC~j1|SMedmClsGj+@<(4#rKIAYksNtYa$Nn1DgLwrT?O|jlN=jJQ3T$@s{*yO1D-z zQ|T<F^OZhNX)ZHo`Jqb792MwGl`hkKnJb0<SxU=XDd<H?%N!Nx|4{l)BIr#@KdAIJ zrGKFGb4u@4dXLgvpwIF$cZK%+TIt^tv0o3X+;QdqUFigzxGaa+2$4%CqI_HBbNx8! zT&4M~Wa=waeu?sjD=pu7guW|Ou3Y(ZRPH*ZmnwY|5&9~Xzft*{m3~<1$CZ9Y=@*D7 z_nPweD*s)jf2AnjcZA#@mHu4iYz%DNt|TJb%fRD_V;>QxfFOoY!E{A=rbND+AHW>t z_f#}<zI=A1o(mN(QoKa5TycitTtzuwP)^Pl;4<Z}R$QmJNpXwfe<^NL<m?0Me@XEz z#VW;LD1M;$Yeha!vfLjOk1F!{lKj6ZCh+(H-9%B=8h}n$n$sQ3@1|Iw*h{fck@qx| z<9rBltl|}llN7H~l=BI4i<Mrkc&p;~6*(<KeH#^H=X~XSLjJRwA3F!knGBYfH3>k@ zSdjiykuxHs<vap%rh;?}#WsrV70**FQjGl`>NU#0UU9YJIz`UgQ2(=vZ!5}i5B~3! zKB(yMxCTF<*jlljA}4;BKTwhHiIbkB_&vqNigzmBrTC=c4;49c#PS~~{z37mVggPq z<~LDnuh>bkNO6E7r<f=|O>w#6O^Wv_KA^~{Cd$95_*=zK6dmL-KcLt~vAyC2iv1Kh zB_;JME?2xs@m|FT6gf2|^(+2X@e@S{_s>$lB4?~fcT+4-?4`&lEaneYoS-;GkyBU9 zU!=(SDblMIw<vB^d`|HN#dj6oR|I^iH4d@kVMxb?Ohq#;^4W&%lKTf>U!?~r4pl5w z9IaTUI9V}vysS|EJjEr7D->^5T%}m4xK?qC;#S3n6dzUGuK1MVGm6hE?o!;X__|{3 zIQx$B_bYy^ctkOc*sP&74*c3c=+y7C_UKj6*fkCZaJ@q~VU5Fz?f-G0skI^KtR7I6 zcDA+A39mV3ZNL4Pwd<q#x7RvL)*Q39+<wfiSaZx*dHXS6SyEl{=U49>e*ETItZrzP zSQq$9^3gzYLS6iy<LZKcy5jh%&;Q>1&&_L^2aW~;@kayk7sU7Q#~lsC4XuuwGNAg5 z0r8(Lj_=+ND;>uB^Zj}L0{h%o_d0iDsNS&6dMEwu^j+z`yR5bLy41r?T#qViQ{^!$ zwDy>l<HL%EcbePn{6_;md(*UxZN+xAW4EoytUC8e>#oXUb_!ZrXxI5a`*m|)JA0Gw zXu#f9JfR}3%G&UgF|UmY)W!d0T&uq|N1Fn_AAQr9?~n22gZJk@H{Vvwc0M@z;9kGJ zMS1su7S_l3K0!XmQ|UIpeG||gh=HFM&jZ4Y{`s<y_H`=2zhlQg1u1+(pol!VmFzx@ z8NRqB%<u)unIY?-hEHXNKW;NJd~($)oiCig7=pX}0iP=1qN?bp3KFTtA2^?22fs%M z`m3azpSK{O9s)Bijyd|N0z3s+&Lq5(i@RX|3-}M%ylU#3lUyXopvxcn@j<UEqPzT| zKgoq8m*LMIK=M73v#B9`HIja$62!zXh-5pGGzi$kSl87g@%*hMyTM)2Ah}#InAj<j zi~BX#Ye@nx`}SDs69wO+h>*NLwvsyHk5}$Qk-L;~2_hp&-m_XsW%&C9GOn&mC?mCt zoRDio?q*~(N6M8OM>#gj)hA>Iu4Ps-M+R5sTFOiS)0GjD*Gq(k7Y2Nd&n0}7g#q3- z8k5W_fyOKhkOiaG4LPzY`gc0F$|@!B-qx|;L5+zrm+)<7Vu?#Qo0+IY#ANm+z<rV| z#eXCuBZa9U0(EkILR2?C;~LdH$JM<IQgGttY-VB=B4&|{ZkF~2j;hEexXM?Lrl<_o zc;nA2%6znv;BI#G)x|{c*gjo;z$EKNs|hO+<<kvJeBb4pO3bT_;7Z`ej6w8F*q4Fi zsgIQk_?Ivl{~Q?bl~|YIw!Rod8dgB8wFhMm>M%z!qi2WB%%N(iB`iT~SdckvGjbQ6 zsD?T0P*1K(u@YoRVC$A3LdsDcij-oG*0A`*Tu%)qSJ7Jow_&VXgRMFgDaD*JM06No z2_l-(fFN_UDL0&`#?us&tLSY?8FX-iu`38HgsFC)tL}P>qDB$;i@bb@O5h#CK13Xc zd?@0<<(c=q4>>y#Ps4nwTN=(4-2|00>p3Yrn(+F`C5`TrC_+IAt?=)Yl;36G-wgR= z$ng&>K<tHhK4LLq7?JzMgbXAy5seI=Z$~1ILmZFD<97z)BE%JlCa_FbM5B{O_*g`i zDMK`YGHe6uq;ASkcNyYjL=)J5&mgjA-befpaX;b##9GATh_v+t5t9+U!MokL$n#mh zL3SfwZ~fPu6V*?GG-66r-fnG@<;?8soZfd>?;(S7&&}?ZlbzeCO`DADye_%U<VvSS zU%EETxu<Y<VXM5SGh5~D&+NsC&;UN)5uK>xJezkSwBa{E<-;D{S<uM*p1iE6o&rT{ zB!`+C@E!Pn^7`jjn)7V*2R=?Ze|f5RM!7>9J;&MeOH6TotKRf<ufZpq-{iU6;QS_U zUcScsX3uZ`^ya_RyPhG>80^=<nAZXiTWo-|FPmWUcr8*Btz>=P0@okN@tOsbf<=8n zL|!jU86>USoQCH&%VOlZK>_VmCKw&OHhJqUz+_1d<XA83Gxg3w+LS@kYK6=Y6B<n3 zRiM54CZ|W|-4c;!^esc$l%a~g(8p_<2_}!%Sg*c$(8smdY+o{>(YF?9QwB+^0EN1H z7$(UZcCS8vOLse|uPLI@w*_gI;VH&24UOMrlz?WEk+x{BTqiVYHh3l&xu?KDw^kVb zM#%Ls1dwtJuOpf?>3xvv#e@d7k6|~WcRP}?9Vy^(J5mwNb~H!Yv)iqdCRo7^o(ZNd zqUW%*9zww!FwX!Vhob1Ce%9&Lw-1?Zz%#+<Gqgc3|C^ZKggMC*Os}}Cf5f|<>=X8f z8`$H~(3p2Uahl0~=GY!Hzghpw9`5vJPil`x{4(!)cBVY`HwzOv#t{1{mMZe$jC_s- z#A%8%6=_8vpXV&`7R5Ui?@@d}@lnMm6`xalQSnX1Un>5Vh(YcX#Tp_GG?|w{eScNj zhrVL{yw_!ZbEVHxI;3=GrR7~b$e*k9`I<jS>0wG=tn_%Lrz$P;F(`j6Y1nIIJ_htH znlJM(pw}yXkIMZQ5&WHs^1=_=`?BW0seGA#0bkxDg8awigRWM&qbm1T<@<1g%Jvf> zC-X39*BQ!}`55p!D4nBn=sxLRo+a#O3@L&<eo13U6>O#0RWV0VjvvTzyrO)6#X*WA z6faV|Tydh}G{vhG7b-4Mq|K3fZ&O^OD90E0Ta@0a_?Y4jMLE78_kz+tR@|dVBOdjC zr1-Jo0mZ|LpDEJHM|nP15t}KdD$?vn{yB>BjxlJlbpmA`9ayCKC5jg+UZi-5BF%o( zM>`{NiQ)=Hn&`-v`Ewxcf}|f&+^zV!;{PcAOtD7sh+-0t3zV1na3F1nq`NE1JU8f3 zN?)xwTamUzX^-L#MKgc*ywbZA-&g#lB3`+Z@-k12dT4W`zP5^;6uT)FDGpGiIg;{I z6lW+_D4O}a<x1b9c%S0KijOJ2uK1RsjI#lEd}lojM05V&Rz>L8^C(UEvFB4q<;R{^ z1<L33o%;JK7AnS`XG4`Q<2K|+D_y2IS+QJkhGK={JjMBn<~+Pv=~arAifa|uD{fNU zqPSIYyW&%d&nP~xxJz-j;_HfUDOM@IqqtA;L&XD%pDG?!Od~cluS6%qjd*vqdv0E@ z#?C8^#yE=*JFnziQN1Q;9e!ZRUVKZ2-;+7#&^d`!`2Nk_8XvwOV*~l!9cTJ7eB)+y zjnfkEwRWF!s6)&4hqiQ{ddTh^AAU59?_LK|dc2J9%q*+%b$+?V>5Omg#QE^;9ePBa zUDfl+9pRtXSS9a?{;i33)cAV8TVwaWqsA#&hi~fq9QCY#{-4)4iR}(OFmBSJ!1T;R zq3J0-Rv>40jc@u2v>>H>N&)<#CJ(M!S7Wt&7qQKurJdhJjnE<b*45Z0??NYZb%w45 z?GCL-Y<p<=g2{*e3;ONE6{u@>jWh1u8h_%t8vnS^p&OwmzU8`_c<6~knYih9)C5qQ zAG|>CiH9ES-R97;lBtKBlAht+HF3RH)WnzUt_hT+^bB<mp*6nb9pU&Rq4*!*n?0C> zIWytR7H48c%*o|fdlK2F<1<2s&RNhdd>_8h<A=}Kc2Ca0mwWuVRW%m+)=ES_j<fst z!_6o$?lgYGrzQFv+t#LYT6pWHc4zF3&e$8%+a7vwdN5}h_D3+cExzU>-{^MeOZ0J$ zi?VzD_WeLRAAh|0hz)q}b}V>!_4ip8v4e7@^Tn+u<qO=%Jb&C)P|jUsneX|`WkxV= zI(fkWFAvd|d^?co4@@A~!DS-imm@vse+*RIP56uXo(~t2*n{zhcO~Q>WRPd6UGgyg zLx?h`=6>Gie3JLz&;7j5c#`v}K|b%pi*LdV=E~=N9zrUy4Rc4`&7|C?N-`lo!u=b| z^oo!zkm9qQWsjCJS&?J{&l;D@?Mz6CkiA(3&n)_7Aa~kKJ`%*Mq&saU<V2F4B7(g3 zq0qm=JE`8OCpOBxKIl8B+%K51NtX=$dmM=Ar7_5=Kt$4;yIpceM00st6$v|$f@wXP zJCZ7HN5p(l{m%=H6WyZ-Y(@RoO{p-5sPjEcx~j)gHOnvu?~*b(0}=B^gj*41K8y*s zTF)1ShC<CkM0~Yp2tWdPJSM?oQUVh<BYM9V<dG|OrQA<tYuSEY-YO75>rX>E{i&!^ z#%5ByMkQJm!9V+59%+_Lc6qaz5q*hoByzSOLdVcfe=0@}sRt3EBbhqL11X^u{&ieX zPV{^;4EC@Ia-a5Z@$Ql9r5~Ht2t&JkbqJpvDw&p(J1jTXxvs=X&MI)GW;t_0PSd{5 z8KL}~{A_+FyJ^XF`MG#4DYpPpSw>3o+c+0e*r<@qF8Mjm#H@NOYNb5*PP>p>D9dRZ za!RwDt3%E{ywp^Y8P=DY_?aRHmV9{~i3a=_hmK$HHq$Ar)^7K>FFQqy`Cr=1uX76h z=gzafu`dLDB`d!;w~TBDCnZklw=weG5xN3j)z(iD)t@toe$Yv}Ci;b@e|N`?$rup4 zwtS8wCUCqcZkoO#{>HeK{+pbeeKaO~bsIjr|GRgTv~$f+d=6+{*zh<fp+M1qk?RTu z(|q1zpd6o}P4H`immm+@`W(bg55pucfLwwp3@pd(&NIlQO%{)9a(}>f$pRvv36G<p zw;KXz^iA-jnO%qmy<;*xd6yyWtvA%7;qM$(c*-DYrD4NEOlUBwXMpzVt4fX9mc2Io z<&ZOFsDkS|vB{BO@)m>R)wkcHkLL>enf<a3(Ud{b>JNRid6;05tHJQ<I}Cj+A8W(E z7d)29L1g#<&-C=bV*-<mk186uEl@t&2x%VWet?Kw#cq_)9G%gS4Sx@EJ=Iu4A!l^6 zpgFF7jI?(<YCZjeWTao3BaM0^VIlOr8=<0gu}=4X#m!8^vvFf=`2AD9dq>HgHHmg= zV{G_5-q`0H)9c&t-5Z1$e~lcgzqAdXV-#(^`HH<2ixdYba!jGzXvGPN<%+WuZ%|yJ zC^lD=<M_k!8x;AxNP36jGeitx&nxaC;^2Li2)Qbyf3EcZD*dt2hm=05^k0=enN7bH z`jmRb#tO_Ojrw{hzfkD`N|!2LsyIdS<(V7h=P7-?=8J6=e6is}uiU$XzFXz)Q@KZ# zMsw@g06A{4KXB>@h7>auyC`NW@*$k^e8eFRRve}{Ua?H^O2w-b=O|vQ$j5f-k^Kjh z{Rg~5`41^_Y@^%{6@R4ovZCxi<nL8l_8;gEmEN!TiDHc+O#sv@`wvJP0O`{eJ1BNm z?5!wsFpx90@R7>rV?N7IRh0b&nuZGUZ&qBT_@Lq=ioDKJPUc#GxO9BsTK^;3{xl-S z$#kXhjqdK<U8A7<pXwXkJ#umyZA0wZ6qVpsz`Y;oh&oTR_^qWowl57=23y?Te0AEI zRD5gc$4isL8xF)-|0Um7N+`$If=ZLu;(I#V@U5V-8}SXH<h$K36U7a9`;$cb?N59I zZciFsy)n0_`nICt>gD0hsmqf1y{4gC{HupoZ_A0R+>n%ruYP~@iGN$t-HB^j9*hqb zSKrv***N52AnCEx^n-r?kb`kaMb*m+o8P@UHF0CwK`Rko1b6VYp<vvRl;j}??If$S zb-3kHtII`I`)aMS4{LFh;QLJb@MRu+%c$Z*d>LtFoyFGJ`|!P`5Ah|XrT7BW+n>Y_ zxG~AUZdqMC@`ARr$-g0fV^Q^r0XNk-;8^zkslg5Yb#ZG}C82$G;BNb_JCa(We%2YT zzA^kns<l4h?*7#`7Oen39{fPkBdIM9+E)MS<pYKs^!YcYCf=2DFfOtGL0{5k8TdL< zFd1!5TxT7Ac?oK`^6lEum1DwJgwZb3X10&*&hb^ouSVNTu}vvW)?%v)gKPHHrdUmH zZ*rG!W3zScHuwji$G63ANU0oNy*8)mrVndVDzT;8klU`X`o@xG8-nY7cVk=IZNrzG z>;cXOZ0mr2)k_QG?zSo`lTtRGelRh9c=ZE)1|CcbEUQZxa9irzpWypgs4Fe>VQpIJ zN425Kgu4P8@Qtf&)cnyW*0$l*8w#+E{rA<Tm-;t;Seu^nQEggfA+%B(H4U%6y?2lF z8}Uu41g(E~^}V_KYFp)eSlcQz@Sq(islKITY3f5sJ<fFQ#+R$wkvF{h;Xc%WHiAwH zU<(Q#Nwq3Js!gx7Z%57T0vphtEg#ipZg<<TbU<L!)9E<Iat0oBAb(rY{i)-^6=x=H zBE2p(oIdbiT;P86eF%LYN={s}__IMze1v}A8U9f?bwl%wLHo4yrTv?)YjMx%haG=O z)kn4ccfE(>Xnd`|&q^E#%aV$#mll_0+=4ypOB@!C595VShsVH{C%?A?9w?hZvda>D z9cy_K`;~I;F=C(JuIkG0jPi?-k0UZC?ub8OMV)WJmZbM^9AevwmqPzzqL)W`Y<b`L z2}k^Kfg}Fp5B7dkTUxmXJ%75sBQgB2m57*hKi-r05yu!gh1EA?TM(ZLqy8TZ#4l0S z4$83Zi<8mI7vK%EL&KWSd~=FsVu$85U+F|-!Vo;0GF0a?-<*<Z=wN_XzNp!_HOu$| zGHlvGc|$Pb8?uz8gZ>{tByJ4V={KiFgW-6;ITi1J5!nHo7Hd0<Kg=oWji&ppdVAoT z;B`X`;@W80gGkOG$?K>+_+lhqAjzq8yMz~!1BeN8uelo5W-8}(A#pkq_Am+;gG_2k z;ZaYLS(<n@K?oYU6T3$8IKn6LvhU{d#yv4e;n6Jl9?2mQ@({_?2uZg%;=9omZY6u| zpJp@;_D<@P<bH;p-<{VlF!3|=9(RU5+|*0p(*f7|6XhzVo(#EX9P0**x}Ly7up<*% zN%E4dfT!Ig-v`b_DL6RX0-%BzUx&O~!BT$$%klF^MBY5OpGr#J%=B3FF7v3cKeR9% z)i?qYk>IvsB!Lo;ER9NzjEEr-LWFUdpps*wlB2+l1U4bjx`#Vof)VrbOdjvc+%}XF z#=9*a&6ac88CzA#?=LaUEwQc9Mw`bR&ysx+VQ?p~JAKymet?9I`0s^?FDMZ-JJLL6 zzk!G%Y>fo=DtpmE&vB<pFid=)316j(sISkCsIAYAXl7fnF$fU_k&{^1_%kOp>CdD` z4j}a{UJO2Y*1Rh!=FFNbU&Ne*9nly=^&51Ol@f9)LQYEG;rXrGo}H23Hn&@LMozcv zuC0fh*XG<|y|W#B4XJ4;J3Ax$66ew^r@bp4axN`#+Lt&LSx%F&L$djaqMRYw-3I3j zf^V&XZ8LH*vfE~~9&&!`^D>5<*DYJBK*<v4s*rP9$-2BQd0o1;X`j<M6V~su3o^U3 zg^p`NSZbc*TpudP?wZ@RO}DmP+ji}S#p$bCXOHXCx_mIm9Mo`LMw=mnyXI!J&1gGt zSeNV}-Og(>_+n<YY0b7a3!yfx$GK{rbMDj&4;6OK`)OWqcVTBIDKx|>D#3j*t>EtW zjZSV2Ke^F6rn^0#JHp3!;PxwGJN}9`@Nb>@d(M=(@H%3h<m*LWXa@fx<2SA9-oAFf znxr=UxzoO-pD~j4W?p-ECdVNS9GV(j+ddxxU&$_BuLHlcjcY$+h?MYkY~zn&UzuPo zHUq&hx|z2hGB0`<CfN^pFtbF$Al$6+nf-Kd@VFkab@IY*%20SSGVf<XgUOo$+N7CP zjH_zCdmR)>lQ#jBw_Z8}Duf*C<)xL|L7azZ${=Z717?T`4JNMwv{zr@8J5_9sE>DH zMj!1nrVNtSI_RUV$OMzO91O3%Qjb1f<BdMr<xCmscmVopJq(jvhdi&oGU(&>v3)c@ z7<~^Q%`!QN40ogPZyP0`nPl9qXym4&QG7%(!N@%e26lag;eP<RhHT?+L%>swm5%2M z-b9(e_A%^1^lnGFr(bxVZ?>a3(x^8QN}=!l2o<f1b$SjDi{C<MjBUIXD(ljKJ`YQh z-fj9O*0<9x6%D3WT+TmYeLK3_bG)+0qoFajaar1fA{^f%wsG&!jQ75x_Hl29KK0<B z<?&A&F!wPLr>@|c#H5q^@rt#PhqWBX7U~(R$T5WUbj9lw7b&h%T%)*A@m|G;6rWIh zN^zIsdy4ys7{@+VJV3<3EjDq~U#qm(#6bseFfl)w2wH5apwCjez2+Avo~!(RN)J+6 z))}Mx70REi{FzG6Rr&^{mnkhaR@5iU+EAWG3btp9=8Mf0^b<-ytNGXr*-jp<++Nv# zz!as^73Gly{BBC;DsmiPIX-g{`zi7oL;6BRK1PzhMDa4k8HyZ)m@oSi_&ueUD{^dR zzU)`vol0+3ykBvfqU>MD(bB<kFDvd*d|T1j&Sk$tPWChKQ_VlB_!q^$D?02y$eqfD zPU8vXXsIF6=t3N!c!}a=iqjOYR-C6eUy%kEmcLC=>`<WZP&(GezC-ymEU_F-GQ>TK zw8@bENRdVv(tlK>Rfe?qV*}DGLpr1=){a2*ctQ6_zB!)$q%_CI7@K|1?q9}cpLcGa zD}L&4=J5K2aDvT#<@Qx!Uu9*`zTLmZfzAGhOPuid4Bz?@wZW@OYlB^|RyEE#CvIJz z@XK|t&ZQNxq&nccD_jbXFe7UHGtLPA82k<4sxbM%oM5iibx>8@Jx}jIX-EVY-UW%* zy0|5M@hI09<+^qczZZU`?&V8ZF3#6^ze>-b^s7cXU~1_NY4AIhRQuwp!ggOsUj^OY z>l}VF{3^7A-;k`d$L>2M{6Bl0^}fw7VI8Ay-Kzff-KQOP0==tzoBAH}B^4a=on>DT zIBdtC7JjL2*C?xP=YCf{Idcd2S0Vql)52$>j@L(6A^U<tv^6PwcGZ^f)Nrq3zPoxH z^KE1Pp1L=X&)lcrcjx(IzAg3z!6QC@JXQvuCb_2UulD-a?}A-AUhT40TdUpEu*u3h zFS3jL_M<?%1O7fotQ2PS4>s91cpTcn$zVE{GTprxNqnzoH3?tfHfBs>+I+8vN4oPU zIp%vk*HZ>#AI!6h`5%nqS>+68dj4wR1bTuKwbaTCm_Hy^TstUZYtS!;vfqEX6!5=* z0&%=5q4u7DIF8(~)bge@-p^qs-p|1$U~?$82jI_Lkx8_Ja;**j3hAzh!4R1PNlqfk zQQaOi5y`D2Iq_x>{ymcKBf6H_Ayl4%jKn!e*h4AIiv_N+?&zO<5BcNCPktbh<o&L4 zdFz<S>$EHM7m%*!nqM(PN?*p(r~5#P1|f?`f^FGC&LAmTgj@{LYQlbTHOOar?v7{> za(hIBkgr5E2uV+g2>*(f--xf8s6`kX+lVjP+=?EBeV7-^NWje!?y5@(JOpTUtp&Cf z(bc7#>~@Q-E(JRhLP){<OJFg$SYfGnNFzz;fQawXFWPK0KpGN}kckwGqy$RPE=*jB z2sS~h9U3WuM7oq3MbJ`XiJT;XL71SW#(GQfuFh-mCCxj5cx&Vu|EM*7rg_46WvG_A zygZ0VU}w4IVSUAycbHy<h>aM{ZulFb7{OsKW}0_n@XJETL&T?e?9EK{atU9gkE9S3 zqKpJ~Z62b}%GpdTLBw_vE|=t`_?HkX$s1RX?;LLNXhfe?v5+`XvM(ZV!@(v<py(Jx zpEY|SaXcdYybxT`+4V$8ph@_bz|w9jM-rwYdYZw3f=8C;SRjkXipPc?WkuAI#d}#C z8U(k%MN%XFS|PfptKYC>-x-4WeG%DbY%llKeTdH?{shqk%5amtGS7gf%<m9+S5}P} z31|vuq%sh55Y0a2iUm#|T#h&ck$24;>%D=;mI(z&``mjzyRK;ym|Sh<BH9gFR;_<U zFDuapu`luUi9Ix|H+9#0V;%NbEYUvt%7u?T``8n$HvgdgSzMXjcIt(@^Hyc{$_$=% zb_JZQv<{u`^ed6&+2ZXa|B6BU3Ve?OSd*18w4T$I2D>o3zQI(Q%x}Sqns3w>i++aU zpKGX&nAy2RJ8ERE$Bwm3H~dwJXkn^~S*RVgP{(%fzp49`WSpiavrqF3Z_qy73x&R> zefqoaOPH}!hDjmL!9TCej%F1o8sL!1z)L05@gxu^$9roN%r#^n7#_Cx@M!N|W<=EF z^#jG*dJ_s-;64R%w434)HDYh%#mtnU>~GP~9ZYC2c~d}}G_&qNgFb7Z-U-O_);l!K zihS3C{lM)Y@@nSQcPpNgL*SWU@@V_;>YH(f`#jC<NJKRHZbI6WLDG5z`nE8k!Q?Fm z?bWvs`dE<qc-|O&8(cR2-B5x?^UlZwlUxTzJ$>o!_EBF`M59lh5kTi4GAu)(ca0Lz zOfqg)G;(9{%rYB16O7!mU|?6VdnD|ETx0CherzO9braY=hCPVh?O1{BNCA)Ak&0-x zgHJQ4Hxl|n-}@0Nx)0b+&*5S1gEHQYu)A?6iazRRonC!GWOf111nOxhc=_Mir(0Mp z(MjwRj_(cFr(?b`;k_+#$DA+YhlOJm?YQ}hJf27oP#mhrM=SDqhfAEK$b**jHHym= zc@C4mR`EW?hZVOg{!sA+#UCraq4=)i9~A#gjC1`968}aT2c92&#rm2OL8mHxw$k#r ziTtig=W2c*rAritD_`uD(8D`y+Vihe{v1WIOGBU7DIv%4o%M@-67(i5_ki-n9u59e zO4F>ucD|xCjTEGRs`Q6S|C)&Uf3N%_%Kx*{JTX~L?3but{APeoBOi2z=A)bH*(-6X z3(fPE{fHq#P@Z%^%W(nBQGQQFj!Ts9r#Mhij7;G38pM1#K7dy$JyUUxB5hTayFqb< z;?0Vy6*(qTZmZ%$ipK8!q|!f9d{OaLMLCX8p5q$z{8I6^ik~PRQT&tQ=ZZWLS&q+# z#5BcB#g2;dgoS)wS12d*9KfMUPgX2foTq5)-Ak0FRe<Fy71t`tJO}ujm3~O^QAK0- zepYEYo*=(h=~(;s$I3sTNUIp@ty6S(+<*=!(l|!CrD9t}@#h16H>Hig7Fy3JKR|Jq z;t0jDisKb&UZZ@4BJFFWmn+_?_<cp1+L(W@B5iG?pHif;jkJ891W0QeY2)wZS4#g@ zk@srwtr?jQ0x9ymR<a!LbBM9$4Q`2qAAA0ERDSGvRG@qrXQ7W40qQMO?5{XTaj4>0 z#qo+|ijx(~6=x{QxD36#H>3XfiVGE&D6UYfRFv@=^5#5{?Q0etAMg&sx!v6f3i*$` zgODEz<p{_9&&|o{(W6HwbZ*YM_-8ovd<OjrBAhUvv26R=ATA__A6gO@o|=Kx)^Enc zD@k(LzH@kW-%i=bZ~03$eBO_)_1`wUx>x7y<F_8qrf+<#j6SC>@!76*!A(8G{_g$) zE6X~(Vu^jv3tX}N{P5~NEL)p>{I=sLyJ>j!xgD~PudD;_SjW1gw>sA)yv0)X1=Fgm zdu|S|4sQ&jT&v;Lx$Ux#FF%@nd}$rZk#3uPeAypCA8A+Td#g*G{SxH**cS}1&hG;4 z(761Mkn97xD_hFaUFwpXx2<zpxi$QBuXRUJxFkF(To8WHT5{$sXSO)(_|B=iHT~^= zqtC>AO?>lX_N6Ju>>-(Tac`|IZdrBy4pQX0oaR+=;pFgX$Ly=pj@jSqP#1U${`kvQ z<EsJXttPZ0y}Gz&?<=*|E}xmD*tF;OTf=W)p5m9dX~SuN;J3Ho-(HTtI>aR|7b!RR zIsyI0$Nn=ID%8h59P4wyf@6I-?j|Dbmw5^}*1w-4%0_Zzo&sL;c@F`{`kdm0V|`wM zqmK2n$n*!M6YL;o|KLjhGZygsyGuDghrBpmm*Uj1KCek}<#s6`-p>nyt{r~|g1)zH zdm>nl4@7WQMJ_pHAf0E@R)Cj#=a7naGos*7G+`uj4@=q#jG?xsK38i+M6{_dq7}KC z&ZlHlMT(@YM0+=i=~M~(ios6eBZeJ*6aO4El6dC1Yuu?IX(@}yRLOdhY?3{AFOs~n zCG+xY51}9@Y@5g$_hGyiP6bKDSgB4j8AF^V??z5?C#o6EoE;?P&QnOXFu65_h5QA{ z%m`Txve_>{W*{=yQ#g>PaMbuK@=|zNJsTX{kAQ581P4TW%FUEY1FJ6*&AG=~gg~)! zmF<882P^wZ4&@@dh_YM26Ir%QYtKUBbwqm_hjpo)*9EElzmafj&qLy{NX6qo7mXiM zV>%RxMsA$S_CTT#q<vMMk?oE|b6&PZmRk;49wNPw;8AbSq;s#7i;*17#1JIPnYaK6 ze#fUd&y*INy!$>VEnSSnez5FWk5iVVnJcC5WrC%jLZW#Pjg```fx?|F>rO$W3qAXW zG?tW7{Pd5MI>b`Ez@ElB85*8s`Wl}@kQW8w&Pg7e8cMQLaW=)_JjJU7af3l5=(Ld# ziCK1o-%dzE3D4UG$<e7MzI@OGr03OyrqNt<-`RF<>PY*d__0ZsNaZPUR&FXwHFu|| zS`;Xq>XpR38|Ba|%y#=l9$g!Hp@C;5_&jJMfyX)~5o!VKek2Jr%{x}b;>~<gU@^}; z1B<$)MzB<}<c=V;MU;;hL`(4rD-yns6s95wf0X1U1m2W_OBe?Q^qw<PoZ-0jjs!mv zTn(e58pctBD>y15g+vfB4xh2dtPqo}5b@>#VG$xbhizw_l){7)lMrD`2t1qP*%D+$ zw`MejOyIH3875*F5pQ}B7AV`4q6k<L*liqXIZ5PlFe!t?AVkl6PiK&>#_>jqr|?vf z8fREMQzr^*tYJ|<x65bsk{)CmnD*vVIVKwkRE0S#lPi0*EvP1NEz~P5t#!Ll<{9nV zY$l5vi47#MR8y3S_lSTcfg9tgU?NCw1zDiKsC~@mX4%$Q!gNG*75?48>^#IK7)w2s zlg0kAt#JgFjFB+PnnGDa%{Ilf-Z{|Vt(V2G6<%~#lGnfviP@9vugeiVZvm0TenDOM zmq1p`{wC=jsnIHVV)7KjTQF`p_ms^L)@TBA6aHU&-vVA$akYK+*(b>%i4ZYz6Z9Yv zkxMQR0Wo3-As})I5Hw<xaF2)(At)lnfQZymMMOlUCRS>xwib}DzqPbY6%{XSqeW{i zSn8#yg)|5Xq}KlLJNun;b|Ol=eEn*l&XdWz)|xeIX3w6pFSBOP1j@B@MD(<GgnH0q z3E7P1H)9!go6`{|AhNcr5!WJaL##oxfjV6gsWTaoI`<&fAZ|yrp}Bgr#oj_&(-CRw zK1ABufoMZ>^=$uOznP8rUBm|wUqGxyw83^5>hN4s=OIMu>_oJoxq7zy&=&g%Z9RfW zTdyM8&|E$Ctvp29T8KznTM%h$7orW#)uXKfMA}-4NLxQaq^-9QZD_6@J0dTT>~8ca z_eG@hwg8bsPBt`GkNUiZ+hC@vd6O}l=h%g>()+t$_LN!kr%wAn_Vk)f;$tJ2+CpQ> zoVn8`PPyuW+4CyqrkC*tDM|Lkc~@LD)e}XR|H9LbS@LO8MVXg^I~B6v1`Fq}ds2>D zHr$P*yQRZJLH8CnJ><Gw(nF4Wco7AE33>uIG90q!7frtf!uKTnbgkLP*ZSR};qD3P z(Ayo19H&gTtisLCaYy91{fnV`r^~wRU6h{dXL=iEI#T|+h;_cxB@HdNb9x4beEAtw z`TUA3_^JWD8+oX)W>#;=^O%@N%G(j7A3WOLN@1Vzt<=Pc<3^4cl<zrp8~JmOu{}t0 zT;V*)|F_QSPcAAJb2>LVLV2vw1jR*pS$)}XeR`wOnzyQ0%vnvxzsYFIp<mk&$M|}x zv0J+z_a7NOHt_Y@FeDkjy-)L5^Wt?(pLI86`jS5D|Lz&WtDYC^J>pETVMO!l2+a19 zqyMcQha5=9D}{PfZ6av<IK-QyPi)qgf5RI0rSS#{H$*hFYlpFM=(T|a!;8iq>xI7E z$lgLEp6n~Yl2e=L-G+*$+DiG-N<sU}Ej$GR=&@Xu$1KEoh}Jg9zypbaK!k*XO`8M0 zkv)uwq(DY{$P%@;9JI{?GVml4SDCvIxmem#(0+TRw5R&<cVp|f&X)&dU>tloCmh4x z8l?H{m3Q%ukM(PbXzgtP&H7~`GL&LW_cdRINizOeX!Qo1cx<2bW6;CtEC-nIF!VSM zXM;7(2TDsb?H%a#w@N_Pk6{O*|2P)lIBYxfW!)YJvPb(e$UvmEw-F|323r=<*Ia>Z zXpl?@kLR=A2ZghYCGVfL=Mx#yFaIih)^<R`E628q$Nek5sbYU&dwZdAZJP5<ReNM) zoACO644-x5eM5W?d@PUGoX_L4?oNID9*j>CI8gi$;RxXvAz#9%KSjt+Oa5BnV&O{R z9m0Er_X{@)`5R&Wt->1Nt3nRJGQG2qW7_0-JtfW+UPr|B&iImn9O9)f*Z7p7ce{Aw zQwCox{`2~jtuOh{%nv*JgvN)A@{G?9ay~V){6r#n<3k4DUVIm&cN5=R{JBKb|9m2R z)uSZ8SU5@P)0AEzx!G$S`b))sTY9%kuUhi&iGM`A-GiM&ye#hp$zK-!iHQ2VsdO%| z!Q(nCo)-}ENkr(iBEpW@>jCmE;(JQ3pY+a^e7NM}ge5|=_XF(A6hEH``_~a6Um^MJ z!h3{OO5Z5H(cbRJ$8lfQw^sPF^wHgneO@hC4(}gC{*H<5gl13!d{6P2Li789oY!sY z=Lva_CqG&^PB>XOU3iu7YT*LmBH>NKZwl85*9#vIeqYF!cb3bSZX)MJ5q~axM)<t& zHR0>Rw}tNt>xGAe=64Bu3GDyC*1|TzPD1m$MLL}$)Z>6VvA-}!I9NDTI8MkBdFs>m zLZmZ`Xnyy=Ys5GD-9!FO$-gc9j_`gVy(F|_ef{)=kl!Kvz3`7h`YM=SC;W%d;qL%) z`>h+FE68^eb{3l7IpjUX(`Q4yT%p~A#r)18zg+UkLi%l}Z+_pvZ;H3S^Y4hiU-$#z zkA&v;jeNfnze8w#?~v1rL;HJ#2ZiQ$4*AF8F_qisoh)oGq-Tfu&k^Pd%`!re)0@Ne zVj-P6<n5j=^zD$hd%DnnLw>c8ZXEL86VjVQ{xPBXU4wsKJRLYp|C5k@9P;lAj|%@G zH1|z7^z82)-Q4Kg>j9s0nZN0EAyx9G*N1MBH@!||OWt3YBg_@%3k!us!eU{Guv9oz zSSFk$Tp+a9k4o{&ge!zAg{y>Xgw?_g!i~Zo3O5P23Lg`06YdbcAgmSc6uv6lCEO!? zOIR;FBs?PgNXQ2yo{x|)ETlt-aylf59fc8Lny{O&r;yLH)Xx^?3iE}-gd>E7!Xja@ zutZoUoFyz5RtOge7YQqc%Y>_hYlQ2B>xI?A4Z@AW9||86ZWGoBw+nX&Ul7&`cMA6i z-x9tf+$XFT9ugiAek7!$mF<}<Oc9#rAf6xb9fc8Lnvf1zb3TOqg-x%U`H~M4ju1AT z6ICktRAHHLmatrCo~Ka1O7Y8t?R8wK?0<OQYo~sgk)4&59f^hG&Ed&D`|Q4+_VMTN ztiy8(LZdl6^;_=>|7m~G!fR`>GtSK0v2#vA-ObpM$iXf;PGW9d1auHP;{-bls_VRP z(W%&z2)p9A-MbcG=1X#3-RTPh*mDT`4ut~gV{5tZ&?(#}XuwXy!F630a&MrWi1~GC zpo0^3A`YoL1GGDHr*;=|1{TzHUD${D64#&xxpfKLn+UYiA-8S`=wOF6*o|jU-BQqQ z_ci<70eRS8=;i&P0c-Y$h7Yb=20Cu|n*DJT^6PE_9Y0~s{`i?g>Xw5}n7L+uLL&DK z3Zk6Kh0niuzEjiwSu=~LYi-e~IPS#F*d^%nf?OOqjw<xp<`LK{2s;UNIIz#@;0y>A z@YqvolMB!;-49`JARhPa`<(&UBWOV9PT|ZTj`hZcbuT`N+ShO6-au^VQ+SR^;Hq*O zXooK+eDQA@@QtyV6YGT6BMBcCn_o4zlLi-x<F(DbfGLF+^M5FzgkZMn0VK=^7yE8F z$5Xk5d7bb>Ml<xj5r)jwIUM4}ByKfC?p)_KKz!&s_@ChX8UGzlk?_7?BRb*5;JqDy zBH+Wkpg1Fc0J5CySp0KFjlh3C@wJ#ub~IC+afxQ8%NUyeJ7UsNO2<+)!xVAsi4SRk zq@;mKE}F^p&w&U@9!AY2H&YnmTS1=_8xlTcE(2#j_~tUS@Ua*M;<}2yxeWew6?q3| z;2ET+omhg3gHEB`2ELtRUIF2BM9en`8DDd{$Aqg$@Ua~!$yFpu5s{+-kiyn%yq}L} zZ%oYI)qZ<dd-jGSFXkvDSCJ@1#7C91muT#bA<(S_l|@w~x_iums52G1W_~s%3VaNb z2j)##V1f;-Lww-A5P8yhh}eQ>G_e%Xrh$wGDr?FD$y*RHCxCThIhv+GG8sjpbV8b` z-CU=NgjdzM!Dv-GLYa-v8rs16`h%b6XYT(wA5?lv!sC#lJ~gz3&!>jxO*E@%ANRNL zshtoRHDktn_qySO-5Kd_>)|CcX3TJB40l_npXpwgo(678BZ)gZ;`YiJRe<epitwG~ z_O$M9Vk85%%BEY{4<1l5c;vVX#(DoIj+<67zg&|1afK5{Trs(1+?@Gyr%WpuI(Tqw zX+JttqAr%$7lX#fax8z&r+9ljS6FN>m}pkBbfEdy4F8tbm{CVhBZm%`*_YF2@~kTd zquR&tq4^in`^x-ejr>hzbLLh=*l613$Lv28^=W;roK4B-nSNgrpK)y94|Y(?lx3lt z+~q+Is(m^C5>MWL*X&c{qBTwUGX5q11=U`5hr+70%>wvN!RHzqEIAkg?@`lB*^Zle z1c|(b;8ECI#&I7v-=o+()ci57Vh@l|uxZo4TbfB@am~BQC$Qusr1{Hz3(wV?kjQdb z9*=`qfoSu94E!Dm5fTbEjSo0}du!m};H{tb@azz^cQa_42V~$d?D-!NY}$=T^V{3t zv&Y|xt>4|CZ61(;1T@+OK7l3gM4I2;R@me5v3_XssJ-ujW}Zw$hC)2r++mG?C&{=; zX!YL2_yxxgZLoSA`@<<HxAGzsdMy9auc6>8Ca?;6J#8i+kC)+DME`MY^R)}T9kyK{ zX1UR@4fgodX@f0`=shraDa9Bs&xNopSZgl@G|TkcTZcyL;bk%Ztv#RU6Y{UZzr=UI zSO}SV7Do%Wt>kI^EBsAtC$^6l*kZBJ9Dh>>sI&UKhJ4cB<lTdhv4$V7;hw(<Cx*X= zAkGKRIq_s+dm<9gAmY5TKQX<JaDZ@-aF}qUaDs4>uuOQ3(0ua-d&|UsOUSn+mc!pB z@jl^Z;bTPnPPYkbh`2~RO@w}}_?N~1N&H*l>%<=v&nI~1Z$(6YGhV=p4%1N%dj-+7 z6OiYNd_<TgWPheSL)c$v-Vz}nBK`s)-{+`*k?=C1X)nmj#q%0Uz3YWH2v-PK3VDs9 zo@qDWcf~&_{E^VKBhs6;<5Nn1R><Ew?Y%C1TllV!Z<<X1r!a}_2i~+Ju&sFWZVsNW zqD=2294O2a^193PkwX41$+K@0Ig6h-Ls%{}Z}5=gQfvID_WXb~`u6;NAl{4vL5a^u zw8ux&NmIRr%CRMN<apyi{m*XxelqmSBQzQZ;(jtKHid4#I~<R7>Ec!=+#aa^Ze{4+ zP*tZg_ukNbfjdW>>K;f*I=5ieJE4?Uj)W?2D*IL0FUpRT1*$M+(jukL&G^nLu4+K( ziiVZ%FDzZ&aMK4rFRjFPS4T?|4x}Uodd{x>DMp7*ul?hZK;a*;pUNMPglqnY{a@}b zd#&t_cXFL1SWoGLd>=lC-91(`RDST>kx=21hL!ct9SH}PHr#yJ$$1X?iLf>dR+3YG zcO<mRx&7XELJn+|{|@$EFY8nWI|0<xxe4`wrN}oMRv1ewVF8xPovP&xH=~T?(kIG( zhuv6iEDNr7?)c-8l**xHFCGci+*<bQGL#W?axk)$gpn;57Ep(j04y9BQ)==bzN<8N z_e~8;K6G+kDaDT4i9dNU;a>MUp>=V0cW{2x?&fy4wu`^ly)$%I+*<5Q9iLh2-W|FJ z>+qdB_DCqn8HjJ>LX{0S*2mpD7<|h|M{Gt~64EYQ)=*jR45YM8?Fj{`{HiSp-=|%F zb3^6fb_LGBT+pM-UP3+3>U4T1)aX!2soTjJ_|A)A`|a2-pU2J5yZ?op@8d)}S@?Gt zkq<-ufN>||uX4iXG8l|A-mPE)$AsL8P<HKpBh_T?;y=6Jh`CUP<4k`KCk#STYzT_4 z@ED~szX>P2f?POM52M%!yMz!9{Q$za$)GV9H3SeJ+KB%N<C!`+=y06NJ%E4SXJM0r z6nLM7d2|c&L!4^lX4C_RNuM9KP%!_&Ch^+n<Zs3QUWiHT_0ABoQ^@j4<P?y7kE|Ky z8p>kEz(6wZ2i`s<Ji-&sz&~dctLThPKAm8gpHj77G>wDb$vIJ$zP;o#sXUrFIb@y8 z`<2IXL^_#2caI%Rwo{a)KfdMTV0lAhaHi5l*y>e~HzTdp3n12mNa+vaMBYZWfm5d8 zAb6#Arg0vC$+XL6qUSAT9TH8Zh<N}qB^5$e!%Wj>*i3myH6=|2k@Aksv^<uH_lXo9 z@eEeRltfp#$@CmE^+%#9X`ji|n#V+EYbi6$HyK*uVrVj?gGga_F&Rej8j0|gj}!hY zK9I6Qj<{vF^ndBZ53*X>Z=!H{8IK#piT0bQ)_zUz;Jq9DF}8JKH^;UvHB$2+9f0A) zz5w<ckc8QYTw;&kC2{zcG(^$B#|n%e5@-O)g~Z;7X5W)8A+!D*JDSIbt4QZX`Q$3n zOA(ouxICH`t|Gl6$|svoddxKex)4W$SNX97_FtGPB2ov%7GbB8D$?w69v`kET^i++ zt4OaxWL_TaYVT-^Ac}@|ps;2gfo%xYVw=Vb2HOseL3jia$tAS32oa?ga}yZSw<5Zj zEl@>*#ej(oS@Ia>8biF?nUIbDtOq`OA+V9W{aeDIOkj}{5K%fIron2NK(n+18-z`W z*cgVdb6(&XV>aJMIXqFG=pq8o1&S?<?-DJRJ;bYPAt9y_EjABgp1?+BQCNV8AzXse zW1G}aILb>WtVBfqqIjSQJhfiMiU~YxUd8mQ_d3Bf5aTm1f;9X)-q7%3qcN7S1`#&c zQ$U$ei2q(G=J3~nZF2b3;0e)rkp@bMHV{*1dm4oGh+ZjCjf)`iHDR@<fkuutAvJvG zRvIWJs=?Gnh&cO%4TxSTQ4L--IXwlxY65>kjm`+u7nt;M1f|E$2s0V)O~5Sdxe{!n zGtv=71`)lN3qwS2rsUXBauM?o?J4JJ-GTTV;!B9HAa;b|2%-&NG#`5i&oECsPwi`n zeC(%_pqtNrbM@?*<|*b$d;{?>h<sYf^x12!o;|14u))*y9%4OWZ`k5p&xYpe+4IX2 z$CFcyxB>Aeh>s)M&|E$0+lL|2H{s+410RBF<_=E(j^88McOtWS(--^l=oz!@WW=2t zaa-o(VV%ht5w}C+G`dUL<z!}d?%Ww41}zIk@CDEA>vKRqVCavp=LbVeL;ODJpN3vy z<dyj1C+JohdI`SxDT%lzrI%#%&dBI|iaVWY4}@}%=UDk^%jPFte|@@pV#F;;hY7f0 zdSso_J2L|oY;7XO8oxklj<qF=a^UjmnAQ2TQ!-BP-PxTKanDS5FZpaEJnYIvJZ$ff z>aWi+RpL>(SdlaR@m!=Ga92U^wngdvdmD~b`qrZKwC-t!<=0P0?9$0_6&}~!JuN*L zf?vt^ZrjMqf$yLC@FS>f{2S!|ChF5YJF)ICapIUk`T58HWa^WSr(?Kz%Jb({{M&s8 zg+^iX49Y&v8lLuhrl`{>=2D|yDdvMSUb8RqA=KB(3x(GzT=M*Ws5J04@B(?vZ=Q0Y z@r8U+>XKi7cU0gMGy(5B_L(gkv_If}VnS#9r=EGi12)xT8UtU2`OI`Yy`Io%S}tF$ zZLm*wd=T-8&C|<oZKZr^13_a*IU2It;^qpyHjrSbE$Uz3!-n79Z&6S~G*>LGw29uc zFf!X#%9mCG+F$NY3|^N*kL9u*n1wh8(O+)A#6TbdnGH7WN(lV+@=wL<GGw%eEKz$) zLEAha0~aH)#wV~OpPBvkieRr+?ZV%Ttsfu0Y#xw-wn*fBVH<4PDhT}c(mH#`$NIHI zwDzh&GfyTWLp2hAWsQI*$@s9)>P^DIUJaQIR&Of==u_oZ{u1=gwG7aj=7XxGnVfRs zvA=iPjx_&q^z0mKXL>{IaUgrNFM|vWgMj1vHrTR=zUB(-IoW&QL^p(g#IuJgn=<Wh z18g7#3Me`nti7l}gkSzu_@m%9^#vYnEc`3{PizymhZor5vCtg<6PnkYXI|T*{-@ZJ zLd^AKFV)cJHSY6#=frCi{ZD;_e90j{NXQo?^5cZX!fC=;!g<0a!WBXe!7~3^;dg`^ zgg+4eO!&6&ppe%s`Vl@B2GJJ$P9;o)-PYobKLmVd@mvUj>3kug{@LQW;t~0L@uS3> z?<$~QD)~&w=ZH7oQ6V3PS810oH?(6$n!$5Snf!XCKPdiT@n(D)>3jiV{_RTtH4(@6 zA~DHZu8DTuRXWFBDL*Veh#w&J<B5=;OoaZaLi7C;<UPgrBSPPNKLz=4$<22a$Y<sQ zLq19JM)Q6lH)|w9Z-vs$cNLJY6>q<*_#S!4H%V^3pF+N0NN(0h1kb?w@YhJ>^_j@u z39+5fyu^d=B|b~oUzj5tEF3BvEj0ZV`KO4VA)GDbFbB(9DC9ka{4K&;h4%>W6Fww- zMEDco<HDzf&k0`<z9xK6SSS2IcvR@{Jfj|Q!V`rj3r+t<ItTKpmnAg)8~l0V2MOr} zpx$_4iO}?O$mtbey7lv2Fa8E0r!+DBTSC*%!QU&MFZN8|D5NKW{Lh7a-XUKr{Jrpx zLesyI{=WF5Li-&Noej)y=f|HS{xl)I4@}P%()~bwsL*^T2!5=1`XiWrg|J*`=eOT1 z{#!zN1E|mEEaLZt4-2;mYlNnsL(llGfV(8$Bit+etB_s{+O^*gwZM&&{0YK{FiqG) zm?5NRgZc%+k-{-TIy{(ezbl#}ex8sn5T@TEG~W$^zf-*VUJyL@KBZox?*_rsH$wSu zgyy?J@P80bZwb@ug@=WI7t&|K^wz@m!cIcEQkdRbc#iNqA)PBsA1k~>c$v`L4-;b7 z7yQhOK9UXcxs~~wUWZa8Z+bn7NS-F_CN%d^*lBuw%2j&4aF}p}uuxbeEEe*aoAoLc zP8F65D})P#i-eWJWkPcwhMkq-*9q4PtA!hc8-+g<ZW8j@l=Z0*ZWrzlz96g>?i9W% z+$DTRxKCIwJS03K{7A?LAeI{vrU+Ao?SvexrCvmsChR8cDdd1A^>T!{!hGQ{;Rs=& zut-=coGL66&Js4go^k}6<t-Af5Uv!i60Q-h6RsCl3pWTi3AYOE_ok_AFFf$JQ@cgS zrm&KGbLL(2?ccwD#DwF2e^b#Ch2R#IZJ-;<JUPI57ZcyRBXQZLy9=(YO+4_=KEXQz z2g3NGCL9Xh2k-w2@cyqHkA3|xXCUdMf}$hgS$8$GIXoD<@vU!YcQ|+-<_atdpB>H) z_X(sAsr?z|SbU?_mv0twELz*p_V38Ct!WNtU~p}ZT4$Ae`x?$P=!tTYQ{Sx&uWD$^ z5*Dp)IHjJpQARS-JE|@{Y10|F+S9uJ_J(%#)bMKI4E)23&N}z*$pxd|doHmse@y<^ z{PvgwkzVTz%sd)AH|uEdLL5un9Sx}mPd^eox6Pv?H(NPn&Ol1rnl*FJhCcQMy#5Z9 zbP{GibU6~de$&xl`R1d+nvEUr?|4^3$3sPx_jGgy?tL+&`48UF1n*nBcOdT(rmaKH zDj-fh9uyH%3`Nchm<RDa_6sLG1_GCJfZVh5K;lyz(-DIS3z$&A1iQx{@9geU{wutS zs-cAGgmB!&km0MG2p~2k!hGKoN-&SjPT2I?aOe*vUucNQ7rGl6;?4&h(r$hilJ>8i zn_!-*!&(YA41I5UMA(@ORd0HPN4Mz5N+cRNrblcB=Wt4sH*tyRB&G5H;OFtbCt^}} zviW2=2_orRWQUMlN_HA86tI{dAtq16KX1ibY9zO0s#(*v6R6}&rW%%)h2*oNEL|7L z1ETC|uqV6-wkslobJ=SUwfq}M{$N|a38EH6tNtKT{*Hft2d7LqAj%P)%ek<=$;1`U zO{PK+t=1vYWLgdmZg!T`fLMd*%<@XH8J=VYUSv&%k4y$=o#>p=@+5qXbV|$d@h3WC zos@Vq>zDXM>3@Cdfqw=8=Nh!Ma}8Q~a}84LT!Rz+nmBHT5F$TyA|`rtgjj+`hn%N1 z8qz_*6Zsdw`yNS1geJaoT3iJ>8a&l8RQ(VU9!>)L48Biltjco=k1|#H#tM?q&Zy39 ztjbXW`0)v>pKoo-WQh2C#Y&nq#8-#7z;#?6l_cLFu%#U#)!2m-pM+NkocLqGcoru> z#{?b$yCA+~B3y*X1wpN5)5SrV4_<2~7bAisc&#|U0*NNjC^fl8XUx*oULyW8{c=Qj z^KG)vv2Rm9E4Q!8H1ugzrdqBk=wiZ5#320HwouYG@cgJwgxQF}Kt+XB_0^A>Y6pU+ zIp1i0Mw{e9bvhy%n!tjC`0^=cpVuZ68t~s-c8vEvD*6}{dIf=f(JUhx%uUPfT1A!_ zAX>D>6PaB2XSID>8Fqx&y9n^d;02yQ8@htvDd2B{?Z?(&!`+H_58@WY#}T(7)*#yO zMf0&aa}n8&Y?b>EA4J@a$Y)6#nybg=<6*N!S|f7Yr#m9=ecp}2v)EicYWv&gudwr< z;cT`W8h$Zn^V9q!FWsG&9>JG+?(E@i+u}?(MK?Qi_x@xtm$`h-q0jY2L;u*wcPys& z*S)6rvY76Ri>E`kN&1x#J!-P}lV4gq{H$2UmyO|vRS5mjo{^VO$Th|8>|(cT@hC{E z9eQo|EKcuEmu|H~m+r2`a_L4BjZ3%MSt?a5-ic8(=h!<{nr#-%7^`VR{|9YN9K8NL z7e8aU%dfBRHs*qjez-_qtk$pu`T6!+^qk#T^zyU3x5m9|3jUO}EOlzWRx4QY-u`L6 zTwZ^U;maM|k{9VO?#um8*lzLP%9rcEPuRO_9!?QXUo@=Zx%6(pMrP9ng41xgk*~lr zZae6;0f*s&sBdl*Xq$(MFJav5uOt*~S}Ax-GwJ835Z4^D!KRf!;4iltPNqWWvD`2s zkApY|(dGdecmZQ*5fTbE?Mm=|d-d(`>H!(;B_djTOF`Q_AOrho&nK|tVx;-)1>kGu zn-lHvH)HLs0d4bu3?w7d-+Tf~u0opMUTp{O_-L;sqP15IntAvWWB5BN&oOKpNHRVw zw0e)BQn5!}PltM25z(yW029uF&!;)Q+^NvxbC?aRAH#M;|8cyE<4A#w$APXBJ&qGW z`%ZgcJ?y;<nGN=kh`w$a;C`Q(5FXE$I~9d>w#I<go=;>*zx=<x+}7s1Keh?48I66p zO}1K$-pszdFPHs@zD6@H0~{fKjPP>d6d^k+^{*B3w@7}a@DAZU!uy3Ag+CUu?=xSG z@Fn3#LcYN;y{T`jJ>}@+{1%((XNgZ2-(UQB;)jYiW3|w~Nc^Qr=U5Nz%@kiD{yOnD ziZ^4j$iG^=@o|B_U;INvT$CRnCds!2yH6|qdC7N*{}U1VZ%Y2Y<Q(&1efYzpofbs! z*0;s$Kjp@U3%T{-n*Ht|A0T~LF!p(EU^%=l5_vr!;u37Iqp+tiQ`k?)>k;+ygatyr zn^JzMaI$c^@G2p%d(>MhTrT{!@OI%k;d<eZgqwwr3AYKK7yefGitshz+roE+^}<8K ze+V6&2h-nBPrf6vz8u>lb{2LM_7r9dO@D(P2mh#V`Wev76#$w6QsCuEpDdg!<V1Dm zGjj%jOU0Xh27ayh?+8tQgM5?tt-@`>8X@n8v}^hs(E3tszuT*H^X`gt)9--)l-$f6 z0B_b{1-53t0^0o-Ir>PxyU^~pc((ZSgoA_}q@;e4@KWJK;SAxG!nwk0gdEmn{#%4* zZC3Diif_7x<0i?s3OVM<{MPsS8}Tm*|15l4$Wc-1^F~RGXMg#xkMKX%M|fNxSwG_< zJMOp1+5OJx9WmkfKC*gV=bQM*;2%q_-+E?k@_~Pz9V%;C7Ka@smo>CGlv)}}`}yYc zH|Lj)Dg*yvIL$flqSBVN@t3r^WO+l|58I5v4w2_I=IUP@{ocyt()>yJlk@Rqu6tJP zs-uDO+m8kcowUnOU7vSee*Al(__%`!aVM9bQhU*6?bKKpOk1CKc0T3f%Ujj9yg1?F zX&ryrKH*?Oe4FvX?4cKrx_Euw!2Edd7mfehi{2V=#`kCrC*)KDog!RFYC)_r!q~rH zAJDGdyRiyd*k5q~Wx=>>$psT8feZ46?)jgvcjGN!f=ehd{wHkAIGQ=URpGFAV+xXD zdpGi2LZvUk36Ca(L(eipDAdd33*7->+{d6p-rkMgevJuy+7CWxJW1{m(4Ncb!O0$p zeHsnf;!NZYZln|gucza`{7pZh1i?w-Beyg7m-rt+OyXVE$tOFI?Db@akS!rQ1m-+{ z(?YPx!<agZ*6&3;;VH@x8Jyzf&~5o=kS%$~Yt;?^Qug8B?-9Wl;$QOlv^SjwK16I$ zfqx!L;+S3@|LSvY%;nRZh3LEm=RUaupINBR?!af5FSrAr-**%B^59UL_;_$^x=O>T z!>ct<M$`4{QXzvQrx?J2WsvwE4PNS)SSlZaqoD&R_-L5Az$2qcAb27%q)uSLTwiWn zBgYUQ#Nx2s1Gcv#nT|+b34nQ+0-p^@&-ge{CbYx<j)>X|k0;#kq__tBGLt+W|4pEF z&`>PM3#^k3>`b&8LF|s0iP#^JU9$kuhUV&BjtnyqXCbmWixBykw*v82#MOxRA$}L} zLBx%Sk0APkzkcRX$=mq%>${hfc|~iyjnwDdG|G&oI~YyJU^)h=UkUKTsz+{^-rX=9 zQh(@%;<S#2<DmK@Hw@1L^V@)!?(Xb#H&UECIc+jlyDLb$C#}GZM1nz#V8=#iIWX%D zu=a2Cc~W$0NI!jL1jYW?a40&WYX)4kc3iWDswYkyG<IlK;NReDqpP{yRP;dD0<rdJ zB#w<(H{NUsdm#-O*Yw+><9xTpuJBm{*q=6b{dM~Mh!+h1cr`CFHt+{N_)D*W=l!a_ z?w=c-g0{dd+T5ksKH2=|3*N=s8$+X>9jj}q$25jB5yObb)9VSHrsX~cnGN=KF$e-{ zn`!$HH~IvYJP&g35tdpAtpb5cB(?#`S0~zIy+$J1JRk#WaDaSIx51`Oguv2Fn~ei% z;S*SrV-EguD^u_gghZCh@@%=YLEAha1J@!ULPEi&@#f{Xx9Y^$xG9EsqxP17ws~mc zF4(Ikp<vU#0p4$~8uplx_2X~E){kRlHV?=^KQtQ02W+t9Z4flFhjCaQC+)RFwD$PC z!92X7Gu(~Gpogsy@FW=@5?Z}{7`qxW8?4@=5a1M)TlxP$?_A3Ot?6fcG?VM0$G&C* z>&NgUqW?HH;y7^X%yFCqw8z2bvV9q3pd&KvB%xr-CEDK#lQx~uyz%KhXtdL0i(u{f zM27Uszl!l`o~u}}t>Q`k72g`MUD@7VV2j5>bG|k5o{zl;+{WY6jki_ORoWXfL0@2> zH)FX%xRVSIw~ZOgJc9_O?vk6aN1RJOkTTy8;RxXvAs;-MK1IlmO8#2mV&O_5e{W2` zM|i(*qwvSVt-_~;`-Kfc2OWcBLUBS~qc}#}iU|95jQUjZX6z8@y~LaOir{m^bE+lt zUnt&uhXKCPm?6e?`FhN;-%9D5?=HZbdBP~yj1?l^y|RPqntHOQvA*U!0NDq~M}%p@ z9>NTvX@BVDiN8QNQpmRz+9?&z5MC)<C^Y8*dN+yxrjW0<%+Ko<k=G^SBf|d?{#3YK zxI@TyUh4l*_=fN=!ViV!yg?6_!>@D<ubb@m6lMx}pJu)IQbo)W<_hzLg~B3Xv9M{o zPL;e&I7?{yJM348Um)ZQ7|X2`E)%X2t`P>=PoS4d9{28clG}%Wu3p)kdEwdT^v-VX zm{$#NsQBq*8;H&ePvE@pK>fzbTI`>I-56$KCx!{P?+-4l-S1Ao9t_x-Aut>}Hq6AV z@$Brn_{5632NTb(OXx7a?)x3$3r;AwpL;YUr1VWWdnxufcmg{rEX7U=PwaO)V8yx+ zSL3UwJ^#^71sMfvv9AGkT<E?Q`x#)jg#l|(0(M%M@G45U3ws*Otvh{YcHPax`_y%r zIUl<>(B?9vZAYz^?GMh}j@qPT|MY;<;=<asDC=cJ*z5qC9bgl-gRt$wwmTrRE;8Zj zy3P||llB(E&IH&&3=UrgJ8Q9{!=3v>GuQ5qgPpjUuk4RcymNnihgbF|z+S>a9BKFR zx~|<b>y`|-y6*b}G73TmQo_sjhq`ayAJ=`^{`djg_a_WU=@&tLf-T|?MB?KPMB*OB zxL;ywpnZ$>t=n_lFRK=<hgJ+sC^;2JG`vf}8~ZTj3G4E8d2|+be+XqE9eX?khWD!r z&2-KU6|^e&?mlPc$;fB+#+ZpZB&HU;wa-bkJ7nOf7KSs?&I`j?r*{gT`|gWBf2Tg7 zd??4KynAxUxd!Lh83_R|q)h|np+7)fC!B>OOg_J!Trk1>2tskUf^%=8$nFDCK(%mO zCS{FA{4g8+DAPg-W?aq*8(&N~^h+ZQnX6hjbQki)T}`Wv_krMpfO7%ln7?5DgAMZv z<_!M<{znkaFr#xJSzZ#uSL2_zO9X$Z;ep8Jj2r>-X2cffGj%js-d9>2B3r~yT0bVs zd!NU)Mz$95%xhA+l1+-T1IR)*;EZS9QDi$rSw1DUNQ$y_BDF|~vK%c%#$%53#Rm8O zceb3s9usysI9_fULWp*zHJcc8N0Xp`TYwmeqt}}U(qvH1OC1wS<=sCTwt~W-Tr71g zO{_z719KPg#u5#s#`ZV@Yw^hux-e8VLPydwJ%Of~M<aA3>AS;NA7K@u8<^)*k?@M0 z7h4)_ILypM#6A+k047j27ZI`xJc+mZm$_L6Rx#NG5=#-GccCZo^kk3y%LMB2hXy^~ zmrbC|+;ju0MoDCrnOhD9dZVq3r$IkfD~kRItib*#$j`??1_N(`YUZj+QwEfj&z&=4 z?xd?C)32B{ZC<3?g8qHaDw#2Rexzc~oLTcCxYb0aT{U^y)Tz^^mSm^*i}cRS>V0)~ z$>b|$mtaI?))kX8W=xsF$(6lk&zU`~*R)BKugHL2dLL~c@2%U^IQ<H3DetA>zujX# zy3(w-E;Ej%85>Rf8naqkrjN~F=zU{GMh|xm7B0%UFe9T6mr*)#xO;87mv~{X%#0qM zJOT~(+TorY|9dbt5_EGHFk6pqE|z3!o1Wp8nI(s60>!!I*q>rCM(o1<-4^Ni=#x9D z=V9o~Y>)8Cg@bzg4@<*!(Q&NLJx-^Yt6$4Sftt4p5IRKOq<L3N89Aw<tYH4^DHT`D znLRH$f7k08vCTLB(+BPFV@^i&kK0AS+rXcHUdxWl5^joL9(QwSh5OB*cYFE5b4<DP z`PY8?ihrv)rv6JbZ?+71h&Xkzfa|<>;yjT};{%O(&XDF#ya!Yxkz-4EH83}C-VJ#l zv3Wq6Z^3UOp<vTW!CRV1tx%!+d;&}I$;n@C#fjd{l;yHK9tUv_qRj&`@FP?zLPEi& z@rliEFNEO@J`vGgBBHg&TdK_iGVp8Iqn-^mjkiy~y;Pq){$8xTHK1)C+Sm<yoI7TN zCHd~<x0eQcJU-Ts<3iS6HE8C^L}a)q0egj5Bj8CgJ}k6)aWKy3QX8xupJFhUR&M3j zK<`*%csrorD<-fNdf7G;ko9BOj_5y*p1yVg89ff1PL>-D?;z95Q7%>%%k&;Wyu?a0 z1|RX;g2nKlv6lj5nSOhl&`&!<W`n=(Rz~#8zlt$D6zdDNRXowZVhoRM!uIe2TRaw; zGlpm0Kahpjj7DR4-hHp}dO^HYBk)1~t6VQ=80}%a%8VlcCy1XUEE8TM<aj#umkGZm zTrIp?c%N{y@G&BOkK2SbM0BL5iLh5Ip8b;ipTxf<zE1o>@&6ES#!aA~j5ee_Ge;Ht zDdHnU@ZH5{h(AX>Ul^Hg-cymEugK&tRytof$WIr4rFirB4gH1Umnj|9GRMi@$m8Yp ziO7CJj0n?&J%kxT-UFz|dj|0W;YcB`)s&YCn~w9%mE4>!=<zj}`sO?V&3OW@m3*V{ zhr<6S{F(3xp*c^;_o8@np1|)Czeo74aKG?lp&j4in>OpyM#xuf^5*;i`L0dgoEIQp zw#knb@@<>EIUhj2Vv;xK0m%C*d5&2S&F=-*ZQK5+j*;8*Q!hT1hzm-iakt6yCgQ8G zW{tb`&pfAZbI08du`;-&d)IXTxZCO$tFanGeA$q)Wo1v6jjj)bTO9aj?}8ygjHh8& zh+yu)|EUc0YFV0l@R7<u&ym3IR|a~l1XfpK6fF7qQtlg3Q2YJOZObkyyR&R(SxWCf zMz`I8^wUba?+*03ytL=;K+o@#rtjWWn$l+*a%9%}HD?;lsYdg1qgf2is74=J!)&;m zS9aapu<<ah?%cJ!VdICi{L-$Q8a94F>$SU<HEcX&OZW{&z*@8)mVZHhhk7@$S8XA7 zqrk{v??4Y6RWN7w;|H9?L6Aji^ENXr&?6-(YeVfGf$p7mL!*b6io65rc0GC^Fgp2{ zbLs<wFU_+S-qE-hOMhT5YxAAGtleFES=-z9Zb2TWc5L3Odyy~i<-L9zKihj_!^VRp zdzp8<$vevA9lAI0OEW*#oU)K}A<#)f=i-2Q3eX=gj%Kb4PT2hHgK_3+5=`g=CHL?A zXZN)zMNXI3nCQM1oso<oHFF&cC%ghKwur;CObaELd6Cf}wKYb_evEvfD_|>*oxSnC z7KfNR^Ar18%=GPR;n6M34|bN_*J3%$X(HV{AQ_ybB@_<c3Oa(AR6t=q**nR0VCs;I zLGA;UYzD~8z7{!nra0k>*_cPii_L=`mxW<EvRrQoiM_=(cx)fBUGSKJ)NHXMz?v;1 zQjwFvx$Fs)Wj1q|k2JHH!x|7N-H>QDbEpBQOpk*oM074cY%~4NX5x10Ddk8snNBsW zYD(G;*(zq5$l3j-q%5SGk_thjY_gdanM`J%iQhn0%S@#v!)-RhBg{~5GrVRpK<ld> z9Q<Ej?4j}IwO`#n6YOeDM<n?`j$ueb3ZmKOmPBih30INm>M_YxBt{@2$6EkCHGm}W z287IuswzM%wG0WC+GL<J-Q*iX=!=NuB0^Opcy|U9t|Gy5z$90Zn2d-8CJ0kKd8n!k z#7vI~SIq`d?lH+#6(Ck3A_svtWm5);bsiJ0BJrTdBv+B(GZb<V*q2R?CqQiXm~hn# zAb#U9$yL0B@Su@{&=L_j7FCS^!MmxcI*DSB30IN0++#vjB&H%FyKOO$Y_AobN^%tm z-e+McZ1$sA<1wKs5?-^8K`o-8BPfgz6W%f81OhAM?Ey^6D{}&Yg?S02JbQdTHGwaZ zdl0ey27zZg4yyxVN+(I$C5w5SB>5DARXGT33m1<IrX9S(E{PrBgjh+JK-q*N_}_qt zVP--i3}8izMa;Aq5n=)}Qf#u|UrWU9h*<K2t-<kVkT#V)xVK3i&lX&5Qpd-dZM;=v z?;c<ji`d*Njbah=c<oVS6?sX_Gm7I_6>|X(jAI^8ahz53)rOT~s(&4kP0)KBF&M~< z_5sqiZltX#o8Na}zN6tmF&C_dYz|sxccm5E-AFT=ryH|w^J9k$o88w0YzgLq^{`E* zW%hqsv^|@&?Wm-!uFa3RyjJ9EH#Q%0!FsYyre*WE3oGoYEP)o8&C`upxB1aIY<Bkg zpkbn|VH)42^8`|dCtxe0{Q=sBhscEH>anSRfoPlAHnC^LJISAw&c@t<XdBWtqRoH2 zbT-#Zh_<P0GuiydOQ#+?rwyagcwhgOJ@iWPCAN`x%J@Lsx#@1pNLpvJX~Z376NtZE zKir*`<DQb9aaw2Z&#g#zlhfVF5$wX<8>)0~oDxA=XY=Py#zx(d+-L%xG2IOQJB|N3 zNB?~)3%5yBDW;B6C`CmGT@AgE>wnyCs)@ZOPUB7&s|<HQ+--(?U)-&hGj)%+vvb_6 z;kg$M>2p@^jI;Wjm1b%gZ|ukSVgW|bg;bCDNc^NeXSqp{P!K%!ws0|7+fcVGdOW^3 z6pVL2bnn9)C&%$N_x=x9_#^6KI{pl5%rGA}J`ejjR5YGQ9h-Me8?ntAKEp#3?aN<y zLkBvzJdc@Y>ua?n$Y=U$c;)&EeKl&H|N3g|-N4=$&V+#XfAg9L%(e<btJf1e>3GSh zx85d#w)bT35lTvcTIle*SQ~7Xfe>K$FB-ya@O}b4z-RhuGEh)MG?!N=vZaXDHu<wK zcD3JD%9mCG+Fx$Fc6efdF3V**Fbi=GqTgN{JTFHev%#ia34!0<j!xct7VYs7+uB<S z+U5Zn=u3M(fh89s&2R5j*xQ6e+T)XywYLVe%>y!UQ4+q>K1MsQLYm*+iqo3stN8)O zZ216b14+h*g_%k?7h`@`izZmTt)S7T%5BmQq1PN=%{$QZ_d$CKx5JSCI9A~}QXpfy zoP=oG<wVf7FM|wpgc8SEZLo(#^fgytYijd+HE*5#Utf*a;<iQE-aG@b;F{gP{d{aa z5MI|~zMAMA(C4eMce1bES5ts0(HFs23SzNvnsAnIo^Xk9h441vTH$wu8-za)nz4V_ ze_Q-PA+K8;Q~y{PL|f435+*{wwfMH;JB#lsp1wrtpDmu>#*#Oqq(I|q0U93*aG}z_ zDdg{&<*y~;dhtEU9}zw(`8M&-5TXCP<gZEortlrf4~YMW2z~yrXrIfwvVLZr4`3(B z&3X&q8?Cbd{aoo^AT(>)Lw>P%ST^?gTW2}E9uj#SAV!2~!XCm5VSizcaER~%;aK5C z!pnq{gk?h0Pf*@`@z)8L3YQD-6dIo%^sB|2{sR6-;x`NV#>wO0TP5)s;qyYi4O0G! z@K3@wh5Ll{!lS}}2=N$i@|*q!J5AT4IaTSW3wsES&ky>2#Sah;77i5_3X6o72`355 zgtLTZtRHsG`ZPek;qv(S@=v@?$Pe7f^Lc|<E&RUlVc{0xPlUe|J}G=b$Y&DT`Gat` zaG$VV$YE~k+4V$%?1$jvg?wHiZ`ZSFx>v(lO7A7)KtA<NKL-vKf1&Uq;RN9{;iv80 z@C~Ie5t=<qV0WeXJA`)$={jKf4+%F5|3~<9;je@{gf9r`YheC8!lrvW(D}f0yT?Nu zZp7s69uFzvQ-$<SF#Swny0EwK9N~FFx-Y2D_1lOS3ojK;7ETw^r9r*xgq6Z&LV7xw zzD`&z+#s}jKx`6UBit^e_k;O=FMM72hLBDXrhh2>ScuIOjXY6k_k^I^g!-oo=_nz8 zwlGJSE2RH~=|#d4VX3f8I7>(m3-v37^sA7+LwJwyJ|W#LOy44WT=)y&)57P3bjVQu zbs^sszRGoGy6HOBQ<y2_^CHV_dVSB8JYQHSEE1agKl1UNfcZ;>Q-$Ti3gH6bB4MR) znQ)bGjc}cCy|7xiLAXh{Rrr{2o3KW>U05sJDSTD9OSnh)matxUNO(l}k&qATJZ^hm zND-eZH2zYgr&1r!<?WOYGn6Mz;$vDf_gcux#8)-Oxqtk1WFEuwH9}M0zB}=~)!{&W zyCs{B2678lz_XtmKJd?eQ-@%`g}4NG_j3>KsqDKYke+++&C2cX#pe$>5+1SXXsC4i z3M@}?Ana0}wk6Or_uwBZpMEb?Fyu&R)?)`8d}9(0Rn!JDifbRmdNP--2xR0Qe5tb6 zmO!uEgD+P;^IqK0eC(M}wPN>*^S5B924CuPOdXPcBvca4b_Vu;am*g(I)As#^XSn~ z`R)~7o?B#bsVs&Tv9w2z1Xno&0~sM4L;3Dj4c|Nz=vjvRp`oZfG(zROO#TVGS@Sl# zoq<eajZynW?V~k*V%IM0vtUZ!@=MSsf6!~o&-U(WNIm2XOxxnm^ET!Z><H)PJAVzk zt?S)j_u9-O!3zUtblo!M)JO6hwByj-V@}0x4HtH*9l9B5JyKC}%5LWz$a<VaZ6i5j zH+he9&I$Ca+x2oo>ies=czS>3JOa0TC~I~8mTFUzv@NTFZ4aHf<rW}ocpZ79U%l7M z`?AsFIZWGf{^3qP-qq0N;Hg{MA+^n+4O<>HscBmxz&0QDg*<l5=O69?ZQAS#DKX2W zp1<X+y-5YP?0tpzCDW}!&KjVTg@5lNuJfcOiT^PFfG!z)$I}Izn+H0&pF}wmf(f&k zFq{c?KZzU3@KHOupF{~wdF%P$>z*_u#ik;dXZujXSO`&!c~TFD-e87M2(S8_im(!C zahx-#{Up5geBwie5P7Tm^w&-je1Y(m_37_SMyj`ygh#hHmqiRPa`@bS0L~eNf8OH< z(Mj4sVcsu6M-Y?ve&a3l!zv{4vCYY+-8Vrck7sHDS+0YYyqoL=PvJiw6EMkuGZG#J zd%$BcBViO-ZqU>cXjWTGzLYj6(+D?SN?roOW4UiqGGDYjmbb=MeD0<HuH1;<LDm$W zwG&x1_e*MB4dSE^@NYagT&I{J9csK#I+J6{yb-qM)z2tb8|6UD2s9t&p_od|XQduR zl2<9ien{3-%CM=p7Me=&L3ksgGoO!Ov2xCYLTf%<nsO$BQ>7k*?1;$_Q=UuZ2=W`{ zhm5j!2&K>*h6LyKnD)=9U2e7C^|ZhKQy;kS5f?&g)pTW~6z+e4b8WZ5XzeYLbduc$ zBh{aQ?To<lYCq1(MN@(1Eh`%MzKDqm1a>RTW(b<u5B)tRR7E1+W8$kwOh-fx!Yof7 ztRk_@V?tFVZt<A-DiRwJk%RCPPadiw!FM_o7+*!=bwnr;KJ?_FDiR-iOneoIcI+>K zLIPjROl?W9^MS#H3J|=GK#6b>BE9{ERisM21VRNOGAyoQFRbz81a?$oh{PUG&L7#w zR!)NNIwmuTHi#&Vz}Y+|GYK{}<fwEsunw5-Kw$as;}ZCWhfmDBs_ZfHF}6r(+XA7@ z;yklsqBcQHFnPujcr@PZ22!4}u>>0Q5@M#uTGL)a%sSusqah3`4qvok5(LRY7{bmN z1RjS{NV0sgm>xlL5hCVg5O{>~I16Ku6suQptR}^_Cdd%0Msc(bAlMDj8nHZM_~zK8 zHQ0-($hil$gJH{!&@Uik#{#`*mg)wb#r7mqZY?vftqygN%>@2B1|mWh<ijX!B40f5 zb&vMjTkNxkih5xSfCC!X5rps;MC>@SfcU<l=a<)@dOHw<f%)ac7YtpofXM1n9;<f6 z0%S9RKQ5Yw>7G#QiwM$7^S-!erG3*LPKO5X3!H7hf;{7yRjl`ilCx8%A^PVyaNvz4 z_^i_m3#3{N(5ruD70s|IDW@6!Ec~W=BP|=zS1aD7UiA)xpcYz0Y-udbuV6`eqS>3& zDiu&MVLSetn_b{yCcTR2tLqC$eGAc7CJl~2WYugA((H*XRb^H^yGiwTBF`(vIv)c} zV13vE%+7-Mh^84fCgn6^Mm<2=e7m6cI-;+ld=A;7>@>sk!tAlW8O#0_(*A;opO3ZJ z5d;cC2$}!<m+J`(&!0*PVrxX|rXgk{PDh-H$Se9{MBA@+g60`vS7%>shvGUSo{Go@ ztU+Ysv6`%+y&gi<1eVhQk=>46h<${8n)>??so%j@zt5}BKFI#WdTdAh5U~M~{jQtO zZgcheqpmrK0}*o(^AU>>mm{t~<b7>DA}=%iE&l?My^go62E>mM!ziCKyILc%jRqj* zAQm9np29Zc>1AiLZN}bVgUv@hb~x&B&0coM%rBtFa<?I}ce2;9XL9Xd_R9V~d(G7= zg^kIG?5-OTc`WRX>;oJdw4u3r)c5yOGyY{-wV9tJf8wLy|J!erV>1!H+}=CeF+-<y zx|=lIHPc@9I9vtr!NtSfi4nK+@Fi|)Iug3NBO-2g`Vw~vG%F~$4IB1!_Qa9f+$lMD zrE=XQLVUdUpHp4pk=?*T55`6emrZazz2TIYc`@-=chIlg)#FMd@x$Y%dG&C|q8br* za<O}A<N{Nf2rFYI&jj7qxXBMJ1W|uX;Q<u~8Mf##l#zKl?!DO7=hVo>v@kQ`K8sJM zk?9e$N6^cQBkpz6&vdU#@15mdmqQ{g3kJOEy74_o8-ba@(+L?LR>pMqx(M~%Ga`_F z+u^#4KV?naXN-ow2L2j)wT|<2VP5)%GWkI{o()62Y;1a^TARh@tg$T93a($rUk5+^ zX?guRpxsI7_`w}x@kdO}!R|W#ZHb~&d(0%8<IKh}{q_s21Zft8?EMul2s!VHtEL9D z1<>FDC4)zfyI`F6f8w}l74yp_$sboZal{pqOUBKaKX=NslA(hKf6fIVkG+M_F&BpX zA|H@9o}+|KdA?4|Kz?G55}zMz;I%uiWxHkZH^nUv-R!Oi($V_Gz9siYQ~&!dxoz42 zTGE6sGe60HZ?#W`d9Z42vw#Ym!`FQGZkxtuA-Jfc;RQU<t$<z|NI3XHdwfS6g=q7D z42(h|pMz|$WGMueW?FH4An=h!amd@W643r~i#RBl;FZhrY`JrMc|Zn=@Q@oJp<wM@ z3BHlNH1AoM$B~F=?JWgu^MDLgz~07Vw98_o`Rz@Gy-FCNJw9h!duu@Z?RA9zfPOt2 zY}zUa{Py1J=Cv#BaoEt>s|L+HnTQOd@X-7})(Ci#j1LQ~o}1dd`AHSfYtH<nP0+Kp zQIx44aXX^_IQHQ<QXu1TU>qxY98KpZ?SZ|Qk#2)MB%-hR0}I-tTnITX%=ZPh3+=N^ zU$X`_qMvq#%m!=E@)rH_|9(pzo1esMM&tQOP4_F(r5X*1pD@Pjy?)R6J3P0<lZ7~C zW**ELMEp2<O5R7v_b%!W5snaY#EbIFg;Rv(!fS>6EmCi#@DAZU!uy3Ag+CT<6}}++ zP>82eV=sw_Yf~%XNknwC_Db&}zMFWyi!!~Rc)A(L^WBg2D-=Io{6z7yh2Ib^B_`op zYa;U9DfvC(H;AV%gXw0z63XE_9_w2}M1H<IvV1eY1N<A}_e;;rSAyKZxuzc9GyU_G z+KP`5QI6Rc26`Fd&mn?0`;;S{WA)_65K)iuMA$d;HXxrZ{#xlRmELm6*Ghh`_zmJW z5n*qu<l7~GR=nBo1@;-J$7=#HS;*@H`G_!0*h82hH2n~I90Q>K5a9*FvBHalmkB2c zIflY~^o<cs{{&tyewlEE@HV08uh8Sz0`pZ1e<1vk(DYZNKPLWH!l#745xyk+gOGkA z+Wm|0J>fy&Vd2NZp!!F$Fhyv5cCd4b_%xyE|B&1HQGF!mxChJ4725ex7m6<u77OWU zqy9AEEMd9O&W|#`6X>m!e3kGn;l0A|3Lg~ySZIDP$p5(bUkINPJ}ayhn%@!h>HcH= z_6qBS?+ZT?@`gpd1Ywf!WMO-u`CUOTO?<krw~+ot+8H7&6c!1a?zc2ka`QVwzU#!> z`BBTn^ZAW-*9htUC0`|cKxpSjJtE%DkNT<j9l{rczZ3pm_`2{7Aw8lj=L6wCgbr@p zl-v1HCx|~;*k0IKc!sc-FiY5Uo)lfKv^z$4iSRPvG~pG(tA%#H)HlR05z<vldv^-g z3#)}2g?7HwR`HJsYlL>*)C=Nkg|7;C3F!-FdG*4>!oLff&YPkynEIWCX9;@=?fj{8 z#19e<5z>3i{Fexu&YP-`e1Wi1xJ<ZGxJp<p+#sY^nfCvm(9WNFLcE<n^&9c82<?2T zH^l!%NVhcYH3%Kh<l}_&Q<HBeq+6PN4`Cl6*J!8weBof>g~HK7I<l!ZMM%#z`D=uW zg-eCE2yYdx72YGHubcTF5z@C!{#U|hg<Q{y@|T5w5Yqci`F^2!4#PW=z2D(-Zqm^_ z2Jwhyu<3mfkKRV!PRMtA+KmX)gx!Qag_*)^Vbl9(uH^Z`VZsr@LSd1xSjcxjmR~BI zDl8XP2p0$!2`h!mg!VqWQv5368ez3?gK(qphr&(5t-{BI+k`d3?ZR5&PT{M<UBW%W zw}kHq_X&>(KN1FXKQYgvXb<`p*e*@)%c+t_giY_uJtfZ+W()fZo8G7MB_AdnAuJR& zy>FLDUMid_EECQWmJ2I{3xtb=mBMAh6~dLmRl+sGb;9++jlv%aHwm{29}{j9)(E!? zYlS<7uL^ew_Xyt-z9ZZxJR<x^XrBW@y3d7$$-)$2s<7$vKsU+h_u+Y`?}wNzr0<5j z@hk#|i60?s`n*sqd5N%8I8|6KtPm~`E)rG>n?6UZl-xc?tQYU99a7n!G49b${W>~t zvg!VNy(5v#2!r=OD>Jii-@cJZ|Ln~EMjSc*yvafi3g8xyZJ_a*NP+t5O6-=Gi9PWO zR~-%JYF(s$gNJxCCttyuNT(L8I_hTT9{hb}pl8eS+=G9>x=5TWxw8EE^5jl#C#;SX zKeGH<%#<8mdk1#iyW^-+bH~wOIp<04tlWk*$sa1X<EUH6S(AZ`Wk&+l#uieas9bi$ zsri2S+vTA?*w=4h#*0OJV*34dd)nt<&7^a8zg_O>cItG;(NN}{DC16)!CZkJ*X+Kh zfcfr3J+D%(>AM3xy6k>axq5V><{3@uw`13ihLanZll6NBT*r^RGM@t7_HdxrlhpX2 zX77%MlMXhv^#{zC{ALTTy~Np)J!?~rIOqO)@Gb31wv2Bd=!yLd<3{XuAiF*9)Y>7N znOcJRlC305HTsmCVk9>GZ@W?tRJLkUduiSuw|KeV)5=S%&z-18$B%AhZ5o#Et;`P9 zmgK#;<@LQcbom|PYm{TXD4wnJ51;j8)|_YT{KIF0PCXRpasJ^mK%I23^In$H)|B!? z<U09-0sJ3r3C@~~wS{M^?cp;}#+_KR=^plF)0aZdH-XM6_{SHkTy$=K2(p()_dw(; zJN79YPFXPSDssVutHA~Nu~KvoM03TvmJ+)M;zZ^M#}!f*PT)vGY!Ad_3PTBeje>1n zU9kruU-qyE;y{xx#F6*71pLz;h;jLlhsvBnfc8V|ht%NjoyjI2Xm8@=@5y`fCJosl zlWKpU6yvz}gK>u7pSKw?(MjTE)+u-%bObSJ8HGd1t|5ClQ_YTt9M(%NX6kU78-xV2 zjMIgWg5x(Q-lWMw&cAFCG%O}f8kScqq+%H-!}7uc7Rxvpb`RKOWC}QAn3tbhB;ztD zmS0mOb7<E~9YPitA+b}ywtNvRFG>u~q!W<8)qapEZ{XiGP&hFYgqbk;2smYEgBq8D zab|GbBbMP;%)mzuli_GA!|BMtM+xVuIgK(Thmhe_n;{<@hDceZ?#K{8bjoAaFQ#$? zLSy|#qYRB>F8TEDyz^somVCk)u+tq+^rkzuw$mL?I?i;*0chc-D?f3TGG^rwMj(1~ z14&)vB@kvJVjABKRa-#tc>oz+0r0oa8GQiWwT%jizMh=GB8?o{(LnQ<x<;UBkc5SZ zSmelG${3bH6Htlf2EhveruGn6C2zW3ta4*nM`raBVpSb$tLi1h>N?ie)l2Y~6$ay* z?l%l(u^JMA^;Zf>)<)C)NKQaR9SJ--JKZl<%S&SQy2RGYYQ<`HiLIGUvUQsZqvlx= zC&p&SRJ<FkK546)0-`q}rc4t08uB7S31SOO@LS^yOB>d;idEW!2t@+z`cfe<ffsXL zQZZ$WP>KjG$7h2Ne@G?BhE0XQ1YTM45wS85VJaeQ1bsFR85>0e*|4b)n6Lr=w;-aV zRA_M~lW!JVHBxx}$C6aZNXay*6F8jpghwLHga`3|J0f-`?GROD!<g_0{_jA<Of*i@ z^2}W9rFq3~GpXaPC=9JOh@6|o(%2bEvu)oJ%?U=QBT{bjv69S(`dSfLULdK)=W{a_ z2byN;C8rtl?CUed!(cufku^oOyRxtkn#X-)p_vBBX@=d$Un?Fn^Z6#?k<C{YW`(}V z!cNNr^JnKd@tQ2!J{`Rr_#d*BGrw`muxCUN*^X=z+lFkv*AQ7m8$=sEuRa@<4P+aX zjmeJ6hV1II+gv@iEL+L8DqEDD*0!dtXLI$~yxEAhX>GGwJI70>9<P};)PfFv?RS@@ zLyeoRp<$-XnLBObl&e1H?y@^DU#wO7sEiCuHTp+@yU8xUVff|l!bq2_>`q;>^17s* z=9XaPrgV2&BsU`?D-RR2n3R=uepZ(*o!v_#ZmS$4!(1kB!KF^5VpFQHcLfD78I^nM zGL;&ESv|@8b5AphW*(M1DH5L^-?c|p*G^eoU;(+ay0Wz1r~}@OIfJT!)iu=08<^AK zTrS6^9^(GDCUv!!%4$VZ>!PW5-r&tGbKJiM(&I75E8e~DhFE#-Rq5`I!0=pm>kT>F zQ`fz2X)Y#`75C-=+PQHoI6f;rC*HlT0*hXuDWBjjy{7b^-mnVj#;50S^`~b7n7`(t zzMZ&7?;+FRs9&cp;OY!#>VvwN*=9E&6Y@+qd5U{9&O^@2^V1u0f}GMdXMROi0RMXP zUmyO<=3-0Su=hBVx7dgNV^*a4yc_#^%To1k{<>6Oc+IKUD2Ca^<?A(_>l5?2BKBGe z<fZj1UURD7*L_MCU;A-kHgg@=RNnX!DKFT&QD?B!Gp~QZrg}_c_%idka%^F+V;?XE zfws13zZ^RE`Sfhsc}SD1oN9s6wgEHux(#T)JJTND2Sy^=JRk!tk?8mYmYmo`uN9mS zYi*@`X_tcbms`zAA+X7ES)MJIzH@6EWZ-*vgO89<uxT^FH?nuC=l7sJzVusrOF-K^ zAOlY!vCt>5<TsG!x3`J*(0;VXF$QaozIvMnWZ+~Z?mR|2)3@)pR}=A$llEF7T6=sp zrag`{FuaSz&AtLiGCm}<diUUkhvP{$SUrxZpzoDidD4l;p3n6R6nw=5hC$EYS6M%X zClUR}u_F>|=aZ0Tj|17GeI8_B5d=F)DA=-yzUB&~ph5WbjBfau^SN@NaGEs+wDx== zL;B@k#e6QFt5~qD;z|A$dxNlDajF&A;<3=2^`=sx&NksSz43f5J|;9-ZmOSmefvCf zxw^wP=LR9GLB1`MA0iwf93#A3I7P^gO8skvi-jwN{Jk-~xpTQ{q|eu4<~u5kM<b9w zfr#tQiNceK=-_5f3)0UJ&sPxY^EHL(=ZGIHewg^N;!A~dgo}mW78)NF>~JKNb|02} zllaHQo3(n7Zswps|2Im1Rq1~wqW*6aF}Lcd<R6PSb54-YyruH`it|prMsv5o_op0s zgNe`^Dm^o&1oBexcJ5XqpAhn|Q2uXAZ>@MVx3R}x3hnaRNn{@;Mucg?9>NUaIYQp2 zsGlz!CN%vBa=vRbop1ldQsE5YmBI?)0^tq98-;6x>x8@>)7}F@UU$hqBK&{Cp9!B3 zJ}u;6H}&ZvAnp;qC9D&^FEsrVdjAm5v3usTa}QgK=c_v95n-CJhtSTw;^;c{I9N~Q zy^dHU<Tw80&D=xaO!2dY*9q+$t7YO>2-gVL39Ez;2p<wYA~gLP<(P3D;8T*@IaVD1 zXMJqHepkGmqxgY%)6bzt2LbgtSWiq9wiBKv>>}(fG=o0SKTo`!TSX58?UV?o2xkbd z5iS%i5#A)UzRqg#-xqR01=@XF_zU4v!e@nhgl`G|D*T&}P7T_@mXQXV&XKZfNVQYC zT|=sec)NyFU-5K<(B1_?yM|Pec=|<{K0`=12>FG=rNZUHTZOBI_XzJ3(s9E4TZHtI zkbg?}YvGH+KL~dV-xj_rq+f;k{~@Fkg?x&zov@=YP1sGCDa;nq?ZW)Sg!HqJzf?Fy zI72u`I8R7V4E1jo{%_C0$<%csTi9QiBg_@%3+;8&+=o%mLdlDSrNXJgGT|(txj!Rc z)9dIWrLPd0`!)1diEnycT`zgHaD#A@aI5e!;WlB7aJz7a@CD(k!d=2W!ncI)2=@u= zg@=RzU0*Q5W6mRoWO+V0EK5ugrV5*0f4fQEQ^;po<}=R?z+Cb9!k{pf<sV~C1h;H! zv_Jc&%!$Z8yB}soFdTnQ#CF`z5gN^jz&cXN^;@s!F5)w>KRfqk=f3O<u`5%bO?6Kv z*4O<daZ}wh9qQ}$b~vrTg@=C$_C)^`_6}dNKiJ_{``r%MM=Hc!q5>I3wYxX3*&pb> zbHCGl&Hmtko%`JZo9dnzUSIdd@J)43PN=VYbHa_-iTug^&hQ)e2PZs<o!L{eQ~EgP z_p3#|naDR2`4%GI!lq>-Un24)B3}pO>#zp<r0>K&>1(i0`cCYV-n1O-r5^7dNQt`< zyRb*HpWGjZ65~-~!h}el;Q7yB9z*g;HSL~l$9W8An4@<F)Ym;d^Q3}5V|R4!B=x(^ zdDuUlyQe$n*4I6=5b}j7X4mw@g8I6eMC`L}c1*9Y`xV;3=@8C55qqWAy;#4kd}!d8 z=kolT<_<Z0wsdm9G=7ONsTfBLncsjD--213u=xc9<6cKH)>rzF5Q?h>V9*eBp?Pu+ zC0tJ?x~S5(!NnF;GB@*30w)x};1fnOWPSl*%m+XZ;L{BDN<Rw_ANmgdCpdHP-{FjG z&mT&3!hCx1c0-SV3YU@{`2+kPNOl_8QRDFc3d9zFBU?!J7Q{re0+QL_e80!SnQz#R zC<Li+<{Oq}f`v2RuzUc4ontz*|Fs*OCpPv`vs&IKE`e_tya+Xgk2%F8=-*Nx{lrM( zlNw21SY}ek#8SslDIL-5q~p^xYtX@`%F7YU`J}Tp1Z}xwNqVMBXqtKCoh8XD7%UO! z3&P&%P8A6{a?JMTB)mHKwl`0PO>=DutQtX#AjSng7;#qnDw0Kr*lj!)U;-PV9U^xs zC#E4neI(RL7JEs904C64Uqq;nB9<UReH7F|nLsO28BHujgvw~2N=JzF8w2G9HkLp5 z`EsOv{kJ%Wd&t|*6GzjGEzW-fXV3|bJ1^ZmA#z4WM)uI`>@4nWeo_uxFj-mng8t(4 zL6G;Ld||pL?BZS=>C;4rFY&G9g!C+TCQPKe*F>_i&W1AgP5(7qDn;1dyg#-#-vc|w zebIOuh|l-g_GkMyyhbSJX6CWoCG2245(B2_=;!XAv6F@8B#CVc9?fHX0_NX*yT64o zN?YbL?%4O=`Yv7H%6syt9qP}!V#e%gQzORO&{5+fgCl0E`$+FhoP*w({UY7wPMbAt z(!6PBMJ^pQc4Wr1t0qsII(6FAUfC1-^_o0)((EZ^k-5{Zxndr6G>>GRliert?904E zRMAaJTR5%ax^i5h@%{UNNH>;PG-uANd6DUJ=0;#YGDP+xg>&ajnKp0UoVoMP`YNh4 zXaIhGlcr6Yd_};?1Q|DY&fJP=3wn*r4#c03FtSB3F}`&u75BupZab&F)4@5#?dY5u zJl#oic+K(eRnAL*4g4JsZke(ybd$S0cr%zU{9QS_#((#{u<eSLG~rDA4<q7r%7kp8 z1*_K+0@8fHVW3{IO$5z*5knrLPi(%?;*>`lEXfB6@3GWNc@@t{e0FXF7LU!*aph5< zZ60bqj|=}A5(+l06uhOGG!X}MyH8+A-f{fphH(e0Mk32)JMcJ&=CKH9Lp>5AmH}FO z9B1;|+lT>M-V|vs5z*T79uOc<zzjFvxNgkeVx;@+J?68=--)%yHn4S|$q4LK_ym?* zg*3mt9k9paWBvG8YVB2nW*+`@8P?!LS6U<BNisexw0fy9b~R)+SiP+f;1rZw`AyI} z*D^qBdWVl@at-v@*KA<@7`7w&kE7PtE*urN#{qG)FM|y1gFTMP*<i~hde0DE$})_( zvj4RO+ZvJfQV?0D{cV5@Y(=AWCZS;MS>B>w{#E!P@T%wwwpBdQzrqi}Heq{sfh`^j z&GAE=40W~%ujh^Y5Z>*)u_J<)Y6LTug7e3I&oOhJTOw@{+Y^&8W>16?`wiuNguEk> zA0!+m94#yoP7;<0`P*kc`UHu~gx?ab7TztqPq<n57!kk6ZNeHNI?~fb*sB$9#)`rJ zN&H(%uM>YzycrutelsQkG`<R;@lgPcZvx1`a@jkGrrm%%Z{#DwG+_^6hLG1}>hT&* zyg)coXxa;M^HdMKLh`GG6~YBVz7EpPtwPgY;Q8W7`3B)e;iE!3#{LWOPY9nE{#N*^ zaF=ku@PP2B(6k@QYdW^W7gE+EB5XRAV`j=D-MrHS`Nm59(ZY*`c1)eGuuPvTTqrcY bAjnOBPKcckRL|(!^HncCmDnowz0Cgy_`oYL literal 0 HcmV?d00001 diff --git a/obj/.gitignore b/obj/.gitignore new file mode 100644 index 0000000..b2cfb68 --- /dev/null +++ b/obj/.gitignore @@ -0,0 +1,3 @@ +*.o +*.d +*.a diff --git a/setup/system_stm32f4xx.c b/setup/system_stm32f4xx.c new file mode 100644 index 0000000..1bde7e7 --- /dev/null +++ b/setup/system_stm32f4xx.c @@ -0,0 +1,552 @@ +/** + ****************************************************************************** + * @file system_stm32f4xx.c + * @author MCD Application Team + * @version V1.0.1 + * @date 09-August-2014 + * @brief CMSIS Cortex-M4 Device Peripheral Access Layer System Source File. + * This file contains the system clock configuration for STM32F4xx devices, + * and is generated by the clock configuration tool + * stm32f4xx_Clock_Configuration_V1.0.1.xls + * + * 1. This file provides two functions and one global variable to be called from + * user application: + * - SystemInit(): Setups the system clock (System clock source, PLL Multiplier + * and Divider factors, AHB/APBx prescalers and Flash settings), + * depending on the configuration made in the clock xls tool. + * This function is called at startup just after reset and + * before branch to main program. This call is made inside + * the "startup_stm32f4xx.s" file. + * + * - SystemCoreClock variable: Contains the core clock (HCLK), it can be used + * by the user application to setup the SysTick + * timer or configure other parameters. + * + * - SystemCoreClockUpdate(): Updates the variable SystemCoreClock and must + * be called whenever the core clock is changed + * during program execution. + * + * 2. After each device reset the HSI (16 MHz) is used as system clock source. + * Then SystemInit() function is called, in "startup_stm32f4xx.s" file, to + * configure the system clock before to branch to main program. + * + * 3. If the system clock source selected by user fails to startup, the SystemInit() + * function will do nothing and HSI still used as system clock source. User can + * add some code to deal with this issue inside the SetSysClock() function. + * + * 4. The default value of HSE crystal is set to 25MHz, refer to "HSE_VALUE" define + * in "stm32f4xx.h" file. When HSE is used as system clock source, directly or + * through PLL, and you are using different crystal you have to adapt the HSE + * value to your own configuration. + * + * 5. This file configures the system clock as follows: + *============================================================================= + *============================================================================= + * Supported STM32F4xx device revision | Rev A + *----------------------------------------------------------------------------- + * System Clock source | PLL (HSE) + *----------------------------------------------------------------------------- + * SYSCLK(Hz) | 168000000 + *----------------------------------------------------------------------------- + * HCLK(Hz) | 168000000 + *----------------------------------------------------------------------------- + * AHB Prescaler | 1 + *----------------------------------------------------------------------------- + * APB1 Prescaler | 4 + *----------------------------------------------------------------------------- + * APB2 Prescaler | 2 + *----------------------------------------------------------------------------- + * HSE Frequency(Hz) | 8000000 + *----------------------------------------------------------------------------- + * PLL_M | 8 + *----------------------------------------------------------------------------- + * PLL_N | 336 + *----------------------------------------------------------------------------- + * PLL_P | 2 + *----------------------------------------------------------------------------- + * PLL_Q | 7 + *----------------------------------------------------------------------------- + * PLLI2S_N | NA + *----------------------------------------------------------------------------- + * PLLI2S_R | NA + *----------------------------------------------------------------------------- + * I2S input clock | NA + *----------------------------------------------------------------------------- + * VDD(V) | 3.3 + *----------------------------------------------------------------------------- + * Main regulator output voltage | Scale1 mode + *----------------------------------------------------------------------------- + * Flash Latency(WS) | 5 + *----------------------------------------------------------------------------- + * Prefetch Buffer | OFF + *----------------------------------------------------------------------------- + * Instruction cache | ON + *----------------------------------------------------------------------------- + * Data cache | ON + *----------------------------------------------------------------------------- + * Require 48MHz for USB OTG FS, | Disabled + * SDIO and RNG clock | + *----------------------------------------------------------------------------- + *============================================================================= + ****************************************************************************** + * @attention + * + * THE PRESENT FIRMWARE WHICH IS FOR GUIDANCE ONLY AIMS AT PROVIDING CUSTOMERS + * WITH CODING INFORMATION REGARDING THEIR PRODUCTS IN ORDER FOR THEM TO SAVE + * TIME. AS A RESULT, STMICROELECTRONICS SHALL NOT BE HELD LIABLE FOR ANY + * DIRECT, INDIRECT OR CONSEQUENTIAL DAMAGES WITH RESPECT TO ANY CLAIMS ARISING + * FROM THE CONTENT OF SUCH FIRMWARE AND/OR THE USE MADE BY CUSTOMERS OF THE + * CODING INFORMATION CONTAINED HEREIN IN CONNECTION WITH THEIR PRODUCTS. + * + * <h2><center>&copy; COPYRIGHT 2011 STMicroelectronics</center></h2> + ****************************************************************************** + */ + +/** @addtogroup CMSIS + * @{ + */ + +/** @addtogroup stm32f4xx_system + * @{ + */ + +/** @addtogroup STM32F4xx_System_Private_Includes + * @{ + */ + +#include <stm32/stm32f4xx.h> + +/** + * @} + */ + +/** @addtogroup STM32F4xx_System_Private_TypesDefinitions + * @{ + */ + +/** + * @} + */ + +/** @addtogroup STM32F4xx_System_Private_Defines + * @{ + */ + +/************************* Miscellaneous Configuration ************************/ +/*!< Uncomment the following line if you need to use external SRAM mounted + on STM324xG_EVAL board as data memory */ +/* #define DATA_IN_ExtSRAM */ + +/*!< Uncomment the following line if you need to relocate your vector Table in + Internal SRAM. */ +/* #define VECT_TAB_SRAM */ +#define VECT_TAB_OFFSET 0x00 /*!< Vector Table base offset field. + This value must be a multiple of 0x200. */ +/******************************************************************************/ + +/************************* PLL Parameters *************************************/ +/* PLL_VCO = (HSE_VALUE or HSI_VALUE / PLL_M) * PLL_N */ +#define PLL_M 8 +#define PLL_N 336 + +/* SYSCLK = PLL_VCO / PLL_P */ +#define PLL_P 2 + +/* USB OTG FS, SDIO and RNG Clock = PLL_VCO / PLLQ */ +#define PLL_Q 7 + +/******************************************************************************/ + +/** + * @} + */ + +/** @addtogroup STM32F4xx_System_Private_Macros + * @{ + */ + +/** + * @} + */ + +/** @addtogroup STM32F4xx_System_Private_Variables + * @{ + */ + + uint32_t SystemCoreClock = 168000000; + + __I uint8_t AHBPrescTable[16] = {0, 0, 0, 0, 0, 0, 0, 0, 1, 2, 3, 4, 6, 7, 8, 9}; + +/** + * @} + */ + +/** @addtogroup STM32F4xx_System_Private_FunctionPrototypes + * @{ + */ + +static void SetSysClock(void); +#ifdef DATA_IN_ExtSRAM + static void SystemInit_ExtMemCtl(void); +#endif /* DATA_IN_ExtSRAM */ + +/** + * @} + */ + +/** @addtogroup STM32F4xx_System_Private_Functions + * @{ + */ + +/** + * @brief Setup the microcontroller system + * Initialize the Embedded Flash Interface, the PLL and update the + * SystemFrequency variable. + * @param None + * @retval None + */ +void SystemInit(void) +{ + /* FPU settings ------------------------------------------------------------*/ + #if (__FPU_PRESENT == 1) && (__FPU_USED == 1) + SCB->CPACR |= ((3UL << 10*2)|(3UL << 11*2)); /* set CP10 and CP11 Full Access */ + #endif + /* Reset the RCC clock configuration to the default reset state ------------*/ + /* Set HSION bit */ + RCC->CR |= (uint32_t)0x00000001; + + /* Reset CFGR register */ + RCC->CFGR = 0x00000000; + + /* Reset HSEON, CSSON and PLLON bits */ + RCC->CR &= (uint32_t)0xFEF6FFFF; + + /* Reset PLLCFGR register */ + RCC->PLLCFGR = 0x24003010; + + /* Reset HSEBYP bit */ + RCC->CR &= (uint32_t)0xFFFBFFFF; + + /* Disable all interrupts */ + RCC->CIR = 0x00000000; + +#ifdef DATA_IN_ExtSRAM + SystemInit_ExtMemCtl(); +#endif /* DATA_IN_ExtSRAM */ + + /* Configure the System clock source, PLL Multiplier and Divider factors, + AHB/APBx prescalers and Flash settings ----------------------------------*/ + SetSysClock(); + + /* Configure the Vector Table location add offset address ------------------*/ +#ifdef VECT_TAB_SRAM + SCB->VTOR = SRAM_BASE | VECT_TAB_OFFSET; /* Vector Table Relocation in Internal SRAM */ +#else + SCB->VTOR = FLASH_BASE | VECT_TAB_OFFSET; /* Vector Table Relocation in Internal FLASH */ +#endif +} + +/** + * @brief Update SystemCoreClock variable according to Clock Register Values. + * The SystemCoreClock variable contains the core clock (HCLK), it can + * be used by the user application to setup the SysTick timer or configure + * other parameters. + * + * @note Each time the core clock (HCLK) changes, this function must be called + * to update SystemCoreClock variable value. Otherwise, any configuration + * based on this variable will be incorrect. + * + * @note - The system frequency computed by this function is not the real + * frequency in the chip. It is calculated based on the predefined + * constant and the selected clock source: + * + * - If SYSCLK source is HSI, SystemCoreClock will contain the HSI_VALUE(*) + * + * - If SYSCLK source is HSE, SystemCoreClock will contain the HSE_VALUE(**) + * + * - If SYSCLK source is PLL, SystemCoreClock will contain the HSE_VALUE(**) + * or HSI_VALUE(*) multiplied/divided by the PLL factors. + * + * (*) HSI_VALUE is a constant defined in stm32f4xx.h file (default value + * 16 MHz) but the real value may vary depending on the variations + * in voltage and temperature. + * + * (**) HSE_VALUE is a constant defined in stm32f4xx.h file (default value + * 25 MHz), user has to ensure that HSE_VALUE is same as the real + * frequency of the crystal used. Otherwise, this function may + * have wrong result. + * + * - The result of this function could be not correct when using fractional + * value for HSE crystal. + * + * @param None + * @retval None + */ +void SystemCoreClockUpdate(void) +{ + uint32_t tmp = 0, pllvco = 0, pllp = 2, pllsource = 0, pllm = 2; + + /* Get SYSCLK source -------------------------------------------------------*/ + tmp = RCC->CFGR & RCC_CFGR_SWS; + + switch (tmp) + { + case 0x00: /* HSI used as system clock source */ + SystemCoreClock = HSI_VALUE; + break; + case 0x04: /* HSE used as system clock source */ + SystemCoreClock = HSE_VALUE; + break; + case 0x08: /* PLL used as system clock source */ + + /* PLL_VCO = (HSE_VALUE or HSI_VALUE / PLL_M) * PLL_N + SYSCLK = PLL_VCO / PLL_P + */ + pllsource = (RCC->PLLCFGR & RCC_PLLCFGR_PLLSRC) >> 22; + pllm = RCC->PLLCFGR & RCC_PLLCFGR_PLLM; + + if (pllsource != 0) + { + /* HSE used as PLL clock source */ + pllvco = (HSE_VALUE / pllm) * ((RCC->PLLCFGR & RCC_PLLCFGR_PLLN) >> 6); + } + else + { + /* HSI used as PLL clock source */ + pllvco = (HSI_VALUE / pllm) * ((RCC->PLLCFGR & RCC_PLLCFGR_PLLN) >> 6); + } + + pllp = (((RCC->PLLCFGR & RCC_PLLCFGR_PLLP) >>16) + 1 ) *2; + SystemCoreClock = pllvco/pllp; + break; + default: + SystemCoreClock = HSI_VALUE; + break; + } + /* Compute HCLK frequency --------------------------------------------------*/ + /* Get HCLK prescaler */ + tmp = AHBPrescTable[((RCC->CFGR & RCC_CFGR_HPRE) >> 4)]; + /* HCLK frequency */ + SystemCoreClock >>= tmp; +} + +/** + * @brief Configures the System clock source, PLL Multiplier and Divider factors, + * AHB/APBx prescalers and Flash settings + * @Note This function should be called only once the RCC clock configuration + * is reset to the default reset state (done in SystemInit() function). + * @param None + * @retval None + */ +static void SetSysClock(void) +{ +/******************************************************************************/ +/* PLL (clocked by HSE) used as System clock source */ +/******************************************************************************/ + __IO uint32_t StartUpCounter = 0, HSEStatus = 0; + + /* Enable HSE */ + RCC->CR |= ((uint32_t)RCC_CR_HSEON); + + /* Wait till HSE is ready and if Time out is reached exit */ + do + { + HSEStatus = RCC->CR & RCC_CR_HSERDY; + StartUpCounter++; + } while((HSEStatus == 0) && (StartUpCounter != HSE_STARTUP_TIMEOUT)); + + if ((RCC->CR & RCC_CR_HSERDY) != RESET) + { + HSEStatus = (uint32_t)0x01; + } + else + { + HSEStatus = (uint32_t)0x00; + } + + if (HSEStatus == (uint32_t)0x01) + { + /* Select regulator voltage output Scale 1 mode, System frequency up to 168 MHz */ + RCC->APB1ENR |= RCC_APB1ENR_PWREN; + PWR->CR |= PWR_CR_VOS; + + /* HCLK = SYSCLK / 1*/ + RCC->CFGR |= RCC_CFGR_HPRE_DIV1; + + /* PCLK2 = HCLK / 2*/ + RCC->CFGR |= RCC_CFGR_PPRE2_DIV2; + + /* PCLK1 = HCLK / 4*/ + RCC->CFGR |= RCC_CFGR_PPRE1_DIV4; + + /* Configure the main PLL */ + RCC->PLLCFGR = PLL_M | (PLL_N << 6) | (((PLL_P >> 1) -1) << 16) | + (RCC_PLLCFGR_PLLSRC_HSE) | (PLL_Q << 24); + + /* Enable the main PLL */ + RCC->CR |= RCC_CR_PLLON; + + /* Wait till the main PLL is ready */ + while((RCC->CR & RCC_CR_PLLRDY) == 0) + { + } + + /* Configure Flash prefetch, Instruction cache, Data cache and wait state */ + FLASH->ACR = FLASH_ACR_ICEN |FLASH_ACR_DCEN |FLASH_ACR_LATENCY_5WS; + + /* Select the main PLL as system clock source */ + RCC->CFGR &= (uint32_t)((uint32_t)~(RCC_CFGR_SW)); + RCC->CFGR |= RCC_CFGR_SW_PLL; + + /* Wait till the main PLL is used as system clock source */ + while ((RCC->CFGR & (uint32_t)RCC_CFGR_SWS ) != RCC_CFGR_SWS_PLL) + { + } + } + else + { /* If HSE fails to start-up, the application will have wrong clock + configuration. User can add here some code to deal with this error */ + } + +} + +/** + * @brief Setup the external memory controller. Called in startup_stm32f4xx.s + * before jump to __main + * @param None + * @retval None + */ +#ifdef DATA_IN_ExtSRAM +/** + * @brief Setup the external memory controller. + * Called in startup_stm32f4xx.s before jump to main. + * This function configures the external SRAM mounted on STM324xG_EVAL board + * This SRAM will be used as program data memory (including heap and stack). + * @param None + * @retval None + */ +void SystemInit_ExtMemCtl(void) +{ +/*-- GPIOs Configuration -----------------------------------------------------*/ +/* + +-------------------+--------------------+------------------+------------------+ + + SRAM pins assignment + + +-------------------+--------------------+------------------+------------------+ + | PD0 <-> FSMC_D2 | PE0 <-> FSMC_NBL0 | PF0 <-> FSMC_A0 | PG0 <-> FSMC_A10 | + | PD1 <-> FSMC_D3 | PE1 <-> FSMC_NBL1 | PF1 <-> FSMC_A1 | PG1 <-> FSMC_A11 | + | PD4 <-> FSMC_NOE | PE3 <-> FSMC_A19 | PF2 <-> FSMC_A2 | PG2 <-> FSMC_A12 | + | PD5 <-> FSMC_NWE | PE4 <-> FSMC_A20 | PF3 <-> FSMC_A3 | PG3 <-> FSMC_A13 | + | PD8 <-> FSMC_D13 | PE7 <-> FSMC_D4 | PF4 <-> FSMC_A4 | PG4 <-> FSMC_A14 | + | PD9 <-> FSMC_D14 | PE8 <-> FSMC_D5 | PF5 <-> FSMC_A5 | PG5 <-> FSMC_A15 | + | PD10 <-> FSMC_D15 | PE9 <-> FSMC_D6 | PF12 <-> FSMC_A6 | PG9 <-> FSMC_NE2 | + | PD11 <-> FSMC_A16 | PE10 <-> FSMC_D7 | PF13 <-> FSMC_A7 |------------------+ + | PD12 <-> FSMC_A17 | PE11 <-> FSMC_D8 | PF14 <-> FSMC_A8 | + | PD13 <-> FSMC_A18 | PE12 <-> FSMC_D9 | PF15 <-> FSMC_A9 | + | PD14 <-> FSMC_D0 | PE13 <-> FSMC_D10 |------------------+ + | PD15 <-> FSMC_D1 | PE14 <-> FSMC_D11 | + | | PE15 <-> FSMC_D12 | + +-------------------+--------------------+ +*/ + /* Enable GPIOD, GPIOE, GPIOF and GPIOG interface clock */ + RCC->AHB1ENR = 0x00000078; + + /* Connect PDx pins to FSMC Alternate function */ + GPIOD->AFR[0] = 0x00cc00cc; + GPIOD->AFR[1] = 0xcc0ccccc; + /* Configure PDx pins in Alternate function mode */ + GPIOD->MODER = 0xaaaa0a0a; + /* Configure PDx pins speed to 100 MHz */ + GPIOD->OSPEEDR = 0xffff0f0f; + /* Configure PDx pins Output type to push-pull */ + GPIOD->OTYPER = 0x00000000; + /* No pull-up, pull-down for PDx pins */ + GPIOD->PUPDR = 0x00000000; + + /* Connect PEx pins to FSMC Alternate function */ + GPIOE->AFR[0] = 0xc00cc0cc; + GPIOE->AFR[1] = 0xcccccccc; + /* Configure PEx pins in Alternate function mode */ + GPIOE->MODER = 0xaaaa828a; + /* Configure PEx pins speed to 100 MHz */ + GPIOE->OSPEEDR = 0xffffc3cf; + /* Configure PEx pins Output type to push-pull */ + GPIOE->OTYPER = 0x00000000; + /* No pull-up, pull-down for PEx pins */ + GPIOE->PUPDR = 0x00000000; + + /* Connect PFx pins to FSMC Alternate function */ + GPIOF->AFR[0] = 0x00cccccc; + GPIOF->AFR[1] = 0xcccc0000; + /* Configure PFx pins in Alternate function mode */ + GPIOF->MODER = 0xaa000aaa; + /* Configure PFx pins speed to 100 MHz */ + GPIOF->OSPEEDR = 0xff000fff; + /* Configure PFx pins Output type to push-pull */ + GPIOF->OTYPER = 0x00000000; + /* No pull-up, pull-down for PFx pins */ + GPIOF->PUPDR = 0x00000000; + + /* Connect PGx pins to FSMC Alternate function */ + GPIOG->AFR[0] = 0x00cccccc; + GPIOG->AFR[1] = 0x000000c0; + /* Configure PGx pins in Alternate function mode */ + GPIOG->MODER = 0x00080aaa; + /* Configure PGx pins speed to 100 MHz */ + GPIOG->OSPEEDR = 0x000c0fff; + /* Configure PGx pins Output type to push-pull */ + GPIOG->OTYPER = 0x00000000; + /* No pull-up, pull-down for PGx pins */ + GPIOG->PUPDR = 0x00000000; + +/*-- FSMC Configuration ------------------------------------------------------*/ + /* Enable the FSMC interface clock */ + RCC->AHB3ENR = 0x00000001; + + /* Configure and enable Bank1_SRAM2 */ + FSMC_Bank1->BTCR[2] = 0x00001015; + FSMC_Bank1->BTCR[3] = 0x00010603; + FSMC_Bank1E->BWTR[2] = 0x0fffffff; + /* + Bank1_SRAM2 is configured as follow: + + p.FSMC_AddressSetupTime = 3; + p.FSMC_AddressHoldTime = 0; + p.FSMC_DataSetupTime = 6; + p.FSMC_BusTurnAroundDuration = 1; + p.FSMC_CLKDivision = 0; + p.FSMC_DataLatency = 0; + p.FSMC_AccessMode = FSMC_AccessMode_A; + + FSMC_NORSRAMInitStructure.FSMC_Bank = FSMC_Bank1_NORSRAM2; + FSMC_NORSRAMInitStructure.FSMC_DataAddressMux = FSMC_DataAddressMux_Disable; + FSMC_NORSRAMInitStructure.FSMC_MemoryType = FSMC_MemoryType_PSRAM; + FSMC_NORSRAMInitStructure.FSMC_MemoryDataWidth = FSMC_MemoryDataWidth_16b; + FSMC_NORSRAMInitStructure.FSMC_BurstAccessMode = FSMC_BurstAccessMode_Disable; + FSMC_NORSRAMInitStructure.FSMC_AsynchronousWait = FSMC_AsynchronousWait_Disable; + FSMC_NORSRAMInitStructure.FSMC_WaitSignalPolarity = FSMC_WaitSignalPolarity_Low; + FSMC_NORSRAMInitStructure.FSMC_WrapMode = FSMC_WrapMode_Disable; + FSMC_NORSRAMInitStructure.FSMC_WaitSignalActive = FSMC_WaitSignalActive_BeforeWaitState; + FSMC_NORSRAMInitStructure.FSMC_WriteOperation = FSMC_WriteOperation_Enable; + FSMC_NORSRAMInitStructure.FSMC_WaitSignal = FSMC_WaitSignal_Disable; + FSMC_NORSRAMInitStructure.FSMC_ExtendedMode = FSMC_ExtendedMode_Disable; + FSMC_NORSRAMInitStructure.FSMC_WriteBurst = FSMC_WriteBurst_Disable; + FSMC_NORSRAMInitStructure.FSMC_ReadWriteTimingStruct = &p; + FSMC_NORSRAMInitStructure.FSMC_WriteTimingStruct = &p; +*/ +} +#endif /* DATA_IN_ExtSRAM */ + + +/** + * @} + */ + +/** + * @} + */ + +/** + * @} + */ +/******************* (C) COPYRIGHT 2011 STMicroelectronics *****END OF FILE****/ + diff --git a/startup/startup_stm32f0xx.c b/startup/startup_stm32f0xx.c new file mode 100644 index 0000000..7cbed4f --- /dev/null +++ b/startup/startup_stm32f0xx.c @@ -0,0 +1,203 @@ +/* +* STM32F030 Linkerscript +* Copyright (C) 2019 Stefan Strobel <stefan.strobel@shimatta.net> +* +* This file is part of 'STM32F0 code template'. +* +* It is free software: you can redistribute it and/or modify +* it under the terms of the GNU General Public License as published by +* the Free Software Foundation, version 2 of the License. +* +* This code is distributed in the hope that it will be useful, +* but WITHOUT ANY WARRANTY; without even the implied warranty of +* MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +* GNU General Public License for more details. +* +* You should have received a copy of the GNU General Public License +* along with this template. If not, see <http://www.gnu.org/licenses/>. +* ------------------------------------------------------------------------ +*/ + +/* C++ library init */ +# if defined(__cplusplus) +extern "C" { + extern void __libc_init_array(void); +} +#endif + +/* Defines for weak default handlers */ +#define WEAK __attribute__((weak)) +#define ALIAS(func) __attribute__ ((weak, alias (#func))) + +/* Define for section mapping */ +#define SECTION(sec) __attribute__((section(sec))) + +/* Handler prototypes */ +#if defined(_cplusplus) +extern "C" { +#endif + + +/* Interrupt Defualt handler */ +WEAK void __int_default_handler(void); + +/* Core Interrupts */ +void Reset_Handler(void); +void NMI_Handler(void) ALIAS(__int_default_handler); +void HardFault_Handler(void) ALIAS(__int_default_handler); +void SVCall_Handler(void) ALIAS(__int_default_handler); +void PendSV_Handler(void) ALIAS(__int_default_handler); +void SysTick_Handler(void) ALIAS(__int_default_handler); + +/* Peripheral Interrupts (by default mapped onto Default Handler) */ +void WWDG_IRQHandler(void) ALIAS(__int_default_handler); +void PVD_VDDIO2_IRQHandler(void) ALIAS(__int_default_handler); +void RTC_IRQHandler(void) ALIAS(__int_default_handler); +void FLASH_IRQHandler(void) ALIAS(__int_default_handler); +void RCC_CRS_IRQHandler(void) ALIAS(__int_default_handler); +void EXTI0_1_IRQHandler(void) ALIAS(__int_default_handler); +void EXTI2_3_IRQHandler(void) ALIAS(__int_default_handler); +void EXTI4_15_IRQHandler(void) ALIAS(__int_default_handler); +void TSC_IRWHandler(void) ALIAS(__int_default_handler); +void DMA_CH1_IRQHandler(void) ALIAS(__int_default_handler); +void DMA_CH2_3_DMA2_CH1_2_IRQHandler(void) ALIAS(__int_default_handler); +void DMA_CH4_5_6_7_DMA2_CH3_4_5_IRQHandler(void) ALIAS(__int_default_handler); +void ADC_COMP_IRQHandler(void) ALIAS(__int_default_handler); +void TIM1_BRK_UP_TRG_COM_IRQHandler(void) ALIAS(__int_default_handler); +void TIM1_CC_IRQHandler(void) ALIAS(__int_default_handler); +void TIM2_IRQHandler(void) ALIAS(__int_default_handler); +void TIM3_IRQHandler(void) ALIAS(__int_default_handler); +void TIM6_DAC_IRQHandler(void) ALIAS(__int_default_handler); +void TIM7_IRQHandler(void) ALIAS(__int_default_handler); +void TIM14_IRQHandler(void) ALIAS(__int_default_handler); +void TIM15_IRQHandler(void) ALIAS(__int_default_handler); +void TIM16_IRQHandler(void) ALIAS(__int_default_handler); +void TIM17_IRQHandler(void) ALIAS(__int_default_handler); +void I2C1_IRQHandler(void) ALIAS(__int_default_handler); +void I2C2_IRQHandler(void) ALIAS(__int_default_handler); +void SPI1_IRQHandler(void) ALIAS(__int_default_handler); +void SPI2_IRQHandler(void) ALIAS(__int_default_handler); +void USART1_IRQHandler(void) ALIAS(__int_default_handler); +void USART2_IRQHandler(void) ALIAS(__int_default_handler); +void USART3_4_5_6_7_8_IRQHandler(void) ALIAS(__int_default_handler); +void CEC_CAN_IRQHandler(void) ALIAS(__int_default_handler); +void USB_IRQHandler(void) ALIAS(__int_default_handler); + + +extern int main(void); +extern void __system_init(void); + +extern void __ld_top_of_stack(void); +#if defined(_cplusplus) +extern "C" } +#endif + +void (* const vector_table[])(void) SECTION(".vectors") = { + &__ld_top_of_stack, + /* Core Interrupts */ + Reset_Handler, + NMI_Handler, + HardFault_Handler, + 0, + 0, + 0, + 0, + 0, + 0, + 0, + SVCall_Handler, + 0, + 0, + PendSV_Handler, + SysTick_Handler, + /* Peripheral Interrupts */ + WWDG_IRQHandler, + PVD_VDDIO2_IRQHandler, + RTC_IRQHandler, + FLASH_IRQHandler, + RCC_CRS_IRQHandler, + EXTI0_1_IRQHandler, + EXTI2_3_IRQHandler, + EXTI4_15_IRQHandler, + TSC_IRWHandler, + DMA_CH1_IRQHandler, + DMA_CH2_3_DMA2_CH1_2_IRQHandler, + DMA_CH4_5_6_7_DMA2_CH3_4_5_IRQHandler, + ADC_COMP_IRQHandler, + TIM1_BRK_UP_TRG_COM_IRQHandler, + TIM1_CC_IRQHandler, + TIM2_IRQHandler, + TIM3_IRQHandler, + TIM6_DAC_IRQHandler, + TIM7_IRQHandler, + TIM14_IRQHandler, + TIM15_IRQHandler, + TIM16_IRQHandler, + TIM17_IRQHandler, + I2C1_IRQHandler, + I2C2_IRQHandler, + SPI1_IRQHandler, + SPI2_IRQHandler, + USART1_IRQHandler, + USART2_IRQHandler, + USART3_4_5_6_7_8_IRQHandler, + CEC_CAN_IRQHandler, + USB_IRQHandler, +}; + +static void __init_section(unsigned int *src_start, unsigned int *dest_start, unsigned int *dest_end) { + unsigned int *get, *put; + + put = dest_start; + get = src_start; + + while ((unsigned int)put < (unsigned int)dest_end) { + *(put++) = *(get++); + } +} + +static void __fill_zero(unsigned int *start, unsigned int *end) { + while ((unsigned int) start < (unsigned int)end) { + *(start++) = 0x00000000; + } +} + +extern unsigned int __ld_load_data; +extern unsigned int __ld_sitcm; +extern unsigned int __ld_eitcm; +extern unsigned int __ld_sdtcm; +extern unsigned int __ld_edtcm; +extern unsigned int __ld_sdata; +extern unsigned int __ld_edata; +extern unsigned int __ld_sbss; +extern unsigned int __ld_ebss; +extern unsigned int __ld_sheap; +extern unsigned int __ld_eheap; + +void Reset_Handler(void) { + /* Stack is already initilized by hardware */ + + /* Copy .data section */ + __init_section(&__ld_load_data, &__ld_sdata, &__ld_edata); + /* Fill bss with zero */ + __fill_zero(&__ld_sbss, &__ld_ebss); + /* Fill Heap with zero */ + __fill_zero(&__ld_sheap, &__ld_eheap); + /* Set clocks, waitstates, ART operation etc. */ + __system_init(); + + /* C++ init function */ +#if defined(__cplusplus) + __libc_init_array(); +#endif + /* Call main */ + main(); + + /* Catch return from main() */ + while(1); +} + +WEAK void __int_default_handler(void) +{ + while(1); +} diff --git a/stm32f407ve.ld b/stm32f407ve.ld new file mode 100644 index 0000000..ec3d35b --- /dev/null +++ b/stm32f407ve.ld @@ -0,0 +1,157 @@ +/* +* STM32F407VE Linkerscript for FLASH normal flash code execution +* Copyright (C) 2017 Mario Hüttel <mario.huettel@gmx.net> +* +* This file is part of 'STM32F407 code template'. +* +* It is free software: you can redistribute it and/or modify +* it under the terms of the GNU General Public License as published by +* the Free Software Foundation, version 2 of the License. +* +* This code is distributed in the hope that it will be useful, +* but WITHOUT ANY WARRANTY; without even the implied warranty of +* MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +* GNU General Public License for more details. +* +* You should have received a copy of the GNU General Public License +* along with this template. If not, see <http://www.gnu.org/licenses/>. +* -------------------------------------------------------------------- +* FLASH: 512K +* RAM: 128K +* CCM RAM: 64L +* FPU: fpv4-sp-d16 +* + +/* USER PARAMETERS */ +__ld_stack_size = 0x1000; +__ld_heap_size = 0x0500; + +/* END OF USER PARAMETERS */ +ENTRY(Reset_Handler) +__ld_top_of_stack = 0x20001000; /* One byte above the end of the SRAM. Stack is pre-decrewmenting, so this is okay */ + + +/* Available memory areas */ +MEMORY +{ + FLASH (xr) : ORIGIN = 0x08000000, LENGTH = 512K + RAM (xrw) : ORIGIN = 0x20000000, LENGTH = 128K + CCM (xrw) : ORIGIN = 0x10000000, LENGTH = 64K +} + +SECTIONS +{ + .vectors : + { + . = ALIGN(4); + KEEP(*(.vectors)) + . = ALIGN(4); + } >FLASH + + .text : + { + . = ALIGN(4); + *(.text) /* .text sections (code) */ + *(.text*) /* .text* sections (code) */ + *(.rodata) /* .rodata sections (constants, strings, etc.) */ + *(.rodata*) /* .rodata* sections (constants, strings, etc.) */ + *(.glue_7) /* glue arm to thumb code */ + *(.glue_7t) /* glue thumb to arm code */ + *(.eh_frame) + KEEP(*(.init)) /* Constructors */ + KEEP(*(.fini)) /* Destructors */ + } >FLASH + + .ARM.extab : + { + *(.ARM.extab* .gnu.linkonce.armextab.*) + } >FLASH + + .ARM : + { + __exidx_start = .; + *(.ARM.exidx*) + __exidx_end = .; + } >FLASH + + /* Constructor/Destructor tables */ + .preinit_array : + { + PROVIDE_HIDDEN (__preinit_array_start = .); + KEEP (*(.preinit_array*)) + PROVIDE_HIDDEN (__preinit_array_end = .); + } >FLASH + + .init_array : + { + PROVIDE_HIDDEN (__init_array_start = .); + KEEP (*(SORT(.init_array.*))) + KEEP (*(.init_array*)) + PROVIDE_HIDDEN (__init_array_end = .); + } >FLASH + + .fini_array : + { + PROVIDE_HIDDEN (__fini_array_start = .); + KEEP (*(.fini_array*)) + KEEP (*(SORT(.fini_array.*))) + PROVIDE_HIDDEN (__fini_array_end = .); + } >FLASH + + /* Initialized CCM data */ + __ld_load_ccm_data = LOADADDR(.ccm.data) + .ccm.data : + { + . = ALIGN(4); + __ld_sdata_ccm = .; + *(.ccm.data) + *(.ccm.data*) + . = ALIGN(4); + __ld_edata_ccm = .; + } >CCM AT> FLASH + + .ccm.bss (NOLOAD) : + { + . = ALIGN(4); + __ld_sbss_ccm = .; + *(.ccm.bss) + *(.ccm.bss*) + . = ALIGN(4); + __ld_ebss_ccm = .; + } >CCM + + /* Initialized Data */ + __ld_load_data = LOADADDR(.data); + .data : + { + . = ALIGN(4); + __ld_sdata = .; + *(.data) + *(.data*) + . = ALIGN(4); + __ld_edata = .; + } >RAM AT> FLASH + + /* Uninitialized static data */ + .bss (NOLOAD) : + { + . = ALIGN(4); + __ld_sbss = .; + *(.bss) + *(.bss*) + *(COMMON) + . = ALIGN(4); + __ld_ebss = .; + } >RAM + + .heap_stack (NOLOAD) : + { + . = ALIGN(4); + __ld_sheap = .; + . = . + __ld_heap_size; + __ld_eheap = .; + . = . + __ld_stack_size; + . = ALIGN(4); + } >RAM +} +