started version 2,changed power supply, included latest fixes

This commit is contained in:
Mario Hüttel 2017-01-13 23:46:44 +01:00
parent a2f2ddae38
commit eccf90a93c
11 changed files with 3545 additions and 21129 deletions

View File

@ -140,43 +140,41 @@ X ~ 2 0 -150 110 U 50 50 1 1 P
ENDDRAW
ENDDEF
#
# CONN_01X11
# CONN_01X10
#
DEF CONN_01X11 P 0 40 Y N 1 F N
F0 "P" 0 600 50 H V C CNN
F1 "CONN_01X11" 100 0 50 V V C CNN
DEF CONN_01X10 P 0 40 Y N 1 F N
F0 "P" 0 550 50 H V C CNN
F1 "CONN_01X10" 100 0 50 V V C CNN
F2 "" 0 0 50 H V C CNN
F3 "" 0 0 50 H V C CNN
$FPLIST
Pin_Header_Straight_1X11
Pin_Header_Angled_1X11
Socket_Strip_Straight_1X11
Socket_Strip_Angled_1X11
Pin_Header_Straight_1X10
Pin_Header_Angled_1X10
Socket_Strip_Straight_1X10
Socket_Strip_Angled_1X10
$ENDFPLIST
DRAW
S -50 -495 10 -505 0 1 0 N
S -50 -395 10 -405 0 1 0 N
S -50 -295 10 -305 0 1 0 N
S -50 -195 10 -205 0 1 0 N
S -50 -95 10 -105 0 1 0 N
S -50 5 10 -5 0 1 0 N
S -50 105 10 95 0 1 0 N
S -50 205 10 195 0 1 0 N
S -50 305 10 295 0 1 0 N
S -50 405 10 395 0 1 0 N
S -50 505 10 495 0 1 0 N
S -50 550 50 -550 0 1 0 N
X P1 1 -200 500 150 R 50 50 1 1 P
X P2 2 -200 400 150 R 50 50 1 1 P
X P3 3 -200 300 150 R 50 50 1 1 P
X P4 4 -200 200 150 R 50 50 1 1 P
X P5 5 -200 100 150 R 50 50 1 1 P
X P6 6 -200 0 150 R 50 50 1 1 P
X P7 7 -200 -100 150 R 50 50 1 1 P
X P8 8 -200 -200 150 R 50 50 1 1 P
X P9 9 -200 -300 150 R 50 50 1 1 P
X P10 10 -200 -400 150 R 50 50 1 1 P
X P11 11 -200 -500 150 R 50 50 1 1 P
S -50 -445 10 -455 0 1 0 N
S -50 -345 10 -355 0 1 0 N
S -50 -245 10 -255 0 1 0 N
S -50 -145 10 -155 0 1 0 N
S -50 -45 10 -55 0 1 0 N
S -50 55 10 45 0 1 0 N
S -50 155 10 145 0 1 0 N
S -50 255 10 245 0 1 0 N
S -50 355 10 345 0 1 0 N
S -50 455 10 445 0 1 0 N
S -50 500 50 -500 0 1 0 N
X P1 1 -200 450 150 R 50 50 1 1 P
X P2 2 -200 350 150 R 50 50 1 1 P
X P3 3 -200 250 150 R 50 50 1 1 P
X P4 4 -200 150 150 R 50 50 1 1 P
X P5 5 -200 50 150 R 50 50 1 1 P
X P6 6 -200 -50 150 R 50 50 1 1 P
X P7 7 -200 -150 150 R 50 50 1 1 P
X P8 8 -200 -250 150 R 50 50 1 1 P
X P9 9 -200 -350 150 R 50 50 1 1 P
X P10 10 -200 -450 150 R 50 50 1 1 P
ENDDRAW
ENDDEF
#
@ -286,30 +284,6 @@ X DATA0/5S 19 -500 -700 100 R 40 40 1 1 P
ENDDRAW
ENDDEF
#
# D_Schottky
#
DEF D_Schottky D 0 40 N N 1 F N
F0 "D" 0 100 50 H V C CNN
F1 "D_Schottky" 0 -100 50 H V C CNN
F2 "" 0 0 50 H V C CNN
F3 "" 0 0 50 H V C CNN
$FPLIST
Diode_*
D-*
*SingleDiode
*_Diode_*
*SingleDiode*
D_*
$ENDFPLIST
DRAW
P 2 0 1 0 50 0 -50 0 N
P 4 0 1 8 50 50 50 -50 -50 0 50 50 N
P 6 0 1 8 -75 25 -75 50 -50 50 -50 -50 -25 -50 -25 -25 N
X K 1 -150 0 100 R 50 50 1 1 P
X A 2 150 0 100 L 50 50 1 1 P
ENDDRAW
ENDDEF
#
# EP4CE6E22C8N
#
DEF EP4CE6E22C8N U 0 40 Y Y 10 L N
@ -488,46 +462,6 @@ X GND 1 0 0 0 D 50 50 1 1 W N
ENDDRAW
ENDDEF
#
# L
#
DEF L L 0 40 N N 1 F N
F0 "L" -50 0 50 V V C CNN
F1 "L" 75 0 50 V V C CNN
F2 "" 0 0 50 H V C CNN
F3 "" 0 0 50 H V C CNN
$FPLIST
Choke_*
*Coil*
$ENDFPLIST
DRAW
A 0 -75 25 -899 899 0 1 0 N 0 -100 0 -50
A 0 -25 25 -899 899 0 1 0 N 0 -50 0 0
A 0 25 25 -899 899 0 1 0 N 0 0 0 50
A 0 75 25 -899 899 0 1 0 N 0 50 0 100
X 1 1 0 150 50 D 50 50 1 1 P
X 2 2 0 -150 50 U 50 50 1 1 P
ENDDRAW
ENDDEF
#
# LD1117S33TR
#
DEF LD1117S33TR U 0 30 Y Y 1 F N
F0 "U" 0 250 50 H V C CNN
F1 "LD1117S33TR" 0 200 50 H V C CNN
F2 "SOT-223" 0 100 50 H V C CNN
F3 "" 0 0 50 H V C CNN
ALIAS LD1117S33CTR LD1117S12TR LD1117S12CTR LD1117S18TR LD1117S18CTR LD1117S25TR LD1117S25CTR LD1117S50TR LD1117S50CTR
$FPLIST
SOT223
$ENDFPLIST
DRAW
S -250 -150 250 150 0 1 10 f
X GND 1 0 -250 100 U 50 50 1 1 W
X VO 2 400 50 150 L 50 50 1 1 w
X VI 3 -400 50 150 R 50 50 1 1 W
ENDDRAW
ENDDEF
#
# LED
#
DEF LED D 0 40 Y N 1 F N
@ -549,24 +483,70 @@ X A 2 150 0 100 L 50 50 1 1 P
ENDDRAW
ENDDEF
#
# LM2596
# LTC3612-TSSOP
#
DEF LM2596 U 0 40 Y Y 1 F N
F0 "U" -250 350 60 H V C CNN
F1 "LM2596" 150 350 60 H V C CNN
F2 "" -50 200 60 H V C CNN
F3 "" -50 200 60 H V C CNN
DEF LTC3612-TSSOP U 0 40 Y Y 1 F N
F0 "U" 900 50 60 H V C CNN
F1 "LTC3612-TSSOP" 400 50 60 H V C CNN
F2 "" 0 0 60 H I C CNN
F3 "" 0 0 60 H I C CNN
DRAW
S 0 0 1000 -1200 0 1 0 F
X SVin 1 -200 -50 200 R 50 50 1 1 W
X RUN 2 -200 -700 200 R 50 50 1 1 I
X PGOOD 3 -200 -500 200 R 50 50 1 1 C
X MODE 4 -200 -800 200 R 50 50 1 1 I
X VFB 5 -200 -900 200 R 50 50 1 1 I
X ITH 6 -200 -1000 200 R 50 50 1 1 I
X TRACK/SS 7 -200 -600 200 R 50 50 1 1 I
X DDR 8 1200 -1050 200 L 50 50 1 1 I
X RT/SYNC 9 -200 -1100 200 R 50 50 1 1 I
X SGND 10 600 -1400 200 U 50 50 1 1 W
X PVIN_DRV 20 -200 -150 200 R 50 50 1 1 W
X PGND-Pad 21 500 -1400 200 U 50 50 1 1 W
X SW 12 1200 -50 200 L 50 50 1 1 w
X SW 14 1200 -150 200 L 50 50 1 1 w
X PVIN 15 -200 -350 200 R 50 50 1 1 W
X PVIN 16 -200 -250 200 R 50 50 1 1 W
X SW 17 1200 -250 200 L 50 50 1 1 w
X SW 19 1200 -350 200 L 50 50 1 1 w
ENDDRAW
ENDDEF
#
# L_Core_Ferrite_Small
#
DEF L_Core_Ferrite_Small L 0 10 N N 1 F N
F0 "L" 50 40 50 H V L CNN
F1 "L_Core_Ferrite_Small" 50 -50 50 H V L CNN
F2 "" 0 0 50 H V C CNN
F3 "" 0 0 50 H V C CNN
$FPLIST
DDPAK
TO-263
Choke_*
*Coil*
$ENDFPLIST
DRAW
S -300 300 300 -300 0 1 0 f
X VIN 1 -500 100 200 R 50 50 1 1 W
X Output 2 500 0 200 L 50 50 1 1 w
X GND 3 200 -500 200 U 50 50 1 1 W
X Feedback 4 500 200 200 L 50 50 1 1 I
X ON 5 -150 -500 200 U 50 50 1 1 I I
A 0 -60 20 -899 899 0 1 0 N 0 -80 0 -40
A 0 -20 20 -899 899 0 1 0 N 0 -40 0 0
A 0 20 20 -899 899 0 1 0 N 0 0 0 40
A 0 60 20 -899 899 0 1 0 N 0 40 0 80
P 2 0 1 0 30 -75 30 -65 N
P 2 0 1 0 30 -55 30 -45 N
P 2 0 1 0 30 -35 30 -25 N
P 2 0 1 0 30 -15 30 -5 N
P 2 0 1 0 30 5 30 15 N
P 2 0 1 0 30 25 30 35 N
P 2 0 1 0 30 45 30 55 N
P 2 0 1 0 30 65 30 75 N
P 2 0 1 0 40 -65 40 -75 N
P 2 0 1 0 40 -45 40 -55 N
P 2 0 1 0 40 -25 40 -35 N
P 2 0 1 0 40 -5 40 -15 N
P 2 0 1 0 40 15 40 5 N
P 2 0 1 0 40 35 40 25 N
P 2 0 1 0 40 55 40 45 N
P 2 0 1 0 40 75 40 65 N
X ~ 1 0 100 20 D 50 50 1 1 P
X ~ 2 0 -100 20 U 50 50 1 1 P
ENDDRAW
ENDDEF
#

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

View File

@ -1,4 +1,4 @@
update=Sun 27 Nov 2016 03:27:06 PM CET
update=Fri 13 Jan 2017 11:07:34 PM CET
version=1
last_client=kicad
[pcbnew]
@ -27,7 +27,7 @@ NetIExt=net
version=1
[eeschema]
version=1
LibDir=../shimattapcblibs/schematics/ti;../shimattapcblibs/schematics/altera;../shimattapcblibs/schematics/power;../shimattapcblibs/schematics/passives
LibDir=../shimattapcblibs/schematics/ti;../shimattapcblibs/schematics/altera;../shimattapcblibs/schematics/power;../shimattapcblibs/schematics/passives;/home/mari/projects/pcb/shimattapcblibs/schematics/connectors;../shimattapcblibs/schematics/Video
[eeschema/libraries]
LibName1=power
LibName2=device
@ -65,3 +65,5 @@ LibName33=pmic
LibName34=powersym
LibName35=osc
LibName36=con-molex
LibName37=connectors
LibName38=/home/mari/projects/pcb/shimattapcblibs/schematics/Video/HDMI

View File

@ -35,6 +35,8 @@ LIBS:pmic
LIBS:powersym
LIBS:osc
LIBS:con-molex
LIBS:connectors
LIBS:HDMI
LIBS:dvi-sniffer-cache
EELAYER 26 0
EELAYER END
@ -63,16 +65,16 @@ U 1 1 583A22E7
P 1050 1650
F 0 "CON101" H 1031 1975 50 0000 C CNN
F 1 "PJ-002A" H 1031 1884 50 0000 C CNN
F 2 "Connect:BARREL_JACK" H 1050 1650 50 0001 C CNN
F 2 "Connectors:BARREL_JACK" H 1050 1650 50 0001 C CNN
F 3 "" H 1050 1650 50 0000 C CNN
1 1050 1650
1 0 0 -1
$EndComp
$Comp
L GND #PWR01
L GND #PWR101
U 1 1 583A2391
P 1450 1850
F 0 "#PWR01" H 1450 1600 50 0001 C CNN
F 0 "#PWR101" H 1450 1600 50 0001 C CNN
F 1 "GND" H 1455 1677 50 0000 C CNN
F 2 "" H 1450 1850 50 0000 C CNN
F 3 "" H 1450 1850 50 0000 C CNN
@ -268,37 +270,24 @@ Text Label 8900 4900 0 60 ~ 0
GPIO6
Text Label 8900 5000 0 60 ~ 0
GPIO7
$Comp
L CONN_01X11 P101
U 1 1 584D1B7E
P 9450 4500
F 0 "P101" H 9528 4541 50 0000 L CNN
F 1 "CONN_01X11" H 9528 4450 50 0000 L CNN
F 2 "Terminal_Blocks:TerminalBlock_Pheonix_MKDS1.5-11pol" H 9450 4500 50 0001 C CNN
F 3 "" H 9450 4500 50 0000 C CNN
1 9450 4500
1 0 0 -1
$EndComp
Wire Wire Line
9250 4200 8900 4200
Wire Wire Line
8900 4200 8900 3850
8900 4200 8900 3800
$Comp
L GND #PWR02
L GND #PWR102
U 1 1 584D1EA7
P 8900 3850
F 0 "#PWR02" H 8900 3600 50 0001 C CNN
F 1 "GND" H 8905 3677 50 0000 C CNN
F 2 "" H 8900 3850 50 0000 C CNN
F 3 "" H 8900 3850 50 0000 C CNN
1 8900 3850
P 8900 3800
F 0 "#PWR102" H 8900 3550 50 0001 C CNN
F 1 "GND" H 8905 3627 50 0000 C CNN
F 2 "" H 8900 3800 50 0000 C CNN
F 3 "" H 8900 3800 50 0000 C CNN
1 8900 3800
-1 0 0 1
$EndComp
$Comp
L +3V3 #PWR03
L +3V3 #PWR103
U 1 1 584D223B
P 9100 3800
F 0 "#PWR03" H 9100 3650 50 0001 C CNN
F 0 "#PWR103" H 9100 3650 50 0001 C CNN
F 1 "+3V3" H 9100 3950 50 0000 C CNN
F 2 "" H 9100 3800 50 0000 C CNN
F 3 "" H 9100 3800 50 0000 C CNN
@ -306,12 +295,20 @@ F 3 "" H 9100 3800 50 0000 C CNN
1 0 0 -1
$EndComp
Wire Wire Line
9100 3800 9100 4000
9100 3800 9100 4100
Wire Wire Line
9100 4000 9250 4000
9100 4100 9250 4100
$Comp
L CONN_01X10 P101
U 1 1 587A3F51
P 9450 4550
F 0 "P101" H 9528 4591 50 0000 L CNN
F 1 "CONN_01X10" H 9528 4500 50 0000 L CNN
F 2 "Pin_Headers:Pin_Header_Angled_1x10_Pitch2.54mm" H 9450 4550 50 0001 C CNN
F 3 "" H 9450 4550 50 0000 C CNN
1 9450 4550
1 0 0 -1
$EndComp
Wire Wire Line
9250 4100 9150 4100
Wire Wire Line
9150 4100 9150 4200
Connection ~ 9150 4200
8900 4200 9250 4200
$EndSCHEMATC

2488
dvi-sniffer.xml Normal file

File diff suppressed because it is too large Load Diff

View File

@ -35,6 +35,8 @@ LIBS:pmic
LIBS:powersym
LIBS:osc
LIBS:con-molex
LIBS:connectors
LIBS:HDMI
LIBS:dvi-sniffer-cache
EELAYER 26 0
EELAYER END
@ -145,10 +147,10 @@ F 3 "" H 3250 1850 50 0000 C CNN
1 0 0 -1
$EndComp
$Comp
L GND #PWR058
L GND #PWR403
U 1 1 583B6B83
P 2950 2100
F 0 "#PWR058" H 2950 1850 50 0001 C CNN
F 0 "#PWR403" H 2950 1850 50 0001 C CNN
F 1 "GND" H 2955 1927 50 0000 C CNN
F 2 "" H 2950 2100 50 0000 C CNN
F 3 "" H 2950 2100 50 0000 C CNN
@ -156,10 +158,10 @@ F 3 "" H 2950 2100 50 0000 C CNN
1 0 0 -1
$EndComp
$Comp
L +3V3 #PWR059
L +3V3 #PWR401
U 1 1 583B6BE8
P 2450 1150
F 0 "#PWR059" H 2450 1000 50 0001 C CNN
F 0 "#PWR401" H 2450 1000 50 0001 C CNN
F 1 "+3V3" H 2465 1323 50 0000 C CNN
F 2 "" H 2450 1150 50 0000 C CNN
F 3 "" H 2450 1150 50 0000 C CNN
@ -233,10 +235,10 @@ F 3 "" H 3100 2650 50 0000 C CNN
1 0 0 -1
$EndComp
$Comp
L GND #PWR060
L GND #PWR405
U 1 1 583B7673
P 3000 2800
F 0 "#PWR060" H 3000 2550 50 0001 C CNN
F 0 "#PWR405" H 3000 2550 50 0001 C CNN
F 1 "GND" H 3005 2627 50 0000 C CNN
F 2 "" H 3000 2800 50 0000 C CNN
F 3 "" H 3000 2800 50 0000 C CNN
@ -255,10 +257,10 @@ F 3 "" H 2500 3050 50 0000 C CNN
1 0 0 -1
$EndComp
$Comp
L +3V3 #PWR061
L +3V3 #PWR402
U 1 1 583B7B96
P 2500 2850
F 0 "#PWR061" H 2500 2700 50 0001 C CNN
F 0 "#PWR402" H 2500 2700 50 0001 C CNN
F 1 "+3V3" H 2515 3023 50 0000 C CNN
F 2 "" H 2500 2850 50 0000 C CNN
F 3 "" H 2500 2850 50 0000 C CNN
@ -299,10 +301,10 @@ F 3 "" H 3300 3350 50 0000 C CNN
1 0 0 -1
$EndComp
$Comp
L GND #PWR062
L GND #PWR404
U 1 1 583B80ED
P 2950 3600
F 0 "#PWR062" H 2950 3350 50 0001 C CNN
F 0 "#PWR404" H 2950 3350 50 0001 C CNN
F 1 "GND" H 2955 3427 50 0000 C CNN
F 2 "" H 2950 3600 50 0000 C CNN
F 3 "" H 2950 3600 50 0000 C CNN
@ -321,10 +323,10 @@ F 3 "" H 9300 2000 60 0001 C CNN
1 0 0 -1
$EndComp
$Comp
L GND #PWR063
L GND #PWR412
U 1 1 583B9DCE
P 8550 2300
F 0 "#PWR063" H 8550 2050 50 0001 C CNN
F 0 "#PWR412" H 8550 2050 50 0001 C CNN
F 1 "GND" V 8555 2172 50 0000 R CNN
F 2 "" H 8550 2300 50 0000 C CNN
F 3 "" H 8550 2300 50 0000 C CNN
@ -336,10 +338,10 @@ Rx2+
Text Label 7150 2150 0 60 ~ 0
Rx2-
$Comp
L GND #PWR064
L GND #PWR411
U 1 1 583BA203
P 8550 1900
F 0 "#PWR064" H 8550 1650 50 0001 C CNN
F 0 "#PWR411" H 8550 1650 50 0001 C CNN
F 1 "GND" V 8555 1772 50 0000 R CNN
F 2 "" H 8550 1900 50 0000 C CNN
F 3 "" H 8550 1900 50 0000 C CNN
@ -347,10 +349,10 @@ F 3 "" H 8550 1900 50 0000 C CNN
0 1 1 0
$EndComp
$Comp
L GND #PWR065
L GND #PWR410
U 1 1 583BA247
P 8550 1100
F 0 "#PWR065" H 8550 850 50 0001 C CNN
F 0 "#PWR410" H 8550 850 50 0001 C CNN
F 1 "GND" V 8555 972 50 0000 R CNN
F 2 "" H 8550 1100 50 0000 C CNN
F 3 "" H 8550 1100 50 0000 C CNN
@ -373,10 +375,10 @@ F 3 "" H 8250 2550 50 0000 C CNN
1 0 0 -1
$EndComp
$Comp
L GND #PWR066
L GND #PWR409
U 1 1 583BABF4
P 8250 2750
F 0 "#PWR066" H 8250 2500 50 0001 C CNN
F 0 "#PWR409" H 8250 2500 50 0001 C CNN
F 1 "GND" H 8255 2577 50 0000 C CNN
F 2 "" H 8250 2750 50 0000 C CNN
F 3 "" H 8250 2750 50 0000 C CNN
@ -498,10 +500,10 @@ DATI22
Text Label 4100 5550 0 60 ~ 0
DATI23
$Comp
L GND #PWR067
L GND #PWR406
U 1 1 583BE5DD
P 4850 2900
F 0 "#PWR067" H 4850 2650 50 0001 C CNN
F 0 "#PWR406" H 4850 2650 50 0001 C CNN
F 1 "GND" H 4855 2727 50 0000 C CNN
F 2 "" H 4850 2900 50 0000 C CNN
F 3 "" H 4850 2900 50 0000 C CNN
@ -609,10 +611,10 @@ F 3 "" H 5000 5450 50 0000 C CNN
0 1 1 0
$EndComp
$Comp
L GND #PWR068
L GND #PWR407
U 1 1 58481897
P 5200 1850
F 0 "#PWR068" H 5200 1600 50 0001 C CNN
F 0 "#PWR407" H 5200 1600 50 0001 C CNN
F 1 "GND" H 5205 1677 50 0000 C CNN
F 2 "" H 5200 1850 50 0000 C CNN
F 3 "" H 5200 1850 50 0000 C CNN
@ -628,10 +630,10 @@ DDCDAT_
Text Label 9600 4900 0 60 ~ 0
DDCCLK_
$Comp
L +3V3 #PWR069
L +3V3 #PWR413
U 1 1 58486DD6
P 9000 3700
F 0 "#PWR069" H 9000 3550 50 0001 C CNN
F 0 "#PWR413" H 9000 3550 50 0001 C CNN
F 1 "+3V3" H 9015 3873 50 0000 C CNN
F 2 "" H 9000 3700 50 0000 C CNN
F 3 "" H 9000 3700 50 0000 C CNN
@ -661,10 +663,10 @@ F 3 "" H 8650 3850 50 0000 C CNN
1 0 0 -1
$EndComp
$Comp
L +3V3 #PWR070
L +3V3 #PWR414
U 1 1 58487D12
P 9000 4550
F 0 "#PWR070" H 9000 4400 50 0001 C CNN
F 0 "#PWR414" H 9000 4400 50 0001 C CNN
F 1 "+3V3" H 9015 4723 50 0000 C CNN
F 2 "" H 9000 4550 50 0000 C CNN
F 3 "" H 9000 4550 50 0000 C CNN
@ -694,10 +696,10 @@ F 3 "" H 8650 4700 50 0000 C CNN
1 0 0 -1
$EndComp
$Comp
L +5V #PWR071
L +5V #PWR416
U 1 1 5848AB0D
P 9300 4450
F 0 "#PWR071" H 9300 4300 50 0001 C CNN
F 0 "#PWR416" H 9300 4300 50 0001 C CNN
F 1 "+5V" H 9315 4623 50 0000 C CNN
F 2 "" H 9300 4450 50 0000 C CNN
F 3 "" H 9300 4450 50 0000 C CNN
@ -705,10 +707,10 @@ F 3 "" H 9300 4450 50 0000 C CNN
1 0 0 -1
$EndComp
$Comp
L +5V #PWR072
L +5V #PWR415
U 1 1 5848AB67
P 9300 3600
F 0 "#PWR072" H 9300 3450 50 0001 C CNN
F 0 "#PWR415" H 9300 3450 50 0001 C CNN
F 1 "+5V" H 9315 3773 50 0000 C CNN
F 2 "" H 9300 3600 50 0000 C CNN
F 3 "" H 9300 3600 50 0000 C CNN
@ -723,7 +725,7 @@ U 1 1 584E0C55
P 9000 3950
F 0 "Q401" V 9250 3950 50 0000 C CNN
F 1 "Q_NMOS_GSD" V 9341 3950 50 0000 C CNN
F 2 "TO_SOT_Packages_SMD:SOT-23" H 9200 4050 50 0001 C CNN
F 2 "TO_SOT_Packages_SMD:SOT-23_Handsoldering" H 9200 4050 50 0001 C CNN
F 3 "" H 9000 3950 50 0000 C CNN
1 9000 3950
0 1 1 0
@ -734,7 +736,7 @@ U 1 1 584E0FE5
P 9000 4800
F 0 "Q402" V 9250 4800 50 0000 C CNN
F 1 "Q_NMOS_GSD" V 9341 4800 50 0000 C CNN
F 2 "TO_SOT_Packages_SMD:SOT-23" H 9200 4900 50 0001 C CNN
F 2 "TO_SOT_Packages_SMD:SOT-23_Handsoldering" H 9200 4900 50 0001 C CNN
F 3 "" H 9000 4800 50 0000 C CNN
1 9000 4800
0 1 1 0
@ -1265,7 +1267,7 @@ U 1 1 586D6CA3
P 9650 3650
F 0 "C417" V 9902 3650 50 0000 C CNN
F 1 "1u" V 9811 3650 50 0000 C CNN
F 2 "Capacitors_SMD:C_1206_HandSoldering" H 9688 3500 50 0001 C CNN
F 2 "Capacitors_SMD:C_0805_HandSoldering" H 9688 3500 50 0001 C CNN
F 3 "" H 9650 3650 50 0000 C CNN
1 9650 3650
0 -1 -1 0
@ -1278,10 +1280,10 @@ Wire Wire Line
Wire Wire Line
9850 3650 9850 3700
$Comp
L GND #PWR073
L GND #PWR417
U 1 1 586D704A
P 9850 3700
F 0 "#PWR073" H 9850 3450 50 0001 C CNN
F 0 "#PWR417" H 9850 3450 50 0001 C CNN
F 1 "GND" H 9855 3527 50 0000 C CNN
F 2 "" H 9850 3700 50 0000 C CNN
F 3 "" H 9850 3700 50 0000 C CNN
@ -1306,10 +1308,10 @@ Wire Wire Line
3650 3550 3650 3500
Connection ~ 3300 3550
$Comp
L +5V #PWR074
L +5V #PWR408
U 1 1 58723D96
P 8200 2200
F 0 "#PWR074" H 8200 2050 50 0001 C CNN
F 0 "#PWR408" H 8200 2050 50 0001 C CNN
F 1 "+5V" H 8215 2373 50 0000 C CNN
F 2 "" H 8200 2200 50 0000 C CNN
F 3 "" H 8200 2200 50 0000 C CNN

View File

@ -35,6 +35,8 @@ LIBS:pmic
LIBS:powersym
LIBS:osc
LIBS:con-molex
LIBS:connectors
LIBS:HDMI
LIBS:dvi-sniffer-cache
EELAYER 26 0
EELAYER END
@ -244,10 +246,10 @@ Wire Wire Line
4950 6650 5100 6650
Connection ~ 5100 6650
$Comp
L +3V3 #PWR075
L +3V3 #PWR506
U 1 1 5847FE01
P 5100 6400
F 0 "#PWR075" H 5100 6250 50 0001 C CNN
F 0 "#PWR506" H 5100 6250 50 0001 C CNN
F 1 "+3V3" H 5115 6573 50 0000 C CNN
F 2 "" H 5100 6400 50 0000 C CNN
F 3 "" H 5100 6400 50 0000 C CNN
@ -309,10 +311,10 @@ Wire Wire Line
4650 7200 4650 7150
Connection ~ 4250 7200
$Comp
L GND #PWR076
L GND #PWR502
U 1 1 5848018E
P 4250 7250
F 0 "#PWR076" H 4250 7000 50 0001 C CNN
F 0 "#PWR502" H 4250 7000 50 0001 C CNN
F 1 "GND" H 4255 7077 50 0000 C CNN
F 2 "" H 4250 7250 50 0000 C CNN
F 3 "" H 4250 7250 50 0000 C CNN
@ -396,10 +398,10 @@ Connection ~ 5650 7400
Wire Wire Line
5650 6850 6050 6850
$Comp
L GND #PWR077
L GND #PWR507
U 1 1 58480AED
P 5850 6850
F 0 "#PWR077" H 5850 6600 50 0001 C CNN
F 0 "#PWR507" H 5850 6600 50 0001 C CNN
F 1 "GND" H 5855 6677 50 0000 C CNN
F 2 "" H 5850 6850 50 0000 C CNN
F 3 "" H 5850 6850 50 0000 C CNN
@ -408,10 +410,10 @@ F 3 "" H 5850 6850 50 0000 C CNN
$EndComp
Connection ~ 5850 6850
$Comp
L GND #PWR078
L GND #PWR508
U 1 1 58480B2A
P 5850 7400
F 0 "#PWR078" H 5850 7150 50 0001 C CNN
F 0 "#PWR508" H 5850 7150 50 0001 C CNN
F 1 "GND" H 5855 7227 50 0000 C CNN
F 2 "" H 5850 7400 50 0000 C CNN
F 3 "" H 5850 7400 50 0000 C CNN
@ -456,10 +458,10 @@ Wire Wire Line
Connection ~ 2300 6900
Connection ~ 2300 7000
$Comp
L GND #PWR079
L GND #PWR501
U 1 1 584811BE
P 2300 7200
F 0 "#PWR079" H 2300 6950 50 0001 C CNN
F 0 "#PWR501" H 2300 6950 50 0001 C CNN
F 1 "GND" H 2305 7027 50 0000 C CNN
F 2 "" H 2300 7200 50 0000 C CNN
F 3 "" H 2300 7200 50 0000 C CNN
@ -627,10 +629,10 @@ Wire Wire Line
Wire Wire Line
4650 4300 4700 4300
$Comp
L +3V3 #PWR080
L +3V3 #PWR503
U 1 1 584885B1
P 4650 4300
F 0 "#PWR080" H 4650 4150 50 0001 C CNN
F 0 "#PWR503" H 4650 4150 50 0001 C CNN
F 1 "+3V3" H 4665 4473 50 0000 C CNN
F 2 "" H 4650 4300 50 0000 C CNN
F 3 "" H 4650 4300 50 0000 C CNN
@ -710,10 +712,10 @@ Wire Wire Line
Wire Wire Line
7700 1100 8000 1100
$Comp
L GND #PWR081
L GND #PWR511
U 1 1 5848A94C
P 7650 1200
F 0 "#PWR081" H 7650 950 50 0001 C CNN
F 0 "#PWR511" H 7650 950 50 0001 C CNN
F 1 "GND" V 7655 1072 50 0000 R CNN
F 2 "" H 7650 1200 50 0000 C CNN
F 3 "" H 7650 1200 50 0000 C CNN
@ -721,10 +723,10 @@ F 3 "" H 7650 1200 50 0000 C CNN
0 1 1 0
$EndComp
$Comp
L GND #PWR082
L GND #PWR512
U 1 1 5848A9C2
P 7650 2400
F 0 "#PWR082" H 7650 2150 50 0001 C CNN
F 0 "#PWR512" H 7650 2150 50 0001 C CNN
F 1 "GND" V 7655 2272 50 0000 R CNN
F 2 "" H 7650 2400 50 0000 C CNN
F 3 "" H 7650 2400 50 0000 C CNN
@ -732,10 +734,10 @@ F 3 "" H 7650 2400 50 0000 C CNN
0 1 1 0
$EndComp
$Comp
L GND #PWR083
L GND #PWR514
U 1 1 5848AB76
P 7650 3100
F 0 "#PWR083" H 7650 2850 50 0001 C CNN
F 0 "#PWR514" H 7650 2850 50 0001 C CNN
F 1 "GND" V 7655 2972 50 0000 R CNN
F 2 "" H 7650 3100 50 0000 C CNN
F 3 "" H 7650 3100 50 0000 C CNN
@ -762,10 +764,10 @@ F 3 "" H 7600 2300 50 0001 C CNN
0 1 1 0
$EndComp
$Comp
L +5V #PWR084
L +5V #PWR509
U 1 1 5848BF51
P 7400 2300
F 0 "#PWR084" H 7400 2150 50 0001 C CNN
F 0 "#PWR509" H 7400 2150 50 0001 C CNN
F 1 "+5V" H 7415 2473 50 0000 C CNN
F 2 "" H 7400 2300 50 0000 C CNN
F 3 "" H 7400 2300 50 0000 C CNN
@ -789,10 +791,10 @@ DDCCLK
Text HLabel 7700 4600 0 60 BiDi ~ 0
DDCDAT
$Comp
L +3V3 #PWR085
L +3V3 #PWR516
U 1 1 58488B8F
P 8300 4250
F 0 "#PWR085" H 8300 4100 50 0001 C CNN
F 0 "#PWR516" H 8300 4100 50 0001 C CNN
F 1 "+3V3" H 8315 4423 50 0000 C CNN
F 2 "" H 8300 4250 50 0000 C CNN
F 3 "" H 8300 4250 50 0000 C CNN
@ -837,10 +839,10 @@ Connection ~ 8600 4600
Text Label 8900 4600 0 60 ~ 0
DDCDAT_
$Comp
L +5V #PWR086
L +5V #PWR518
U 1 1 58488F8B
P 8600 4200
F 0 "#PWR086" H 8600 4050 50 0001 C CNN
F 0 "#PWR518" H 8600 4050 50 0001 C CNN
F 1 "+5V" H 8615 4373 50 0000 C CNN
F 2 "" H 8600 4200 50 0000 C CNN
F 3 "" H 8600 4200 50 0000 C CNN
@ -863,10 +865,10 @@ F 3 "" H 8000 5450 50 0000 C CNN
1 0 0 -1
$EndComp
$Comp
L +5V #PWR087
L +5V #PWR519
U 1 1 584896AE
P 8700 5200
F 0 "#PWR087" H 8700 5050 50 0001 C CNN
F 0 "#PWR519" H 8700 5050 50 0001 C CNN
F 1 "+5V" H 8715 5373 50 0000 C CNN
F 2 "" H 8700 5200 50 0000 C CNN
F 3 "" H 8700 5200 50 0000 C CNN
@ -874,10 +876,10 @@ F 3 "" H 8700 5200 50 0000 C CNN
1 0 0 -1
$EndComp
$Comp
L +3V3 #PWR088
L +3V3 #PWR517
U 1 1 58489716
P 8350 5300
F 0 "#PWR088" H 8350 5150 50 0001 C CNN
F 0 "#PWR517" H 8350 5150 50 0001 C CNN
F 1 "+3V3" H 8365 5473 50 0000 C CNN
F 2 "" H 8350 5300 50 0000 C CNN
F 3 "" H 8350 5300 50 0000 C CNN
@ -945,10 +947,10 @@ Connection ~ 4300 6150
Wire Wire Line
5200 4400 4850 4400
$Comp
L GND #PWR089
L GND #PWR504
U 1 1 58488C3F
P 4850 4400
F 0 "#PWR089" H 4850 4150 50 0001 C CNN
F 0 "#PWR504" H 4850 4150 50 0001 C CNN
F 1 "GND" V 4855 4272 50 0000 R CNN
F 2 "" H 4850 4400 50 0000 C CNN
F 3 "" H 4850 4400 50 0000 C CNN
@ -983,7 +985,7 @@ U 1 1 584E4FB9
P 8350 5550
F 0 "Q502" V 8600 5550 50 0000 C CNN
F 1 "Q_NMOS_GSD" V 8691 5550 50 0000 C CNN
F 2 "TO_SOT_Packages_SMD:SOT-23" H 8550 5650 50 0001 C CNN
F 2 "TO_SOT_Packages_SMD:SOT-23_Handsoldering" H 8550 5650 50 0001 C CNN
F 3 "" H 8350 5550 50 0000 C CNN
1 8350 5550
0 1 1 0
@ -994,7 +996,7 @@ U 1 1 584E5041
P 8300 4500
F 0 "Q501" V 8550 4500 50 0000 C CNN
F 1 "Q_NMOS_GSD" V 8641 4500 50 0000 C CNN
F 2 "TO_SOT_Packages_SMD:SOT-23" H 8500 4600 50 0001 C CNN
F 2 "TO_SOT_Packages_SMD:SOT-23_Handsoldering" H 8500 4600 50 0001 C CNN
F 3 "" H 8300 4500 50 0000 C CNN
1 8300 4500
0 1 1 0
@ -1007,10 +1009,10 @@ Wire Wire Line
2550 7400 2950 7400
Connection ~ 2300 7100
$Comp
L GND #PWR090
L GND #PWR515
U 1 1 584E85CD
P 7900 2000
F 0 "#PWR090" H 7900 1750 50 0001 C CNN
F 0 "#PWR515" H 7900 1750 50 0001 C CNN
F 1 "GND" V 7905 1872 50 0000 R CNN
F 2 "" H 7900 2000 50 0000 C CNN
F 3 "" H 7900 2000 50 0000 C CNN
@ -1020,10 +1022,10 @@ $EndComp
Wire Wire Line
7900 2000 8000 2000
$Comp
L GND #PWR091
L GND #PWR513
U 1 1 584E871E
P 7650 2800
F 0 "#PWR091" H 7650 2550 50 0001 C CNN
F 0 "#PWR513" H 7650 2550 50 0001 C CNN
F 1 "GND" V 7655 2672 50 0000 R CNN
F 2 "" H 7650 2800 50 0000 C CNN
F 3 "" H 7650 2800 50 0000 C CNN
@ -1052,10 +1054,10 @@ Wire Wire Line
Wire Wire Line
8000 5100 7500 5100
$Comp
L GND #PWR092
L GND #PWR510
U 1 1 58506863
P 7500 5450
F 0 "#PWR092" H 7500 5200 50 0001 C CNN
F 0 "#PWR510" H 7500 5200 50 0001 C CNN
F 1 "GND" H 7505 5277 50 0000 C CNN
F 2 "" H 7500 5450 50 0000 C CNN
F 3 "" H 7500 5450 50 0000 C CNN
@ -1077,7 +1079,7 @@ U 1 1 586D664F
P 9000 4200
F 0 "C511" V 8748 4200 50 0000 C CNN
F 1 "1u" V 8839 4200 50 0000 C CNN
F 2 "Capacitors_SMD:C_1206_HandSoldering" H 9038 4050 50 0001 C CNN
F 2 "Capacitors_SMD:C_0805_HandSoldering" H 9038 4050 50 0001 C CNN
F 3 "" H 9000 4200 50 0000 C CNN
1 9000 4200
0 1 1 0
@ -1086,10 +1088,10 @@ Connection ~ 8600 4200
Wire Wire Line
8600 4200 8850 4200
$Comp
L GND #PWR093
L GND #PWR520
U 1 1 586D69A4
P 9200 4250
F 0 "#PWR093" H 9200 4000 50 0001 C CNN
F 0 "#PWR520" H 9200 4000 50 0001 C CNN
F 1 "GND" H 9205 4077 50 0000 C CNN
F 2 "" H 9200 4250 50 0000 C CNN
F 3 "" H 9200 4250 50 0000 C CNN
@ -1103,10 +1105,10 @@ Wire Wire Line
Connection ~ 8850 4200
Connection ~ 9150 4200
$Comp
L GND #PWR094
L GND #PWR505
U 1 1 58768316
P 5100 3400
F 0 "#PWR094" H 5100 3150 50 0001 C CNN
F 0 "#PWR505" H 5100 3150 50 0001 C CNN
F 1 "GND" V 5105 3272 50 0000 R CNN
F 2 "" H 5100 3400 50 0000 C CNN
F 3 "" H 5100 3400 50 0000 C CNN

View File

@ -1,5 +1,5 @@
(fp_lib_table
(lib (name smd)(type KiCad)(uri /home/mari/projects/pcb/shimattapcblibs/footprints/pretty/smd.pretty)(options "")(descr ""))
(lib (name smd)(type KiCad)(uri "$(SHIMATTADIR)/footprints/pretty/smd.pretty")(options "")(descr ""))
(lib (name dvi)(type KiCad)(uri "$(KIPRJMOD)/dvi.pretty")(options "")(descr ""))
(lib (name artwork)(type KiCad)(uri /home/mari/projects/pcb/shimattapcblibs/footprints/pretty/artwork.pretty)(options "")(descr ""))
(lib (name artwork)(type KiCad)(uri "$(SHIMATTADIR)/footprints/pretty/artwork.pretty")(options "")(descr ""))
)

236
fpga.sch
View File

@ -35,6 +35,8 @@ LIBS:pmic
LIBS:powersym
LIBS:osc
LIBS:con-molex
LIBS:connectors
LIBS:HDMI
LIBS:dvi-sniffer-cache
EELAYER 26 0
EELAYER END
@ -56,7 +58,7 @@ U 1 1 583A279E
P 1700 2650
F 0 "U302" H 2175 4547 60 0000 C CNN
F 1 "EP4CE6E22C8N" H 2175 4441 60 0000 C CNN
F 2 "smd:TQFP-144_20x20mm_Pitch0.5mm" H 1700 2600 60 0001 C CNN
F 2 "Housings_QFP:TQFP-144_20x20mm_Pitch0.5mm" H 1700 2600 60 0001 C CNN
F 3 "" H 1700 2600 60 0000 C CNN
1 1700 2650
1 0 0 -1
@ -67,7 +69,7 @@ U 2 1 583A281F
P 4150 2750
F 0 "U302" H 4650 3947 60 0000 C CNN
F 1 "EP4CE6E22C8N" H 4650 3841 60 0000 C CNN
F 2 "smd:TQFP-144_20x20mm_Pitch0.5mm" H 4150 2700 60 0001 C CNN
F 2 "Housings_QFP:TQFP-144_20x20mm_Pitch0.5mm" H 4150 2700 60 0001 C CNN
F 3 "" H 4150 2700 60 0000 C CNN
2 4150 2750
1 0 0 -1
@ -78,7 +80,7 @@ U 3 1 583A28B1
P 9550 6000
F 0 "U302" H 10050 6997 60 0000 C CNN
F 1 "EP4CE6E22C8N" H 10050 6891 60 0000 C CNN
F 2 "smd:TQFP-144_20x20mm_Pitch0.5mm" H 9550 5950 60 0001 C CNN
F 2 "Housings_QFP:TQFP-144_20x20mm_Pitch0.5mm" H 9550 5950 60 0001 C CNN
F 3 "" H 9550 5950 60 0000 C CNN
3 9550 6000
1 0 0 -1
@ -89,7 +91,7 @@ U 4 1 583A295F
P 9250 3300
F 0 "U302" H 9850 4597 60 0000 C CNN
F 1 "EP4CE6E22C8N" H 9850 4491 60 0000 C CNN
F 2 "smd:TQFP-144_20x20mm_Pitch0.5mm" H 9250 3250 60 0001 C CNN
F 2 "Housings_QFP:TQFP-144_20x20mm_Pitch0.5mm" H 9250 3250 60 0001 C CNN
F 3 "" H 9250 3250 60 0000 C CNN
4 9250 3300
1 0 0 -1
@ -100,7 +102,7 @@ U 6 1 583A2ACD
P 6600 2100
F 0 "U302" H 7025 3597 60 0000 C CNN
F 1 "EP4CE6E22C8N" H 7025 3491 60 0000 C CNN
F 2 "smd:TQFP-144_20x20mm_Pitch0.5mm" H 6600 2050 60 0001 C CNN
F 2 "Housings_QFP:TQFP-144_20x20mm_Pitch0.5mm" H 6600 2050 60 0001 C CNN
F 3 "" H 6600 2050 60 0000 C CNN
6 6600 2100
1 0 0 -1
@ -111,7 +113,7 @@ U 7 1 583A2BA1
P 6650 4550
F 0 "U302" H 7250 5747 60 0000 C CNN
F 1 "EP4CE6E22C8N" H 7250 5641 60 0000 C CNN
F 2 "smd:TQFP-144_20x20mm_Pitch0.5mm" H 6650 4500 60 0001 C CNN
F 2 "Housings_QFP:TQFP-144_20x20mm_Pitch0.5mm" H 6650 4500 60 0001 C CNN
F 3 "" H 6650 4500 60 0000 C CNN
7 6650 4550
1 0 0 -1
@ -122,7 +124,7 @@ U 8 1 583A2C7D
P 9200 4900
F 0 "U302" H 9750 6397 60 0000 C CNN
F 1 "EP4CE6E22C8N" H 9750 6291 60 0000 C CNN
F 2 "smd:TQFP-144_20x20mm_Pitch0.5mm" H 9200 4850 60 0001 C CNN
F 2 "Housings_QFP:TQFP-144_20x20mm_Pitch0.5mm" H 9200 4850 60 0001 C CNN
F 3 "" H 9200 4850 60 0000 C CNN
8 9200 4900
1 0 0 -1
@ -133,7 +135,7 @@ U 9 1 583A2D4F
P 9250 1950
F 0 "U302" H 9850 3347 60 0000 C CNN
F 1 "EP4CE6E22C8N" H 9850 3241 60 0000 C CNN
F 2 "smd:TQFP-144_20x20mm_Pitch0.5mm" H 9250 1900 60 0001 C CNN
F 2 "Housings_QFP:TQFP-144_20x20mm_Pitch0.5mm" H 9250 1900 60 0001 C CNN
F 3 "" H 9250 1900 60 0000 C CNN
9 9250 1950
1 0 0 -1
@ -144,16 +146,16 @@ U 10 1 583A2EA1
P 3800 4550
F 0 "U302" H 4378 5283 60 0000 L CNN
F 1 "EP4CE6E22C8N" H 4378 5177 60 0000 L CNN
F 2 "smd:TQFP-144_20x20mm_Pitch0.5mm" H 3800 4500 60 0001 C CNN
F 2 "Housings_QFP:TQFP-144_20x20mm_Pitch0.5mm" H 3800 4500 60 0001 C CNN
F 3 "" H 3800 4500 60 0000 C CNN
10 3800 4550
1 0 0 -1
$EndComp
$Comp
L +1V2 #PWR021
L +1V2 #PWR305
U 1 1 583A44FF
P 1300 850
F 0 "#PWR021" H 1300 700 50 0001 C CNN
F 0 "#PWR305" H 1300 700 50 0001 C CNN
F 1 "+1V2" H 1315 1023 50 0000 C CNN
F 2 "" H 1300 850 50 0000 C CNN
F 3 "" H 1300 850 50 0000 C CNN
@ -161,10 +163,10 @@ F 3 "" H 1300 850 50 0000 C CNN
1 0 0 -1
$EndComp
$Comp
L GND #PWR022
L GND #PWR312
U 1 1 583A4A0A
P 3000 2750
F 0 "#PWR022" H 3000 2500 50 0001 C CNN
F 0 "#PWR312" H 3000 2500 50 0001 C CNN
F 1 "GND" H 3005 2577 50 0000 C CNN
F 2 "" H 3000 2750 50 0000 C CNN
F 3 "" H 3000 2750 50 0000 C CNN
@ -172,10 +174,10 @@ F 3 "" H 3000 2750 50 0000 C CNN
1 0 0 -1
$EndComp
$Comp
L +2V5 #PWR023
L +2V5 #PWR301
U 1 1 583A4B94
P 1000 1850
F 0 "#PWR023" H 1000 1700 50 0001 C CNN
F 0 "#PWR301" H 1000 1700 50 0001 C CNN
F 1 "+2V5" H 1015 2023 50 0000 C CNN
F 2 "" H 1000 1850 50 0000 C CNN
F 3 "" H 1000 1850 50 0000 C CNN
@ -188,16 +190,16 @@ U 1 1 583A4F22
P 1400 3900
F 0 "P301" H 1400 4315 50 0000 C CNN
F 1 "CONN_02X05" H 1400 4224 50 0000 C CNN
F 2 "Connect:IDC_Header_Straight_10pins" H 1400 2700 50 0001 C CNN
F 2 "Connectors:IDC_Header_Straight_10pins" H 1400 2700 50 0001 C CNN
F 3 "" H 1400 2700 50 0000 C CNN
1 1400 3900
1 0 0 -1
$EndComp
$Comp
L GND #PWR024
L GND #PWR309
U 1 1 583A52CD
P 2000 4250
F 0 "#PWR024" H 2000 4000 50 0001 C CNN
F 0 "#PWR309" H 2000 4000 50 0001 C CNN
F 1 "GND" H 2005 4077 50 0000 C CNN
F 2 "" H 2000 4250 50 0000 C CNN
F 3 "" H 2000 4250 50 0000 C CNN
@ -213,7 +215,7 @@ U 1 1 583A660C
P 1600 5300
F 0 "U301" H 2241 5346 50 0000 L CNN
F 1 "M25PX32-VMW" H 2241 5255 50 0000 L CNN
F 2 "Housings_SOIC:SOIJ-8_5.3x5.3mm_Pitch1.27mm" H 2250 5350 50 0001 C CNN
F 2 "Housings_SOIC:SOIC-8_3.9x4.9mm_Pitch1.27mm" H 2250 5350 50 0001 C CNN
F 3 "" H 1750 5200 50 0000 C CNN
1 1600 5300
1 0 0 -1
@ -227,10 +229,10 @@ DCLK
Text Label 800 5300 0 60 ~ 0
nCS
$Comp
L GND #PWR025
L GND #PWR306
U 1 1 583A6E3B
P 1600 5850
F 0 "#PWR025" H 1600 5600 50 0001 C CNN
F 0 "#PWR306" H 1600 5600 50 0001 C CNN
F 1 "GND" H 1605 5677 50 0000 C CNN
F 2 "" H 1600 5850 50 0000 C CNN
F 3 "" H 1600 5850 50 0000 C CNN
@ -260,38 +262,16 @@ F 3 "" H 2500 3850 50 0000 C CNN
1 0 0 -1
$EndComp
$Comp
L GND #PWR026
L GND #PWR313
U 1 1 583A77E0
P 3050 4000
F 0 "#PWR026" H 3050 3750 50 0001 C CNN
F 0 "#PWR313" H 3050 3750 50 0001 C CNN
F 1 "GND" H 3055 3827 50 0000 C CNN
F 2 "" H 3050 4000 50 0000 C CNN
F 3 "" H 3050 4000 50 0000 C CNN
1 3050 4000
1 0 0 -1
$EndComp
$Comp
L +3V3 #PWR027
U 1 1 583A7CA6
P 2500 4100
F 0 "#PWR027" H 2500 3950 50 0001 C CNN
F 1 "+3V3" H 2515 4273 50 0000 C CNN
F 2 "" H 2500 4100 50 0000 C CNN
F 3 "" H 2500 4100 50 0000 C CNN
1 2500 4100
-1 0 0 1
$EndComp
$Comp
L +3V3 #PWR028
U 1 1 583A7D05
P 2900 4100
F 0 "#PWR028" H 2900 3950 50 0001 C CNN
F 1 "+3V3" H 2915 4273 50 0000 C CNN
F 2 "" H 2900 4100 50 0000 C CNN
F 3 "" H 2900 4100 50 0000 C CNN
1 2900 4100
-1 0 0 1
$EndComp
Text Label 3300 3800 0 60 ~ 0
DCLK
Text Label 3400 2100 0 60 ~ 0
@ -360,7 +340,7 @@ U 5 1 583A2A03
P 6650 6200
F 0 "U302" H 7175 7797 60 0000 C CNN
F 1 "EP4CE6E22C8N" H 7175 7691 60 0000 C CNN
F 2 "smd:TQFP-144_20x20mm_Pitch0.5mm" H 6650 6150 60 0001 C CNN
F 2 "Housings_QFP:TQFP-144_20x20mm_Pitch0.5mm" H 6650 6150 60 0001 C CNN
F 3 "" H 6650 6150 60 0000 C CNN
5 6650 6200
1 0 0 -1
@ -377,10 +357,10 @@ F 3 "" H 7700 1050 50 0000 C CNN
-1 0 0 -1
$EndComp
$Comp
L GND #PWR029
L GND #PWR324
U 1 1 583B09C6
P 7700 1300
F 0 "#PWR029" H 7700 1050 50 0001 C CNN
F 0 "#PWR324" H 7700 1050 50 0001 C CNN
F 1 "GND" H 7705 1127 50 0000 C CNN
F 2 "" H 7700 1300 50 0000 C CNN
F 3 "" H 7700 1300 50 0000 C CNN
@ -388,10 +368,10 @@ F 3 "" H 7700 1300 50 0000 C CNN
1 0 0 -1
$EndComp
$Comp
L +1V2 #PWR030
L +1V2 #PWR304
U 1 1 583B1503
P 1050 6400
F 0 "#PWR030" H 1050 6250 50 0001 C CNN
F 0 "#PWR304" H 1050 6250 50 0001 C CNN
F 1 "+1V2" H 1065 6573 50 0000 C CNN
F 2 "" H 1050 6400 50 0000 C CNN
F 3 "" H 1050 6400 50 0000 C CNN
@ -509,10 +489,10 @@ F 3 "" H 2350 6950 50 0000 C CNN
1 0 0 -1
$EndComp
$Comp
L GND #PWR031
L GND #PWR316
U 1 1 583B4A21
P 3300 4900
F 0 "#PWR031" H 3300 4650 50 0001 C CNN
F 0 "#PWR316" H 3300 4650 50 0001 C CNN
F 1 "GND" H 3305 4727 50 0000 C CNN
F 2 "" H 3300 4900 50 0000 C CNN
F 3 "" H 3300 4900 50 0000 C CNN
@ -542,10 +522,10 @@ F 3 "" H 5400 2000 50 0000 C CNN
1 0 0 -1
$EndComp
$Comp
L GND #PWR032
L GND #PWR323
U 1 1 583B591A
P 5400 2200
F 0 "#PWR032" H 5400 1950 50 0001 C CNN
F 0 "#PWR323" H 5400 1950 50 0001 C CNN
F 1 "GND" H 5405 2027 50 0000 C CNN
F 2 "" H 5400 2200 50 0000 C CNN
F 3 "" H 5400 2200 50 0000 C CNN
@ -564,10 +544,10 @@ F 3 "" H 8150 5150 50 0000 C CNN
1 0 0 -1
$EndComp
$Comp
L GND #PWR033
L GND #PWR329
U 1 1 583B6256
P 8150 5350
F 0 "#PWR033" H 8150 5100 50 0001 C CNN
F 0 "#PWR329" H 8150 5100 50 0001 C CNN
F 1 "GND" H 8155 5177 50 0000 C CNN
F 2 "" H 8150 5350 50 0000 C CNN
F 3 "" H 8150 5350 50 0000 C CNN
@ -586,10 +566,10 @@ F 3 "" H 8100 3800 50 0000 C CNN
1 0 0 -1
$EndComp
$Comp
L GND #PWR034
L GND #PWR327
U 1 1 583B64FE
P 8100 4000
F 0 "#PWR034" H 8100 3750 50 0001 C CNN
F 0 "#PWR327" H 8100 3750 50 0001 C CNN
F 1 "GND" H 8105 3827 50 0000 C CNN
F 2 "" H 8100 4000 50 0000 C CNN
F 3 "" H 8100 4000 50 0000 C CNN
@ -619,10 +599,10 @@ F 3 "" H 1000 2250 50 0000 C CNN
1 0 0 -1
$EndComp
$Comp
L GND #PWR035
L GND #PWR302
U 1 1 583B8291
P 1000 2450
F 0 "#PWR035" H 1000 2200 50 0001 C CNN
F 0 "#PWR302" H 1000 2200 50 0001 C CNN
F 1 "GND" H 1005 2277 50 0000 C CNN
F 2 "" H 1000 2450 50 0000 C CNN
F 3 "" H 1000 2450 50 0000 C CNN
@ -630,10 +610,10 @@ F 3 "" H 1000 2450 50 0000 C CNN
1 0 0 -1
$EndComp
$Comp
L +V_IO #PWR036
L +V_IO #PWR326
U 1 1 583AD214
P 8100 3550
F 0 "#PWR036" H 8100 3400 50 0001 C CNN
F 0 "#PWR326" H 8100 3400 50 0001 C CNN
F 1 "+V_IO" H 8115 3723 50 0000 C CNN
F 2 "" H 8100 3550 50 0000 C CNN
F 3 "" H 8100 3550 50 0000 C CNN
@ -657,10 +637,10 @@ DE_IN
Text HLabel 8300 2250 0 60 Input ~ 0
LINK_ACT_IN
$Comp
L +V_IO #PWR037
L +V_IO #PWR325
U 1 1 583B2257
P 7750 700
F 0 "#PWR037" H 7750 550 50 0001 C CNN
F 0 "#PWR325" H 7750 550 50 0001 C CNN
F 1 "+V_IO" H 7700 850 50 0000 C CNN
F 2 "" H 7750 700 50 0000 C CNN
F 3 "" H 7750 700 50 0000 C CNN
@ -668,10 +648,10 @@ F 3 "" H 7750 700 50 0000 C CNN
1 0 0 -1
$EndComp
$Comp
L +V_IO #PWR038
L +V_IO #PWR328
U 1 1 583B258A
P 8150 4900
F 0 "#PWR038" H 8150 4750 50 0001 C CNN
F 0 "#PWR328" H 8150 4750 50 0001 C CNN
F 1 "+V_IO" H 8165 5073 50 0000 C CNN
F 2 "" H 8150 4900 50 0000 C CNN
F 3 "" H 8150 4900 50 0000 C CNN
@ -679,10 +659,10 @@ F 3 "" H 8150 4900 50 0000 C CNN
1 0 0 -1
$EndComp
$Comp
L +V_IO #PWR039
L +V_IO #PWR322
U 1 1 583B28F3
P 5400 1750
F 0 "#PWR039" H 5400 1600 50 0001 C CNN
F 0 "#PWR322" H 5400 1600 50 0001 C CNN
F 1 "+V_IO" H 5415 1923 50 0000 C CNN
F 2 "" H 5400 1750 50 0000 C CNN
F 3 "" H 5400 1750 50 0000 C CNN
@ -695,7 +675,7 @@ U 1 1 583B29EE
P 3400 7150
F 0 "L301" V 3585 7150 50 0000 C CNN
F 1 "10u" V 3494 7150 50 0000 C CNN
F 2 "Resistors_SMD:R_0603_HandSoldering" H 3400 7150 50 0001 C CNN
F 2 "Resistors_SMD:R_1206" H 3400 7150 50 0001 C CNN
F 3 "" H 3400 7150 50 0000 C CNN
1 3400 7150
0 -1 -1 0
@ -712,10 +692,10 @@ F 3 "" H 1400 4650 50 0000 C CNN
1 0 0 -1
$EndComp
$Comp
L +3V3 #PWR040
L +3V3 #PWR314
U 1 1 583B3873
P 3100 7150
F 0 "#PWR040" H 3100 7000 50 0001 C CNN
F 0 "#PWR314" H 3100 7000 50 0001 C CNN
F 1 "+3V3" H 3115 7323 50 0000 C CNN
F 2 "" H 3100 7150 50 0000 C CNN
F 3 "" H 3100 7150 50 0000 C CNN
@ -723,10 +703,10 @@ F 3 "" H 3100 7150 50 0000 C CNN
1 0 0 -1
$EndComp
$Comp
L +V_IO #PWR041
L +V_IO #PWR318
U 1 1 583B3932
P 3600 7150
F 0 "#PWR041" H 3600 7000 50 0001 C CNN
F 0 "#PWR318" H 3600 7000 50 0001 C CNN
F 1 "+V_IO" H 3615 7323 50 0000 C CNN
F 2 "" H 3600 7150 50 0000 C CNN
F 3 "" H 3600 7150 50 0000 C CNN
@ -734,10 +714,10 @@ F 3 "" H 3600 7150 50 0000 C CNN
1 0 0 -1
$EndComp
$Comp
L GND #PWR042
L GND #PWR317
U 1 1 583B446A
P 3550 7500
F 0 "#PWR042" H 3550 7250 50 0001 C CNN
F 0 "#PWR317" H 3550 7250 50 0001 C CNN
F 1 "GND" H 3555 7327 50 0000 C CNN
F 2 "" H 3550 7500 50 0000 C CNN
F 3 "" H 3550 7500 50 0000 C CNN
@ -767,10 +747,10 @@ F 3 "" H 11000 3900 50 0000 C CNN
1 0 0 -1
$EndComp
$Comp
L +V_IO #PWR043
L +V_IO #PWR330
U 1 1 583B6AE6
P 10750 3600
F 0 "#PWR043" H 10750 3450 50 0001 C CNN
F 0 "#PWR330" H 10750 3450 50 0001 C CNN
F 1 "+V_IO" H 10765 3773 50 0000 C CNN
F 2 "" H 10750 3600 50 0000 C CNN
F 3 "" H 10750 3600 50 0000 C CNN
@ -778,10 +758,10 @@ F 3 "" H 10750 3600 50 0000 C CNN
1 0 0 -1
$EndComp
$Comp
L +V_IO #PWR044
L +V_IO #PWR335
U 1 1 583B6B66
P 10850 5800
F 0 "#PWR044" H 10850 5650 50 0001 C CNN
F 0 "#PWR335" H 10850 5650 50 0001 C CNN
F 1 "+V_IO" H 10865 5973 50 0000 C CNN
F 2 "" H 10850 5800 50 0000 C CNN
F 3 "" H 10850 5800 50 0000 C CNN
@ -789,10 +769,10 @@ F 3 "" H 10850 5800 50 0000 C CNN
1 0 0 -1
$EndComp
$Comp
L +V_IO #PWR045
L +V_IO #PWR332
U 1 1 583B6BE6
P 10800 2150
F 0 "#PWR045" H 10800 2000 50 0001 C CNN
F 0 "#PWR332" H 10800 2000 50 0001 C CNN
F 1 "+V_IO" H 10815 2323 50 0000 C CNN
F 2 "" H 10800 2150 50 0000 C CNN
F 3 "" H 10800 2150 50 0000 C CNN
@ -800,10 +780,10 @@ F 3 "" H 10800 2150 50 0000 C CNN
1 0 0 -1
$EndComp
$Comp
L +V_IO #PWR046
L +V_IO #PWR337
U 1 1 583B6D77
P 10950 750
F 0 "#PWR046" H 10950 600 50 0001 C CNN
F 0 "#PWR337" H 10950 600 50 0001 C CNN
F 1 "+V_IO" H 10965 923 50 0000 C CNN
F 2 "" H 10950 750 50 0000 C CNN
F 3 "" H 10950 750 50 0000 C CNN
@ -816,7 +796,7 @@ U 1 1 583B734C
P 3950 7300
F 0 "C314" H 4065 7346 50 0000 L CNN
F 1 "1u" H 4065 7255 50 0000 L CNN
F 2 "Capacitors_SMD:C_0603_HandSoldering" H 3988 7150 50 0001 C CNN
F 2 "Capacitors_SMD:C_0805_HandSoldering" H 3988 7150 50 0001 C CNN
F 3 "" H 3950 7300 50 0000 C CNN
1 3950 7300
1 0 0 -1
@ -944,10 +924,10 @@ F 3 "" H 10950 1050 50 0000 C CNN
1 0 0 -1
$EndComp
$Comp
L GND #PWR047
L GND #PWR331
U 1 1 583C064D
P 10800 1300
F 0 "#PWR047" H 10800 1050 50 0001 C CNN
F 0 "#PWR331" H 10800 1050 50 0001 C CNN
F 1 "GND" H 10805 1127 50 0000 C CNN
F 2 "" H 10800 1300 50 0000 C CNN
F 3 "" H 10800 1300 50 0000 C CNN
@ -977,10 +957,10 @@ F 3 "" H 11000 2800 50 0000 C CNN
-1 0 0 -1
$EndComp
$Comp
L GND #PWR048
L GND #PWR333
U 1 1 583C141D
P 10800 2950
F 0 "#PWR048" H 10800 2700 50 0001 C CNN
F 0 "#PWR333" H 10800 2700 50 0001 C CNN
F 1 "GND" H 10805 2777 50 0000 C CNN
F 2 "" H 10800 2950 50 0000 C CNN
F 3 "" H 10800 2950 50 0000 C CNN
@ -988,10 +968,10 @@ F 3 "" H 10800 2950 50 0000 C CNN
1 0 0 -1
$EndComp
$Comp
L GND #PWR049
L GND #PWR334
U 1 1 583C2260
P 10800 4100
F 0 "#PWR049" H 10800 3850 50 0001 C CNN
F 0 "#PWR334" H 10800 3850 50 0001 C CNN
F 1 "GND" H 10805 3927 50 0000 C CNN
F 2 "" H 10800 4100 50 0000 C CNN
F 3 "" H 10800 4100 50 0000 C CNN
@ -1010,10 +990,10 @@ F 3 "" H 10850 6150 50 0000 C CNN
1 0 0 -1
$EndComp
$Comp
L GND #PWR050
L GND #PWR336
U 1 1 583C290E
P 10850 6350
F 0 "#PWR050" H 10850 6100 50 0001 C CNN
F 0 "#PWR336" H 10850 6100 50 0001 C CNN
F 1 "GND" H 10855 6177 50 0000 C CNN
F 2 "" H 10850 6350 50 0000 C CNN
F 3 "" H 10850 6350 50 0000 C CNN
@ -1049,10 +1029,10 @@ F 3 "" H 4500 7050 50 0000 C CNN
1 0 0 -1
$EndComp
$Comp
L GND #PWR051
L GND #PWR320
U 1 1 583B1426
P 4500 7400
F 0 "#PWR051" H 4500 7150 50 0001 C CNN
F 0 "#PWR320" H 4500 7150 50 0001 C CNN
F 1 "GND" H 4505 7227 50 0000 C CNN
F 2 "" H 4500 7400 50 0000 C CNN
F 3 "" H 4500 7400 50 0000 C CNN
@ -1329,7 +1309,7 @@ Wire Wire Line
3500 4850 3500 4500
Connection ~ 3300 4850
Wire Wire Line
2900 4100 2950 4100
2800 4100 2950 4100
Wire Wire Line
2950 4100 2950 4200
Wire Wire Line
@ -1598,10 +1578,10 @@ $EndComp
Wire Wire Line
6050 7050 6100 7050
$Comp
L +3V3 #PWR052
L +3V3 #PWR319
U 1 1 5848933D
P 4500 6500
F 0 "#PWR052" H 4500 6350 50 0001 C CNN
F 0 "#PWR319" H 4500 6350 50 0001 C CNN
F 1 "+3V3" H 4515 6673 50 0000 C CNN
F 2 "" H 4500 6500 50 0000 C CNN
F 3 "" H 4500 6500 50 0000 C CNN
@ -1632,8 +1612,8 @@ L CP C313
U 1 1 58489EA0
P 3550 7300
F 0 "C313" H 3668 7346 50 0000 L CNN
F 1 "22u" H 3668 7255 50 0000 L CNN
F 2 "Capacitors_SMD:C_0805_HandSoldering" H 3588 7150 50 0001 C CNN
F 1 "100u" H 3668 7255 50 0000 L CNN
F 2 "Capacitors_Tantalum_SMD:Tantalum_Case-D_EIA-7343-31_Hand" H 3588 7150 50 0001 C CNN
F 3 "" H 3550 7300 50 0000 C CNN
1 3550 7300
1 0 0 -1
@ -1812,10 +1792,10 @@ F 3 "" H 4900 3300 50 0000 C CNN
0 1 1 0
$EndComp
$Comp
L GND #PWR053
L GND #PWR307
U 1 1 584EFCCE
P 1800 7250
F 0 "#PWR053" H 1800 7000 50 0001 C CNN
F 0 "#PWR307" H 1800 7000 50 0001 C CNN
F 1 "GND" H 1805 7077 50 0000 C CNN
F 2 "" H 1800 7250 50 0000 C CNN
F 3 "" H 1800 7250 50 0000 C CNN
@ -1824,10 +1804,10 @@ F 3 "" H 1800 7250 50 0000 C CNN
$EndComp
Connection ~ 1800 7250
$Comp
L +V_IO #PWR054
L +V_IO #PWR308
U 1 1 584F1E0B
P 1900 3150
F 0 "#PWR054" H 1900 3000 50 0001 C CNN
F 0 "#PWR308" H 1900 3000 50 0001 C CNN
F 1 "+V_IO" H 1915 3323 50 0000 C CNN
F 2 "" H 1900 3150 50 0000 C CNN
F 3 "" H 1900 3150 50 0000 C CNN
@ -2015,10 +1995,10 @@ Wire Wire Line
Wire Wire Line
4750 3300 4600 3300
$Comp
L GND #PWR055
L GND #PWR321
U 1 1 5851BB79
P 4600 3300
F 0 "#PWR055" H 4600 3050 50 0001 C CNN
F 0 "#PWR321" H 4600 3050 50 0001 C CNN
F 1 "GND" H 4605 3127 50 0000 C CNN
F 2 "" H 4600 3300 50 0000 C CNN
F 3 "" H 4600 3300 50 0000 C CNN
@ -2054,10 +2034,10 @@ Wire Wire Line
NoConn ~ 5150 4200
NoConn ~ 5550 4200
$Comp
L +V_IO #PWR056
L +V_IO #PWR303
U 1 1 584FEDEB
P 1050 4800
F 0 "#PWR056" H 1050 4650 50 0001 C CNN
F 0 "#PWR303" H 1050 4650 50 0001 C CNN
F 1 "+V_IO" H 1065 4973 50 0000 C CNN
F 2 "" H 1050 4800 50 0000 C CNN
F 3 "" H 1050 4800 50 0000 C CNN
@ -2069,14 +2049,40 @@ Connection ~ 4600 3300
Wire Wire Line
3300 4850 3300 4900
$Comp
L +3V3 #PWR057
U 1 1 5876669A
P 3300 4400
F 0 "#PWR057" H 3300 4250 50 0001 C CNN
F 1 "+3V3" H 3315 4573 50 0000 C CNN
F 2 "" H 3300 4400 50 0000 C CNN
F 3 "" H 3300 4400 50 0000 C CNN
1 3300 4400
L +V_IO #PWR315
U 1 1 587A2358
P 3300 4450
F 0 "#PWR315" H 3300 4300 50 0001 C CNN
F 1 "+V_IO" H 3315 4623 50 0000 C CNN
F 2 "" H 3300 4450 50 0000 C CNN
F 3 "" H 3300 4450 50 0000 C CNN
1 3300 4450
-1 0 0 1
$EndComp
Wire Wire Line
3300 4400 3300 4450
$Comp
L +V_IO #PWR310
U 1 1 587A27B2
P 2500 4100
F 0 "#PWR310" H 2500 3950 50 0001 C CNN
F 1 "+V_IO" H 2515 4273 50 0000 C CNN
F 2 "" H 2500 4100 50 0000 C CNN
F 3 "" H 2500 4100 50 0000 C CNN
1 2500 4100
-1 0 0 1
$EndComp
$Comp
L +V_IO #PWR311
U 1 1 587A29E3
P 2800 4150
F 0 "#PWR311" H 2800 4000 50 0001 C CNN
F 1 "+V_IO" H 2815 4323 50 0000 C CNN
F 2 "" H 2800 4150 50 0000 C CNN
F 3 "" H 2800 4150 50 0000 C CNN
1 2800 4150
-1 0 0 1
$EndComp
Wire Wire Line
2800 4150 2800 4100
$EndSCHEMATC

1156
power.sch

File diff suppressed because it is too large Load Diff