Ethernet MAC in VHDL with simple demo application.
Go to file
Mario Hüttel 5baca1dd2f fix SMI read 2020-08-10 22:57:47 +02:00
.settings init EthMac prject with RX, TX core and LED Demo 2017-02-25 21:12:20 +01:00
bench Make design MII compatible 2020-08-07 21:48:11 +02:00
design fix SMI read 2020-08-10 22:57:47 +02:00
fcs-c added GPLv2 headers, renamed executable of CRC C program, added LICENSE.md file 2017-02-25 21:34:03 +01:00
.gitignore Make design MII compatible 2020-08-07 21:48:11 +02:00
.library_mapping.xml init EthMac prject with RX, TX core and LED Demo 2017-02-25 21:12:20 +01:00
.project init EthMac prject with RX, TX core and LED Demo 2017-02-25 21:12:20 +01:00
LICENSE.md added GPLv2 headers, renamed executable of CRC C program, added LICENSE.md file 2017-02-25 21:34:03 +01:00