axi3-interconnect/src/axi3-interconnect.vhd

28 lines
443 B
VHDL
Raw Normal View History

2016-08-20 14:43:06 +02:00
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity axi3intercon is
port (
aclk : in std_logic;
aresetn : in std_logic
);
end entity axi3intercon;
architecture RTL of axi3intercon is
signal rst : std_logic;
begin
reset_sync : process(aclk, aresetn) is
begin
if aresetn = '0' then
rst <= '1';
elsif rising_edge(aclk) then
rst <= '0';
end if;
end process reset_sync;
end architecture RTL;