reset-sync
This commit is contained in:
parent
c909e0c703
commit
0425710537
12
top.vhd
12
top.vhd
@ -88,7 +88,17 @@ architecture RTL of top is
|
||||
|
||||
begin -- architecture RTL
|
||||
|
||||
rst <= not rst_hw;
|
||||
reset_sync : process(clk, rst_hw) is
|
||||
begin
|
||||
if rst_hw = '0' then
|
||||
rst <= '1';
|
||||
elsif rising_edge(clk) then
|
||||
if rst_hw = '1' then
|
||||
rst <= '0';
|
||||
end if;
|
||||
end if;
|
||||
end process reset_sync;
|
||||
|
||||
|
||||
smi_1 : entity work.smi
|
||||
generic map (
|
||||
|
Loading…
Reference in New Issue
Block a user